/* * ****************************************************************************** * * * * * Copyright (C) 2004-2011, Nangate Inc. * * * All rights reserved. * * * * * * Nangate and the Nangate logo are trademarks of Nangate Inc. * * * * * * All trademarks, logos, software marks, and trade names (collectively the * * * "Marks") in this program are proprietary to Nangate or other respective * * * owners that have granted Nangate the right and license to use such Marks. * * * You are not permitted to use the Marks without the prior written consent * * * of Nangate or such third party that may own the Marks. * * * * * * This file has been provided pursuant to a License Agreement containing * * * restrictions on its use. This file contains valuable trade secrets and * * * proprietary information of Nangate Inc., and is protected by U.S. and * * * international laws and/or treaties. * * * * * * The copyright notice(s) in this file does not indicate actual or intended * * * publication of this file. * * * * * * NGLibraryCharacterizer, v2011.01-HR04-2011-01-19 - build 201102050200 * * * * * ****************************************************************************** * * Spice engine : Nanspice v2011.01-HR04-2011-01-19-1102050200 * Liberty export type : conditional * * Characterization Corner : typical * Process : TypTyp * Temperature : 25C * Voltage : 1.1V * ****************************************************************************/ library (NangateOpenCellLibrary) { /* Documentation Attributes */ date : "Thu 10 Feb 2011, 18:11:20"; revision : "revision 1.0"; comment : "Copyright (c) 2004-2011 Nangate Inc. All Rights Reserved."; /* General Attributes */ technology (cmos); delay_model : table_lookup; in_place_swap_mode : match_footprint; library_features (report_delay_calculation,report_power_calculation); /* Units Attributes */ time_unit : "1ns"; leakage_power_unit : "1nW"; voltage_unit : "1V"; current_unit : "1mA"; pulling_resistance_unit : "1kohm"; capacitive_load_unit (1,ff); /* Operation Conditions */ nom_process : 1.00; nom_temperature : 25.00; nom_voltage : 1.10; voltage_map (VDD,1.10); voltage_map (VSS,0.00); define(process_corner, operating_conditions, string); operating_conditions (typical) { process_corner : "TypTyp"; process : 1.00; voltage : 1.10; temperature : 25.00; tree_type : balanced_tree; } default_operating_conditions : typical; /* Threshold Definitions */ slew_lower_threshold_pct_fall : 30.00 ; slew_lower_threshold_pct_rise : 30.00 ; slew_upper_threshold_pct_fall : 70.00 ; slew_upper_threshold_pct_rise : 70.00 ; slew_derate_from_library : 1.00 ; input_threshold_pct_fall : 50.00 ; input_threshold_pct_rise : 50.00 ; output_threshold_pct_fall : 50.00 ; output_threshold_pct_rise : 50.00 ; default_leakage_power_density : 0.00 ; default_cell_leakage_power : 0.00 ; /* Default Pin Attributes */ default_inout_pin_cap : 1.000000; default_input_pin_cap : 1.000000; default_output_pin_cap : 0.000000; default_fanout_load : 1.000000; default_max_transition : 0.198535; define(drive_strength, cell, float); /* Wire load tables */ wire_load("1K_hvratio_1_4") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.3207 ); fanout_length( 2, 2.9813 ); fanout_length( 3, 5.1135 ); fanout_length( 4, 7.6639 ); fanout_length( 5, 10.0334 ); fanout_length( 6, 12.2296 ); fanout_length( 8, 19.3185 ); } wire_load("1K_hvratio_1_2") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.3216 ); fanout_length( 2, 2.8855 ); fanout_length( 3, 4.6810 ); fanout_length( 4, 6.7976 ); fanout_length( 5, 9.4037 ); fanout_length( 6, 13.0170 ); fanout_length( 8, 24.1720 ); } wire_load("1K_hvratio_1_1") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 6.283688; fanout_length( 1, 1.3446 ); fanout_length( 2, 2.8263 ); fanout_length( 3, 4.7581 ); fanout_length( 4, 7.4080 ); fanout_length( 5, 10.9381 ); fanout_length( 6, 15.7314 ); fanout_length( 8, 29.7891 ); } wire_load("3K_hvratio_1_4") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.8234 ); fanout_length( 2, 4.5256 ); fanout_length( 3, 7.5342 ); fanout_length( 4, 10.6237 ); fanout_length( 5, 13.5401 ); fanout_length( 6, 16.3750 ); fanout_length( 7, 18.6686 ); fanout_length( 8, 19.4348 ); fanout_length( 10, 20.9672 ); } wire_load("3K_hvratio_1_2") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.6615 ); fanout_length( 2, 3.9827 ); fanout_length( 3, 6.6386 ); fanout_length( 4, 9.6287 ); fanout_length( 5, 12.8485 ); fanout_length( 6, 16.4145 ); fanout_length( 7, 20.0747 ); fanout_length( 8, 22.6325 ); fanout_length( 10, 21.7173 ); } wire_load("3K_hvratio_1_1") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.5771 ); fanout_length( 2, 3.9330 ); fanout_length( 3, 6.6217 ); fanout_length( 4, 9.7638 ); fanout_length( 5, 13.5526 ); fanout_length( 6, 18.1322 ); fanout_length( 7, 22.5871 ); fanout_length( 8, 25.1074 ); fanout_length( 10, 30.1480 ); } wire_load("5K_hvratio_1_4") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 2.0449 ); fanout_length( 2, 4.4094 ); fanout_length( 3, 7.2134 ); fanout_length( 4, 10.4927 ); fanout_length( 5, 13.9420 ); fanout_length( 6, 18.0039 ); fanout_length( 7, 23.9278 ); fanout_length( 8, 30.8475 ); fanout_length( 9, 34.9441 ); fanout_length( 11, 43.1373 ); } wire_load("5K_hvratio_1_2") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.6706 ); fanout_length( 2, 3.7951 ); fanout_length( 3, 6.2856 ); fanout_length( 4, 9.1309 ); fanout_length( 5, 12.1420 ); fanout_length( 6, 15.6918 ); fanout_length( 7, 20.1043 ); fanout_length( 8, 24.2827 ); fanout_length( 9, 27.3445 ); fanout_length( 11, 35.3421 ); } wire_load("5K_hvratio_1_1") { capacitance : 1.774000e-01; resistance : 3.571429e-03; slope : 5.000000; fanout_length( 1, 1.7460 ); fanout_length( 2, 3.9394 ); fanout_length( 3, 6.4626 ); fanout_length( 4, 9.2201 ); fanout_length( 5, 11.9123 ); fanout_length( 6, 14.8358 ); fanout_length( 7, 18.6155 ); fanout_length( 8, 22.6727 ); fanout_length( 9, 25.4842 ); fanout_length( 11, 27.0320 ); } default_wire_load : "5K_hvratio_1_1" ; power_lut_template (Hidden_power_7) { variable_1 : input_transition_time; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } lu_table_template (Hold_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } power_lut_template (Power_7_7) { variable_1 : input_transition_time; variable_2 : total_output_net_capacitance; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } lu_table_template (Pulse_width_3) { variable_1 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); } lu_table_template (Recovery_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } lu_table_template (Removal_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } lu_table_template (Setup_3_3) { variable_1 : constrained_pin_transition; variable_2 : related_pin_transition; index_1 ("0.0010,0.0020,0.0030"); index_2 ("0.0010,0.0020,0.0030"); } lu_table_template (Timing_7_7) { variable_1 : input_net_transition; variable_2 : total_output_net_capacitance; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); index_2 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } lu_table_template (Tristate_disable_7) { variable_1 : input_net_transition; index_1 ("0.0010,0.0020,0.0030,0.0040,0.0050,0.0060,0.0070"); } /****************************************************************************************** Module : AND2_X1 Cell Description : Combinational cell (AND2_X1) with drive strength X1 *******************************************************************************************/ cell (AND2_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 25.066064; leakage_power () { when : "!A1 & !A2"; value : 20.324370; } leakage_power () { when : "!A1 & A2"; value : 30.850688; } leakage_power () { when : "A1 & !A2"; value : 20.622958; } leakage_power () { when : "A1 & A2"; value : 28.466240; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.918145; fall_capacitance : 0.874832; rise_capacitance : 0.918145; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.974630; fall_capacitance : 0.894119; rise_capacitance : 0.974630; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0217822,0.0253224,0.0288237,0.0346827,0.0448323,0.0636086,0.100366", \ "0.0233179,0.0268545,0.0303556,0.0362159,0.0463659,0.0651426,0.101902", \ "0.0296429,0.0331470,0.0366371,0.0425000,0.0526603,0.0714467,0.108208", \ "0.0402311,0.0440292,0.0477457,0.0538394,0.0641187,0.0829203,0.119654", \ "0.0511250,0.0554077,0.0595859,0.0662932,0.0771901,0.0963434,0.133061", \ "0.0625876,0.0673198,0.0719785,0.0794046,0.0910973,0.110757,0.147656", \ "0.0748282,0.0800098,0.0851434,0.0933663,0.106111,0.126669,0.163872"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0229273,0.0278833,0.0331544,0.0428051,0.0612642,0.0977350,0.170492", \ "0.0241796,0.0291333,0.0344028,0.0440520,0.0625091,0.0989835,0.171743", \ "0.0292313,0.0341494,0.0393813,0.0489834,0.0674111,0.103888,0.176663", \ "0.0361806,0.0413104,0.0466212,0.0562627,0.0746023,0.110992,0.183728", \ "0.0417222,0.0473804,0.0529449,0.0626244,0.0809404,0.117314,0.189941", \ "0.0458217,0.0520530,0.0581948,0.0682722,0.0865488,0.122733,0.195364", \ "0.0483588,0.0550898,0.0619209,0.0728347,0.0914012,0.127549,0.200020"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00449470,0.00613737,0.00800970,0.0116129,0.0188827,0.0341035,0.0656991", \ "0.00449457,0.00613918,0.00801067,0.0116136,0.0188830,0.0341032,0.0656996", \ "0.00452853,0.00617737,0.00804415,0.0116355,0.0188923,0.0341059,0.0656998", \ "0.00583601,0.00731234,0.00900023,0.0122896,0.0191954,0.0341882,0.0657049", \ "0.00766157,0.00916530,0.0108343,0.0139762,0.0204666,0.0348159,0.0657938", \ "0.00965692,0.0112246,0.0129530,0.0160624,0.0221739,0.0357885,0.0662734", \ "0.0119231,0.0135596,0.0153789,0.0185944,0.0245249,0.0373595,0.0668921"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00572880,0.00891685,0.0128187,0.0208943,0.0377688,0.0722247,0.141354", \ "0.00572757,0.00891940,0.0128191,0.0208911,0.0377733,0.0722335,0.141353", \ "0.00575739,0.00894876,0.0128462,0.0209084,0.0377703,0.0722407,0.141356", \ "0.00671156,0.00966231,0.0133885,0.0212253,0.0378500,0.0722258,0.141369", \ "0.00818272,0.0110287,0.0143519,0.0217385,0.0381954,0.0723902,0.141349", \ "0.00991536,0.0129659,0.0161539,0.0228147,0.0385411,0.0726187,0.141516", \ "0.0119755,0.0151970,0.0186081,0.0248105,0.0395074,0.0729589,0.141761"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0239949,0.0275975,0.0311598,0.0371031,0.0473463,0.0662033,0.103011", \ "0.0255669,0.0291678,0.0327291,0.0386739,0.0489182,0.0677760,0.104585", \ "0.0319701,0.0355491,0.0391003,0.0450456,0.0552974,0.0741632,0.110978", \ "0.0434655,0.0472450,0.0509446,0.0570185,0.0673368,0.0862117,0.123012", \ "0.0556722,0.0599286,0.0640715,0.0707302,0.0816015,0.100770,0.137535", \ "0.0685793,0.0732749,0.0778555,0.0851681,0.0967322,0.116339,0.153273", \ "0.0826468,0.0877448,0.0927479,0.100713,0.113127,0.133390,0.170486"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0242401,0.0291962,0.0344675,0.0441201,0.0625805,0.0990542,0.171801", \ "0.0255584,0.0305130,0.0357827,0.0454343,0.0638975,0.100369,0.173119", \ "0.0294291,0.0343648,0.0396144,0.0492411,0.0676866,0.104173,0.176930", \ "0.0349546,0.0400471,0.0453740,0.0550447,0.0734601,0.109904,0.182664", \ "0.0400649,0.0454754,0.0509759,0.0607322,0.0791520,0.115586,0.188291", \ "0.0437777,0.0496859,0.0555709,0.0656195,0.0841134,0.120470,0.193165", \ "0.0457090,0.0521251,0.0585676,0.0691868,0.0880108,0.124497,0.197148"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00471394,0.00634454,0.00821102,0.0117966,0.0190382,0.0342159,0.0657737", \ "0.00471391,0.00634604,0.00821227,0.0117981,0.0190383,0.0342154,0.0657720", \ "0.00472858,0.00636732,0.00823258,0.0118121,0.0190443,0.0342171,0.0657739", \ "0.00577932,0.00724331,0.00893038,0.0122586,0.0192546,0.0342798,0.0657801", \ "0.00756354,0.00903153,0.0106837,0.0138481,0.0203985,0.0348060,0.0658584", \ "0.00942122,0.0109441,0.0126352,0.0157346,0.0219195,0.0356900,0.0662760", \ "0.0114239,0.0129875,0.0147361,0.0178899,0.0238428,0.0368950,0.0667496"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00572830,0.00891869,0.0128184,0.0208944,0.0377764,0.0722278,0.141362", \ "0.00572847,0.00891651,0.0128194,0.0208942,0.0377760,0.0722301,0.141366", \ "0.00574608,0.00893558,0.0128334,0.0209016,0.0377751,0.0722257,0.141362", \ "0.00624225,0.00936830,0.0131855,0.0211105,0.0378266,0.0722281,0.141368", \ "0.00717298,0.0102127,0.0138473,0.0215165,0.0380542,0.0723155,0.141361", \ "0.00848158,0.0115952,0.0150567,0.0223372,0.0384207,0.0724943,0.141439", \ "0.0100150,0.0133287,0.0168266,0.0237260,0.0392895,0.0729088,0.141632"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.707163,2.939134,3.111270,3.271119,3.366153,3.407657,3.420511", \ "2.676697,2.905713,3.073189,3.236823,3.334156,3.373344,3.387400", \ "2.680855,2.891263,3.047784,3.212948,3.315296,3.360694,3.377614", \ "2.821141,3.032707,3.182020,3.338567,3.444608,3.488752,3.508229", \ "3.129641,3.235525,3.357993,3.567372,3.743682,3.792092,3.808289", \ "3.724304,3.738737,3.808381,3.980825,4.147999,4.278043,4.311323", \ "4.526175,4.492292,4.510220,4.634217,4.814899,4.934862,5.047389"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.823439,1.926997,1.963153,2.028865,1.957837,2.123314,2.075262", \ "1.796317,1.896145,1.960625,2.014112,2.050786,2.046472,1.972327", \ "1.811604,1.886741,1.955658,1.978263,1.965671,1.963736,2.071227", \ "1.997387,2.045930,2.092357,2.063643,2.099127,1.932089,2.131341", \ "2.367285,2.439718,2.440043,2.403446,2.305848,2.351146,2.195145", \ "2.916140,2.994325,3.044451,2.962881,2.836259,2.781564,2.633645", \ "3.687718,3.756085,3.789394,3.792984,3.773583,3.593022,3.405552"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.037418,3.256810,3.431183,3.608914,3.718690,3.769096,3.795202", \ "3.008434,3.225727,3.401854,3.575115,3.690150,3.744665,3.770126", \ "3.019161,3.227246,3.396745,3.567796,3.687272,3.742217,3.772264", \ "3.209283,3.383153,3.545968,3.708113,3.823034,3.876287,3.908345", \ "3.515341,3.612604,3.759132,3.957421,4.125916,4.183148,4.215191", \ "4.067419,4.111031,4.206104,4.363313,4.545920,4.679285,4.713087", \ "4.900192,4.882841,4.918321,5.018351,5.199236,5.309747,5.420047"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.826567,1.923081,1.948203,2.039180,2.076657,2.044749,1.913708", \ "1.800512,1.903364,1.974517,2.016468,2.024243,1.959196,1.817329", \ "1.781119,1.870353,1.937484,1.966376,2.010382,1.978551,1.849007", \ "1.856892,1.933118,1.937117,1.991598,2.024542,1.995340,1.788981", \ "2.103379,2.174172,2.204352,2.188346,2.094260,2.063115,2.133599", \ "2.500764,2.607899,2.629193,2.623620,2.539760,2.417873,2.489576", \ "3.041217,3.139373,3.221432,3.253461,3.258340,3.164002,3.111013"); } } } } /****************************************************************************************** Module : AND2_X2 Cell Description : Combinational cell (AND2_X2) with drive strength X2 *******************************************************************************************/ cell (AND2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 50.353160; leakage_power () { when : "!A1 & !A2"; value : 40.690980; } leakage_power () { when : "!A1 & A2"; value : 62.007550; } leakage_power () { when : "A1 & !A2"; value : 41.294331; } leakage_power () { when : "A1 & A2"; value : 57.419780; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.656515; fall_capacitance : 1.572846; rise_capacitance : 1.656515; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.726477; fall_capacitance : 1.572198; rise_capacitance : 1.726477; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0202727,0.0241129,0.0274730,0.0331531,0.0431193,0.0617549,0.0984049", \ "0.0218020,0.0256382,0.0289984,0.0346799,0.0446479,0.0632838,0.0999345", \ "0.0281494,0.0319454,0.0352949,0.0409807,0.0509594,0.0696048,0.106261", \ "0.0382512,0.0424201,0.0460138,0.0519586,0.0620766,0.0807337,0.117355", \ "0.0486182,0.0533108,0.0573515,0.0638690,0.0745460,0.0935185,0.130132", \ "0.0595905,0.0647846,0.0692985,0.0765152,0.0879497,0.107368,0.144115", \ "0.0713525,0.0770350,0.0820223,0.0900335,0.102498,0.122776,0.159811"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0214822,0.0269524,0.0321407,0.0417148,0.0601146,0.0965129,0.169101", \ "0.0227227,0.0281908,0.0333759,0.0429480,0.0613480,0.0977506,0.170342", \ "0.0277534,0.0331761,0.0383191,0.0478406,0.0662126,0.102612,0.175233", \ "0.0342764,0.0399374,0.0451453,0.0547092,0.0729998,0.109319,0.181909", \ "0.0394233,0.0456689,0.0510913,0.0606548,0.0789028,0.115222,0.187699", \ "0.0431690,0.0500324,0.0560287,0.0659341,0.0841293,0.120259,0.192774", \ "0.0453926,0.0528162,0.0594975,0.0702187,0.0886641,0.124774,0.197132"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00416687,0.00596589,0.00781196,0.0114045,0.0187120,0.0340129,0.0656175", \ "0.00416774,0.00596822,0.00781331,0.0114047,0.0187120,0.0340123,0.0656157", \ "0.00421550,0.00601791,0.00785521,0.0114311,0.0187228,0.0340150,0.0656170", \ "0.00562854,0.00722824,0.00888105,0.0121541,0.0190575,0.0340961,0.0656227", \ "0.00743053,0.00905510,0.0106708,0.0137675,0.0202593,0.0347114,0.0657212", \ "0.00942116,0.0111160,0.0127836,0.0158213,0.0218964,0.0355984,0.0661854", \ "0.0117080,0.0134691,0.0152290,0.0183611,0.0242253,0.0371238,0.0667810"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00535307,0.00891667,0.0128359,0.0209547,0.0378630,0.0722921,0.141284", \ "0.00535240,0.00891890,0.0128359,0.0209535,0.0378702,0.0722877,0.141281", \ "0.00540623,0.00896082,0.0128710,0.0209700,0.0378694,0.0722808,0.141274", \ "0.00640680,0.00966303,0.0134008,0.0212974,0.0379412,0.0722770,0.141275", \ "0.00787652,0.0110017,0.0143109,0.0217542,0.0382866,0.0724558,0.141281", \ "0.00962760,0.0129624,0.0160860,0.0227842,0.0386222,0.0726866,0.141454", \ "0.0117302,0.0152337,0.0185575,0.0247380,0.0395692,0.0730555,0.141719"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0224781,0.0263880,0.0298080,0.0355718,0.0456281,0.0643396,0.101039", \ "0.0240434,0.0279519,0.0313720,0.0371363,0.0471944,0.0659064,0.102611", \ "0.0304685,0.0343478,0.0377584,0.0435238,0.0535905,0.0723112,0.109019", \ "0.0415924,0.0457352,0.0493110,0.0552385,0.0653820,0.0841106,0.120798", \ "0.0532875,0.0579467,0.0619430,0.0684104,0.0790621,0.0980584,0.134716", \ "0.0657488,0.0708817,0.0753125,0.0823990,0.0936880,0.113050,0.149834", \ "0.0793970,0.0849680,0.0898064,0.0975349,0.109638,0.129592,0.166493"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0228006,0.0282712,0.0334599,0.0430370,0.0614365,0.0978360,0.170427", \ "0.0240964,0.0295650,0.0347522,0.0443284,0.0627320,0.0991290,0.171723", \ "0.0278897,0.0333365,0.0384996,0.0480483,0.0664399,0.102844,0.175453", \ "0.0331268,0.0387533,0.0439889,0.0535817,0.0719438,0.108317,0.180923", \ "0.0378284,0.0438221,0.0492183,0.0588771,0.0772305,0.113603,0.186156", \ "0.0411021,0.0476506,0.0534249,0.0633667,0.0817944,0.118091,0.190655", \ "0.0426340,0.0497509,0.0560755,0.0665688,0.0853296,0.121797,0.194317"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00438436,0.00617145,0.00800808,0.0115838,0.0188609,0.0341176,0.0656868", \ "0.00438284,0.00617249,0.00800938,0.0115841,0.0188607,0.0341181,0.0656881", \ "0.00440486,0.00619905,0.00803339,0.0115996,0.0188673,0.0341191,0.0656863", \ "0.00555670,0.00714950,0.00881076,0.0121090,0.0190983,0.0341823,0.0656968", \ "0.00730506,0.00889748,0.0105051,0.0136263,0.0201904,0.0346990,0.0657740", \ "0.00914135,0.0107788,0.0124141,0.0154483,0.0216170,0.0354876,0.0661835", \ "0.0111366,0.0128086,0.0144973,0.0175642,0.0234624,0.0366032,0.0666130"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00535363,0.00891885,0.0128363,0.0209534,0.0378660,0.0722811,0.141269", \ "0.00535317,0.00891726,0.0128375,0.0209532,0.0378627,0.0722760,0.141267", \ "0.00538566,0.00894264,0.0128536,0.0209625,0.0378649,0.0722765,0.141269", \ "0.00590688,0.00938407,0.0132075,0.0211833,0.0379204,0.0722784,0.141272", \ "0.00686835,0.0102289,0.0138542,0.0215634,0.0381465,0.0723801,0.141276", \ "0.00819465,0.0116261,0.0150637,0.0223758,0.0385266,0.0725594,0.141371", \ "0.00974045,0.0133906,0.0168382,0.0237495,0.0394066,0.0730113,0.141561"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.208405,5.680379,5.995735,6.257122,6.425598,6.488476,6.518695", \ "5.148099,5.626825,5.926326,6.190847,6.355365,6.418087,6.448356", \ "5.161608,5.565937,5.876916,6.156008,6.324712,6.398683,6.436679", \ "5.489458,5.856814,6.148527,6.415709,6.588944,6.675315,6.712895", \ "6.152126,6.304853,6.524048,6.889333,7.213248,7.299894,7.335538", \ "7.340019,7.346480,7.467794,7.755310,8.059202,8.292652,8.371252", \ "8.943567,8.863396,8.892186,9.096707,9.427050,9.655783,9.872675"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.550312,3.861511,3.905269,4.039773,3.923185,3.931971,4.118467", \ "3.534181,3.800176,3.878591,3.978176,4.077511,3.999876,3.980541", \ "3.595342,3.755947,3.841004,3.924470,3.867596,4.005523,3.868217", \ "3.964915,4.076935,4.166472,4.170163,4.220000,4.140283,3.823598", \ "4.668207,4.853911,4.879034,4.747179,4.784637,4.779655,4.711023", \ "5.845915,6.062152,6.122925,5.946813,5.793033,5.390279,5.678420", \ "7.444395,7.591150,7.685101,7.690246,7.422596,7.296411,7.257683"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.872098,6.315238,6.649314,6.945859,7.134521,7.222239,7.274316", \ "5.791258,6.254104,6.588355,6.874544,7.065124,7.161521,7.215119", \ "5.817277,6.253732,6.577141,6.861830,7.072418,7.171142,7.228505", \ "6.222161,6.575800,6.870378,7.151173,7.348773,7.451423,7.514932", \ "6.875110,7.047678,7.298053,7.670223,7.972132,8.085715,8.142135", \ "8.064984,8.085989,8.242314,8.529404,8.841602,9.084867,9.161692", \ "9.701773,9.652603,9.678097,9.890791,10.186280,10.384220,10.608460"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.614686,3.813909,3.902224,4.061915,4.104670,4.150001,3.947680", \ "3.534454,3.759494,3.852335,3.986780,3.878524,4.128142,3.800625", \ "3.501588,3.691715,3.836427,3.930189,3.778936,4.010945,3.805175", \ "3.683369,3.822032,3.924643,3.913838,3.888987,4.003824,3.863471", \ "4.188291,4.347377,4.363839,4.291924,4.376873,4.263177,4.306059", \ "4.994968,5.218278,5.263902,5.308514,5.208721,5.033415,4.840509", \ "6.087621,6.325860,6.468309,6.568964,6.505281,6.418822,6.016496"); } } } } /****************************************************************************************** Module : AND2_X4 Cell Description : Combinational cell (AND2_X4) with drive strength X4 *******************************************************************************************/ cell (AND2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 100.706457; leakage_power () { when : "!A1 & !A2"; value : 81.382070; } leakage_power () { when : "!A1 & A2"; value : 124.015210; } leakage_power () { when : "A1 & !A2"; value : 82.588768; } leakage_power () { when : "A1 & A2"; value : 114.839780; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.195354; fall_capacitance : 3.034703; rise_capacitance : 3.195354; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.536501; fall_capacitance : 3.223739; rise_capacitance : 3.536501; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.699000; function : "(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0196696,0.0237398,0.0270799,0.0327347,0.0426824,0.0613290,0.0980350", \ "0.0212043,0.0252699,0.0286102,0.0342669,0.0442160,0.0628632,0.0995702", \ "0.0275666,0.0315836,0.0349147,0.0405762,0.0505367,0.0691924,0.105907", \ "0.0374825,0.0419037,0.0454883,0.0514151,0.0615251,0.0801860,0.116863", \ "0.0476679,0.0526449,0.0566655,0.0631568,0.0738011,0.0927707,0.129439", \ "0.0584906,0.0639949,0.0684885,0.0756726,0.0870643,0.106463,0.143252", \ "0.0701194,0.0761321,0.0810997,0.0890734,0.101499,0.121745,0.158814"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0207220,0.0265385,0.0317295,0.0413152,0.0597413,0.0961864,0.168872", \ "0.0219624,0.0277770,0.0329646,0.0425474,0.0609748,0.0974242,0.170115", \ "0.0269868,0.0327497,0.0378925,0.0474236,0.0658238,0.102283,0.174989", \ "0.0333024,0.0393214,0.0445281,0.0541007,0.0724299,0.108801,0.181489", \ "0.0382651,0.0448971,0.0503045,0.0598693,0.0781357,0.114530,0.187118", \ "0.0418339,0.0491250,0.0551017,0.0649875,0.0831996,0.119398,0.192034", \ "0.0439056,0.0517573,0.0584194,0.0691160,0.0875737,0.123754,0.196230"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00399237,0.00589113,0.00773908,0.0113446,0.0186864,0.0340490,0.0657316", \ "0.00399267,0.00589277,0.00774089,0.0113452,0.0186866,0.0340492,0.0657313", \ "0.00404780,0.00594848,0.00778559,0.0113726,0.0186985,0.0340508,0.0657304", \ "0.00550304,0.00718087,0.00883053,0.0121152,0.0190440,0.0341325,0.0657370", \ "0.00730170,0.00899922,0.0106047,0.0136947,0.0202180,0.0347432,0.0658388", \ "0.00929635,0.0110614,0.0127149,0.0157373,0.0218274,0.0356037,0.0662983", \ "0.0115934,0.0134263,0.0151703,0.0182807,0.0241410,0.0371149,0.0668919"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00506408,0.00884309,0.0127775,0.0209283,0.0378808,0.0723462,0.141444", \ "0.00506095,0.00884341,0.0127796,0.0209312,0.0378846,0.0723441,0.141433", \ "0.00512687,0.00889104,0.0128154,0.0209486,0.0378895,0.0723490,0.141438", \ "0.00614672,0.00958514,0.0133369,0.0212793,0.0379654,0.0723461,0.141430", \ "0.00760951,0.0109077,0.0142276,0.0217108,0.0382977,0.0725235,0.141435", \ "0.00937512,0.0128709,0.0159873,0.0227272,0.0386348,0.0727627,0.141622", \ "0.0115006,0.0151521,0.0184622,0.0246579,0.0395788,0.0731409,0.141887"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0218205,0.0259622,0.0293602,0.0350955,0.0451305,0.0638490,0.100609", \ "0.0233862,0.0275255,0.0309237,0.0366601,0.0466965,0.0654174,0.102177", \ "0.0298236,0.0339303,0.0373190,0.0430584,0.0531033,0.0718322,0.108593", \ "0.0407986,0.0451910,0.0487553,0.0546683,0.0647936,0.0835293,0.120268", \ "0.0523069,0.0572436,0.0612205,0.0676592,0.0782804,0.0972719,0.133984", \ "0.0646224,0.0700534,0.0744565,0.0814945,0.0927450,0.112086,0.148912", \ "0.0781279,0.0840186,0.0888270,0.0965165,0.108553,0.128475,0.165412"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0220091,0.0278253,0.0330168,0.0426043,0.0610324,0.0974767,0.170163", \ "0.0232971,0.0291113,0.0343013,0.0438882,0.0623189,0.0987665,0.171454", \ "0.0270683,0.0328588,0.0380242,0.0475820,0.0660017,0.102459,0.175162", \ "0.0321782,0.0381630,0.0433994,0.0530018,0.0713961,0.107822,0.180527", \ "0.0367139,0.0430930,0.0484878,0.0581503,0.0765340,0.112967,0.185620", \ "0.0398044,0.0467728,0.0525357,0.0624841,0.0809460,0.117306,0.189985", \ "0.0411274,0.0486948,0.0550200,0.0655017,0.0843034,0.120834,0.193489"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00420222,0.00608844,0.00792744,0.0115158,0.0188282,0.0341491,0.0658003", \ "0.00420230,0.00608986,0.00792868,0.0115165,0.0188282,0.0341498,0.0658000", \ "0.00422537,0.00611854,0.00795428,0.0115325,0.0188348,0.0341506,0.0657996", \ "0.00542076,0.00709330,0.00875471,0.0120615,0.0190727,0.0342132,0.0658063", \ "0.00716033,0.00882460,0.0104239,0.0135451,0.0201405,0.0347256,0.0658894", \ "0.00898836,0.0106925,0.0123155,0.0153410,0.0215306,0.0354828,0.0662934", \ "0.0109863,0.0127240,0.0143952,0.0174395,0.0233452,0.0365688,0.0667127"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00506073,0.00884262,0.0127788,0.0209275,0.0378883,0.0723492,0.141438", \ "0.00506370,0.00884329,0.0127775,0.0209284,0.0378868,0.0723435,0.141431", \ "0.00510114,0.00886996,0.0127998,0.0209374,0.0378867,0.0723436,0.141434", \ "0.00562869,0.00931398,0.0131537,0.0211590,0.0379371,0.0723462,0.141435", \ "0.00660073,0.0101581,0.0137953,0.0215346,0.0381629,0.0724554,0.141434", \ "0.00792508,0.0115586,0.0150001,0.0223411,0.0385420,0.0726291,0.141536", \ "0.00948843,0.0133275,0.0167762,0.0237117,0.0394283,0.0731040,0.141730"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("10.104290,10.991170,11.608100,12.106690,12.401430,12.540440,12.586990", \ "9.978239,10.867990,11.469980,11.971800,12.266210,12.406400,12.453670", \ "10.026020,10.822710,11.373220,11.903440,12.217760,12.368980,12.444650", \ "10.591690,11.364370,11.950400,12.471910,12.776080,12.938430,13.008810", \ "12.013510,12.321450,12.716400,13.393310,14.033360,14.202530,14.266660", \ "14.454300,14.398540,14.615960,15.163580,15.734810,16.193550,16.350320", \ "17.756260,17.496030,17.508110,17.887810,18.493670,18.942470,19.392360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("6.990905,7.561334,7.762390,7.921433,8.156083,8.124473,8.106902", \ "6.882862,7.485850,7.674081,7.810855,8.112758,8.076204,7.831594", \ "6.933676,7.497559,7.567242,7.810733,7.929617,7.886029,7.614578", \ "7.739150,8.081301,8.198337,8.238225,7.969566,8.404631,8.190126", \ "9.317495,9.627635,9.683695,9.496389,9.264261,9.276347,9.424416", \ "11.629920,12.008060,12.173040,11.786850,11.554660,11.319830,11.423880", \ "14.778130,15.074880,15.327960,15.300500,15.103700,14.849690,14.510190"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("11.380380,12.269150,12.914580,13.476960,13.832520,14.024930,14.114750", \ "11.248110,12.212070,12.799680,13.361090,13.724140,13.905000,13.999470", \ "11.293100,12.166530,12.763680,13.348730,13.724540,13.919050,14.035980", \ "12.120680,12.873560,13.394220,13.941360,14.291720,14.499090,14.620820", \ "13.449980,13.820830,14.287400,14.969260,15.564530,15.764700,15.887680", \ "15.876170,15.910600,16.148680,16.704560,17.293660,17.771970,17.942940", \ "19.175180,19.014950,19.037230,19.450590,19.998260,20.373100,20.830920"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("7.001019,7.576112,7.714015,7.927433,8.150106,8.327528,8.062851", \ "6.898440,7.477808,7.671367,7.821055,7.810540,8.233902,8.022419", \ "6.764347,7.346376,7.555301,7.628749,7.631487,8.036395,7.498835", \ "7.140648,7.549308,7.608612,7.800953,7.898104,8.102231,7.521792", \ "8.225494,8.605323,8.643868,8.552931,8.515162,8.729071,7.762507", \ "9.774761,10.328590,10.522100,10.338370,10.149870,10.158230,9.892635", \ "11.994540,12.575740,12.877670,12.964040,13.012510,12.508580,12.183150"); } } } } /****************************************************************************************** Module : AND3_X1 Cell Description : Combinational cell (AND3_X1) with drive strength X1 *******************************************************************************************/ cell (AND3_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 26.481460; leakage_power () { when : "!A1 & !A2 & !A3"; value : 20.243410; } leakage_power () { when : "!A1 & !A2 & A3"; value : 27.583270; } leakage_power () { when : "!A1 & A2 & !A3"; value : 20.683538; } leakage_power () { when : "!A1 & A2 & A3"; value : 38.106915; } leakage_power () { when : "A1 & !A2 & !A3"; value : 19.241266; } leakage_power () { when : "A1 & !A2 & A3"; value : 27.881517; } leakage_power () { when : "A1 & A2 & !A3"; value : 20.463591; } leakage_power () { when : "A1 & A2 & A3"; value : 37.648171; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.879747; fall_capacitance : 0.866140; rise_capacitance : 0.879747; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.927525; fall_capacitance : 0.881159; rise_capacitance : 0.927525; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.964824; fall_capacitance : 0.882954; rise_capacitance : 0.964824; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.424800; function : "((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0237083,0.0274322,0.0311082,0.0372104,0.0476210,0.0665572,0.103264", \ "0.0253451,0.0290671,0.0327430,0.0388464,0.0492580,0.0681943,0.104902", \ "0.0316067,0.0353021,0.0389667,0.0450716,0.0554924,0.0744380,0.111155", \ "0.0425223,0.0464623,0.0503138,0.0565961,0.0671162,0.0860898,0.122786", \ "0.0536139,0.0580666,0.0624081,0.0693638,0.0805760,0.0999603,0.136656", \ "0.0648208,0.0697674,0.0746136,0.0823406,0.0944332,0.114459,0.151422", \ "0.0763264,0.0817382,0.0870881,0.0956377,0.108853,0.129906,0.167279"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0320842,0.0378365,0.0438004,0.0542537,0.0732745,0.109843,0.182443", \ "0.0331863,0.0389386,0.0449021,0.0553548,0.0743776,0.110945,0.183543", \ "0.0377474,0.0434965,0.0494529,0.0598891,0.0788928,0.115461,0.188059", \ "0.0464817,0.0522682,0.0582382,0.0686453,0.0875721,0.124053,0.196621", \ "0.0547866,0.0608990,0.0670410,0.0775681,0.0966116,0.133085,0.205530", \ "0.0619894,0.0685841,0.0751933,0.0860372,0.105029,0.141453,0.213918", \ "0.0681592,0.0752068,0.0824141,0.0940040,0.113263,0.149630,0.221995"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.00478702,0.00648866,0.00840519,0.0120296,0.0192313,0.0342254,0.0655488", \ "0.00478639,0.00648975,0.00840580,0.0120302,0.0192308,0.0342244,0.0655478", \ "0.00480441,0.00651471,0.00842877,0.0120463,0.0192381,0.0342273,0.0655481", \ "0.00598096,0.00752129,0.00925700,0.0125943,0.0195074,0.0343142,0.0655590", \ "0.00789089,0.00947035,0.0111984,0.0144161,0.0208902,0.0349909,0.0656628", \ "0.00999367,0.0116448,0.0134412,0.0166522,0.0227926,0.0361676,0.0662005", \ "0.0123647,0.0141008,0.0159948,0.0193232,0.0253368,0.0379523,0.0669376"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.00717460,0.0106792,0.0146692,0.0225059,0.0387035,0.0725051,0.141342", \ "0.00717644,0.0106806,0.0146676,0.0225063,0.0386962,0.0725134,0.141345", \ "0.00717547,0.0106805,0.0146706,0.0225106,0.0386983,0.0725097,0.141330", \ "0.00766666,0.0110879,0.0149963,0.0227044,0.0387808,0.0725209,0.141335", \ "0.00907715,0.0122654,0.0159324,0.0234209,0.0392974,0.0726825,0.141339", \ "0.0108284,0.0140807,0.0175741,0.0244722,0.0397657,0.0731139,0.141509", \ "0.0128914,0.0162384,0.0198825,0.0263934,0.0407751,0.0734108,0.141850"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0260801,0.0298667,0.0336026,0.0397880,0.0502951,0.0693178,0.106084", \ "0.0276875,0.0314745,0.0352103,0.0413967,0.0519048,0.0709274,0.107694", \ "0.0339455,0.0377132,0.0414399,0.0476249,0.0581396,0.0771696,0.113942", \ "0.0455911,0.0495134,0.0533448,0.0596158,0.0701892,0.0892378,0.126003", \ "0.0579169,0.0623561,0.0666600,0.0735654,0.0847488,0.104136,0.140887", \ "0.0704886,0.0754059,0.0801925,0.0878033,0.0997690,0.119739,0.156729", \ "0.0836445,0.0889981,0.0942366,0.102562,0.115488,0.136280,0.173551"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0346701,0.0404221,0.0463860,0.0568395,0.0758633,0.112432,0.185030", \ "0.0359516,0.0417030,0.0476675,0.0581227,0.0771470,0.113718,0.186314", \ "0.0400102,0.0457607,0.0517203,0.0621630,0.0811764,0.117746,0.190347", \ "0.0471834,0.0530042,0.0590002,0.0694395,0.0884159,0.124949,0.197539", \ "0.0550357,0.0610616,0.0672071,0.0777988,0.0969066,0.133436,0.205959", \ "0.0621801,0.0685932,0.0750646,0.0859357,0.105111,0.141628,0.214196", \ "0.0682692,0.0751224,0.0820942,0.0934726,0.112997,0.149574,0.222074"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.00500747,0.00669722,0.00860478,0.0122157,0.0193930,0.0343457,0.0656275", \ "0.00500661,0.00669733,0.00860542,0.0122163,0.0193920,0.0343456,0.0656258", \ "0.00501424,0.00671438,0.00862058,0.0122279,0.0193971,0.0343470,0.0656262", \ "0.00593830,0.00746331,0.00919911,0.0125954,0.0195859,0.0344115,0.0656379", \ "0.00782076,0.00935760,0.0110714,0.0142994,0.0208197,0.0349801,0.0657283", \ "0.00981518,0.0114167,0.0131788,0.0163669,0.0225636,0.0360717,0.0662031", \ "0.0119819,0.0136369,0.0154646,0.0187287,0.0247511,0.0375407,0.0668101"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.00717574,0.0106803,0.0146696,0.0225066,0.0386971,0.0725135,0.141333", \ "0.00717563,0.0106793,0.0146684,0.0225060,0.0386970,0.0725217,0.141330", \ "0.00717478,0.0106808,0.0146706,0.0225108,0.0387002,0.0725274,0.141336", \ "0.00748870,0.0109709,0.0149005,0.0226458,0.0387568,0.0725110,0.141334", \ "0.00831515,0.0117272,0.0155883,0.0232209,0.0391581,0.0726401,0.141341", \ "0.00966767,0.0130554,0.0167742,0.0240606,0.0395864,0.0729758,0.141461", \ "0.0113132,0.0148015,0.0185554,0.0255382,0.0404884,0.0732967,0.141719"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0280306,0.0318724,0.0356605,0.0419201,0.0525131,0.0716182,0.108450", \ "0.0296061,0.0334486,0.0372365,0.0434964,0.0540904,0.0731966,0.110031", \ "0.0358883,0.0397139,0.0434943,0.0497512,0.0603493,0.0794619,0.116301", \ "0.0480778,0.0519912,0.0558080,0.0620955,0.0727188,0.0918365,0.128665", \ "0.0615627,0.0659653,0.0702434,0.0771101,0.0882611,0.107644,0.144452", \ "0.0754828,0.0803587,0.0850912,0.0926216,0.104500,0.124435,0.161452", \ "0.0902946,0.0956001,0.100770,0.108974,0.121705,0.142382,0.179662"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.0358127,0.0415645,0.0475287,0.0579828,0.0770068,0.113577,0.186175", \ "0.0370504,0.0428025,0.0487667,0.0592210,0.0782475,0.114819,0.187414", \ "0.0398693,0.0456200,0.0515809,0.0620285,0.0810458,0.117618,0.190215", \ "0.0441398,0.0499608,0.0559694,0.0664305,0.0854315,0.121980,0.194574", \ "0.0488350,0.0548160,0.0609607,0.0715798,0.0907069,0.127292,0.199854", \ "0.0531813,0.0594494,0.0658537,0.0767537,0.0960259,0.132641,0.205233", \ "0.0562258,0.0629105,0.0697324,0.0811587,0.100897,0.137759,0.210335"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.00522728,0.00690257,0.00879844,0.0123942,0.0195490,0.0344713,0.0657230", \ "0.00522865,0.00690260,0.00879738,0.0123953,0.0195492,0.0344724,0.0657208", \ "0.00523067,0.00691187,0.00881136,0.0124037,0.0195525,0.0344734,0.0657231", \ "0.00592705,0.00743824,0.00919446,0.0126421,0.0196759,0.0345142,0.0657277", \ "0.00776984,0.00928936,0.0109847,0.0142155,0.0207691,0.0349838,0.0658027", \ "0.00970655,0.0112702,0.0130021,0.0161758,0.0224107,0.0360188,0.0662253", \ "0.0117316,0.0133507,0.0151377,0.0183610,0.0244114,0.0373532,0.0668031"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.00717517,0.0106803,0.0146690,0.0225093,0.0386983,0.0725079,0.141330", \ "0.00717585,0.0106788,0.0146691,0.0225053,0.0386961,0.0725090,0.141334", \ "0.00717436,0.0106816,0.0146690,0.0225090,0.0386965,0.0725052,0.141341", \ "0.00741199,0.0109124,0.0148670,0.0226290,0.0387521,0.0725105,0.141342", \ "0.00791880,0.0114329,0.0153823,0.0230897,0.0390741,0.0726256,0.141331", \ "0.00888573,0.0124126,0.0163243,0.0238724,0.0395455,0.0728827,0.141428", \ "0.0103086,0.0138916,0.0178151,0.0252167,0.0405676,0.0733934,0.141644"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.851642,3.079909,3.251828,3.443015,3.567748,3.615379,3.631936", \ "2.831428,3.059790,3.234031,3.423178,3.548479,3.597966,3.614009", \ "2.823365,3.039145,3.216611,3.395082,3.524440,3.578842,3.598082", \ "2.975761,3.148228,3.310700,3.494912,3.618892,3.669991,3.693137", \ "3.216712,3.326540,3.471644,3.692087,3.875626,3.930506,3.945298", \ "3.759955,3.795848,3.856048,4.018285,4.229450,4.363419,4.391423", \ "4.507323,4.498889,4.520701,4.631827,4.825323,4.950952,5.053019"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.034110,2.145633,2.199691,2.225489,2.294521,2.325046,2.148763", \ "1.986705,2.129607,2.209650,2.229734,2.270167,2.328516,2.077381", \ "1.967075,2.117931,2.187496,2.199488,2.207513,2.265755,2.234501", \ "2.132691,2.263393,2.278440,2.321449,2.324330,2.340479,2.172634", \ "2.526194,2.593376,2.579479,2.615565,2.459316,2.475392,2.450074", \ "3.078562,3.125679,3.121158,3.093942,3.039907,2.879590,2.834832", \ "3.832498,3.832699,3.871517,3.836500,3.707498,3.476026,3.540398"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.195663,3.420815,3.605837,3.803124,3.943423,4.006274,4.033682", \ "3.175159,3.395988,3.581897,3.781859,3.921586,3.984900,4.011548", \ "3.162235,3.372505,3.567101,3.758940,3.904331,3.968879,3.999713", \ "3.341479,3.500752,3.662036,3.859833,3.996793,4.061105,4.093168", \ "3.599369,3.696054,3.852953,4.077877,4.258179,4.319537,4.348564", \ "4.132271,4.162446,4.245552,4.403911,4.620161,4.760382,4.789545", \ "4.882336,4.871572,4.897762,5.000171,5.199764,5.322596,5.421645"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.032590,2.152143,2.228153,2.264512,2.289667,2.320472,2.393403", \ "2.015436,2.125772,2.213796,2.268597,2.213649,2.243075,2.202085", \ "1.984720,2.073949,2.149309,2.220748,2.225110,2.201241,2.186092", \ "2.026466,2.114124,2.174318,2.198776,2.183986,2.188443,2.201070", \ "2.264105,2.341273,2.363468,2.365684,2.333750,2.327408,2.149858", \ "2.639111,2.716988,2.757483,2.741684,2.640721,2.587871,2.527999", \ "3.199483,3.284512,3.324444,3.326571,3.253059,3.013534,3.105893"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("3.476977,3.695185,3.890905,4.103423,4.257746,4.333675,4.374450", \ "3.462031,3.674470,3.866602,4.079464,4.231604,4.304792,4.347783", \ "3.448045,3.670886,3.852286,4.064242,4.219252,4.298459,4.340033", \ "3.637559,3.816937,3.963967,4.173019,4.318593,4.395506,4.442580", \ "3.914357,4.038617,4.188654,4.418100,4.589190,4.660746,4.705462", \ "4.448930,4.516598,4.599723,4.787318,4.978793,5.118790,5.159340", \ "5.255302,5.244968,5.284658,5.394856,5.591168,5.716609,5.821133"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("2.034707,2.139990,2.219285,2.250235,2.330818,2.343903,2.192333", \ "1.994852,2.140269,2.189922,2.239623,2.257901,2.270467,2.127152", \ "1.976399,2.076052,2.154756,2.185296,2.199874,2.295150,2.193506", \ "1.990233,2.106606,2.171278,2.211190,2.217941,2.282047,2.232436", \ "2.157878,2.244171,2.292883,2.319326,2.293933,2.130066,2.238953", \ "2.495629,2.610963,2.637772,2.629340,2.592330,2.606456,2.493648", \ "2.989367,3.116818,3.181784,3.207731,3.120496,3.068093,2.961935"); } } } } /****************************************************************************************** Module : AND3_X2 Cell Description : Combinational cell (AND3_X2) with drive strength X2 *******************************************************************************************/ cell (AND3_X2) { drive_strength : 2; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 53.190270; leakage_power () { when : "!A1 & !A2 & !A3"; value : 40.527190; } leakage_power () { when : "!A1 & !A2 & A3"; value : 55.390170; } leakage_power () { when : "!A1 & A2 & !A3"; value : 41.417343; } leakage_power () { when : "!A1 & A2 & A3"; value : 76.701350; } leakage_power () { when : "A1 & !A2 & !A3"; value : 38.496032; } leakage_power () { when : "A1 & !A2 & A3"; value : 55.992861; } leakage_power () { when : "A1 & A2 & !A3"; value : 40.969832; } leakage_power () { when : "A1 & A2 & A3"; value : 76.027380; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.599415; fall_capacitance : 1.571297; rise_capacitance : 1.599415; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.648903; fall_capacitance : 1.576790; rise_capacitance : 1.648903; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.700149; fall_capacitance : 1.546669; rise_capacitance : 1.700149; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0221392,0.0261774,0.0297021,0.0356095,0.0458136,0.0645822,0.101182", \ "0.0237688,0.0278037,0.0313294,0.0372380,0.0474430,0.0662112,0.102812", \ "0.0300472,0.0340493,0.0375658,0.0434777,0.0536937,0.0724720,0.109078", \ "0.0405130,0.0448357,0.0485618,0.0546947,0.0650296,0.0838300,0.120411", \ "0.0510069,0.0558970,0.0601004,0.0668648,0.0778530,0.0970451,0.133628", \ "0.0616746,0.0670978,0.0717935,0.0793047,0.0911396,0.110906,0.147702", \ "0.0725992,0.0785398,0.0837367,0.0920745,0.105004,0.125755,0.162927"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0301396,0.0364675,0.0422947,0.0525941,0.0714771,0.107937,0.180380", \ "0.0312269,0.0375537,0.0433803,0.0536792,0.0725628,0.109024,0.181469", \ "0.0358004,0.0421206,0.0479374,0.0582160,0.0770813,0.113542,0.185989", \ "0.0442689,0.0506324,0.0564787,0.0667324,0.0855247,0.121904,0.194320", \ "0.0521238,0.0588525,0.0648255,0.0751627,0.0940656,0.130459,0.202751", \ "0.0589266,0.0661716,0.0726095,0.0832310,0.102060,0.138372,0.210726", \ "0.0647851,0.0725318,0.0795611,0.0909097,0.109993,0.146238,0.218484"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00445510,0.00631910,0.00820208,0.0118067,0.0190350,0.0341178,0.0654952", \ "0.00445526,0.00631934,0.00820233,0.0118072,0.0190354,0.0341177,0.0654950", \ "0.00448169,0.00635428,0.00823301,0.0118265,0.0190433,0.0341201,0.0654943", \ "0.00577822,0.00745259,0.00915636,0.0124575,0.0193441,0.0342094,0.0655045", \ "0.00766633,0.00937678,0.0110563,0.0142180,0.0206846,0.0348802,0.0656037", \ "0.00976092,0.0115466,0.0132881,0.0164244,0.0225172,0.0359603,0.0661232", \ "0.0121556,0.0140148,0.0158540,0.0190981,0.0250348,0.0376871,0.0668243"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00676216,0.0106436,0.0146093,0.0224613,0.0387152,0.0725533,0.141265", \ "0.00676206,0.0106432,0.0146084,0.0224600,0.0387118,0.0725509,0.141265", \ "0.00676169,0.0106448,0.0146116,0.0224680,0.0387168,0.0725675,0.141265", \ "0.00732272,0.0111054,0.0149910,0.0226892,0.0387998,0.0725696,0.141276", \ "0.00875594,0.0122420,0.0158641,0.0233589,0.0393249,0.0727546,0.141283", \ "0.0105251,0.0140708,0.0174799,0.0243607,0.0397346,0.0731678,0.141473", \ "0.0126220,0.0162589,0.0198024,0.0262535,0.0407292,0.0734715,0.141817"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0244975,0.0286041,0.0321867,0.0381768,0.0484736,0.0673221,0.103978", \ "0.0260972,0.0302029,0.0337867,0.0397774,0.0500747,0.0689240,0.105580", \ "0.0323679,0.0364508,0.0400245,0.0460159,0.0563221,0.0751796,0.111840", \ "0.0436750,0.0479711,0.0516806,0.0577918,0.0681689,0.0870435,0.123690", \ "0.0554336,0.0602881,0.0644518,0.0711676,0.0821225,0.101323,0.137954", \ "0.0674862,0.0728649,0.0774930,0.0848672,0.0965666,0.116284,0.153109", \ "0.0801266,0.0859726,0.0910464,0.0991482,0.111756,0.132219,0.169273"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0327402,0.0390672,0.0448945,0.0551943,0.0740790,0.110542,0.182980", \ "0.0340012,0.0403273,0.0461550,0.0564565,0.0753422,0.111808,0.184243", \ "0.0380068,0.0443303,0.0501506,0.0604373,0.0793116,0.115775,0.188219", \ "0.0449664,0.0513791,0.0572525,0.0675453,0.0863831,0.122809,0.195249", \ "0.0524307,0.0590764,0.0650810,0.0755074,0.0944677,0.130913,0.203281", \ "0.0591238,0.0662171,0.0725420,0.0832305,0.102249,0.138676,0.211081", \ "0.0647684,0.0723468,0.0791698,0.0903870,0.109767,0.146256,0.218618"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00467234,0.00652248,0.00839701,0.0119877,0.0191890,0.0342312,0.0655674", \ "0.00467230,0.00652398,0.00839805,0.0119878,0.0191889,0.0342313,0.0655680", \ "0.00468388,0.00654323,0.00841592,0.0120005,0.0191949,0.0342325,0.0655682", \ "0.00572231,0.00738690,0.00908872,0.0124321,0.0194059,0.0342994,0.0655781", \ "0.00756830,0.00924233,0.0109108,0.0140903,0.0206103,0.0348643,0.0656662", \ "0.00953921,0.0112729,0.0129778,0.0160998,0.0222637,0.0358528,0.0661223", \ "0.0116998,0.0134800,0.0152503,0.0184304,0.0243770,0.0372274,0.0666700"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00676228,0.0106435,0.0146080,0.0224605,0.0387113,0.0725520,0.141279", \ "0.00676240,0.0106438,0.0146091,0.0224601,0.0387170,0.0725507,0.141277", \ "0.00676097,0.0106444,0.0146106,0.0224647,0.0387167,0.0725511,0.141273", \ "0.00711537,0.0109779,0.0148844,0.0226219,0.0387754,0.0725641,0.141267", \ "0.00798109,0.0117316,0.0155497,0.0231793,0.0391820,0.0726938,0.141273", \ "0.00936119,0.0130813,0.0167383,0.0240081,0.0395890,0.0730169,0.141407", \ "0.0110388,0.0148637,0.0185415,0.0254819,0.0404881,0.0733603,0.141679"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0264138,0.0305851,0.0342209,0.0402843,0.0506658,0.0695952,0.106318", \ "0.0279865,0.0321569,0.0357924,0.0418567,0.0522387,0.0711691,0.107889", \ "0.0342795,0.0384343,0.0420619,0.0481258,0.0585136,0.0774506,0.114176", \ "0.0462304,0.0505145,0.0542101,0.0603238,0.0707422,0.0896834,0.126399", \ "0.0591650,0.0639885,0.0681169,0.0747959,0.0857188,0.104922,0.141608", \ "0.0725933,0.0779239,0.0824999,0.0897859,0.101405,0.121081,0.157954", \ "0.0869621,0.0927465,0.0977420,0.105709,0.118128,0.138470,0.175542"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0338833,0.0402105,0.0460379,0.0563385,0.0752241,0.111687,0.184126", \ "0.0350958,0.0414222,0.0472494,0.0575502,0.0764383,0.112900,0.185343", \ "0.0378563,0.0441799,0.0500030,0.0602949,0.0791732,0.115638,0.188079", \ "0.0419445,0.0483498,0.0542326,0.0645487,0.0834131,0.119861,0.192297", \ "0.0463939,0.0529873,0.0589925,0.0694569,0.0884446,0.124935,0.197336", \ "0.0503594,0.0572911,0.0635639,0.0743117,0.0934731,0.129987,0.202422", \ "0.0529663,0.0603745,0.0670751,0.0783360,0.0979771,0.134754,0.207191"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00489567,0.00672973,0.00859107,0.0121652,0.0193425,0.0343536,0.0656588", \ "0.00489475,0.00672914,0.00859117,0.0121655,0.0193426,0.0343535,0.0656604", \ "0.00489947,0.00674165,0.00860456,0.0121745,0.0193466,0.0343548,0.0656591", \ "0.00571395,0.00736147,0.00906907,0.0124560,0.0194841,0.0343972,0.0656669", \ "0.00752535,0.00916002,0.0108165,0.0140028,0.0205627,0.0348679,0.0657354", \ "0.00942425,0.0111084,0.0127834,0.0158979,0.0221033,0.0358060,0.0661448", \ "0.0114188,0.0131563,0.0148865,0.0180301,0.0240176,0.0370387,0.0666683"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00676212,0.0106421,0.0146077,0.0224604,0.0387113,0.0725515,0.141268", \ "0.00676239,0.0106434,0.0146073,0.0224615,0.0387120,0.0725498,0.141267", \ "0.00676196,0.0106438,0.0146098,0.0224641,0.0387148,0.0725530,0.141271", \ "0.00702046,0.0109028,0.0148367,0.0226029,0.0387625,0.0725669,0.141269", \ "0.00754969,0.0114348,0.0153480,0.0230530,0.0390898,0.0726711,0.141275", \ "0.00856617,0.0124545,0.0163174,0.0238522,0.0395662,0.0729332,0.141389", \ "0.0100304,0.0139839,0.0178478,0.0252217,0.0406209,0.0734757,0.141599"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("5.474260,5.943682,6.276287,6.580335,6.780552,6.860827,6.891341", \ "5.460390,5.895103,6.231038,6.543716,6.740976,6.819247,6.853909", \ "5.409083,5.866997,6.160983,6.494569,6.693227,6.788540,6.826986", \ "5.722545,6.104764,6.394457,6.694691,6.892697,6.986545,7.029967", \ "6.302949,6.465700,6.682835,7.075643,7.429838,7.523540,7.554270", \ "7.363462,7.400522,7.533179,7.825669,8.156859,8.415393,8.473582", \ "8.924012,8.835961,8.838919,9.037469,9.371955,9.612055,9.833856"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("3.991200,4.274221,4.445290,4.516807,4.593583,4.688637,4.487279", \ "3.978517,4.227902,4.357642,4.462169,4.502026,4.625699,4.374443", \ "3.948661,4.245339,4.344461,4.420627,4.493655,4.421945,4.486076", \ "4.301863,4.501484,4.604391,4.630370,4.587589,4.652942,4.664421", \ "5.020534,5.165776,5.190062,5.216389,5.204707,4.916516,5.089186", \ "6.186630,6.273785,6.316367,6.273163,6.114404,5.775076,5.946290", \ "7.704604,7.713504,7.814438,7.772034,7.530526,7.164273,6.977598"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.137216,6.627303,6.957050,7.317619,7.538028,7.649240,7.699437", \ "6.130590,6.583349,6.912347,7.264506,7.494618,7.603050,7.656416", \ "6.119497,6.524535,6.868199,7.217067,7.453155,7.571573,7.630382", \ "6.444585,6.824607,7.115861,7.433368,7.656695,7.769407,7.832779", \ "7.016035,7.226877,7.447027,7.850057,8.187118,8.300203,8.361752", \ "8.104081,8.141924,8.265892,8.598078,8.924841,9.196045,9.260290", \ "9.649573,9.573126,9.595657,9.777449,10.125580,10.341010,10.548650"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.020420,4.326453,4.434827,4.523155,4.560211,4.280582,4.624510", \ "4.004250,4.231665,4.371328,4.489007,4.498504,4.679251,4.679958", \ "3.867511,4.212165,4.343586,4.359518,4.330553,4.564176,4.649187", \ "3.990505,4.263514,4.415020,4.463915,4.477769,4.525225,4.396336", \ "4.518758,4.722023,4.707443,4.756742,4.804883,4.760053,4.679240", \ "5.341217,5.503560,5.586651,5.526883,5.454897,5.004845,5.095110", \ "6.496969,6.620939,6.686438,6.722807,6.528549,6.327823,6.089102"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.732634,7.195645,7.542094,7.912005,8.164897,8.305667,8.377822", \ "6.659105,7.126702,7.482652,7.851632,8.114444,8.245232,8.328521", \ "6.686232,7.112369,7.464502,7.817632,8.092401,8.234888,8.319141", \ "7.078724,7.412853,7.717774,8.041266,8.299624,8.441092,8.529359", \ "7.624664,7.887577,8.132752,8.546362,8.855959,8.993481,9.069174", \ "8.769043,8.854033,8.993706,9.314237,9.657656,9.924410,10.004020", \ "10.402320,10.345300,10.388400,10.588670,10.912360,11.132900,11.352420"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("4.038440,4.310032,4.406481,4.530319,4.564976,4.302526,4.662807", \ "3.994521,4.240289,4.383342,4.472863,4.599088,4.653669,4.534235", \ "3.898367,4.185149,4.283886,4.356430,4.401754,4.598134,4.452587", \ "3.927930,4.193645,4.352756,4.365503,4.418705,4.097952,4.467473", \ "4.305792,4.537742,4.636487,4.593069,4.646676,4.673614,4.737304", \ "4.974227,5.230180,5.361641,5.320340,5.120488,5.258761,5.290922", \ "6.074175,6.269273,6.461876,6.525723,6.451055,6.261086,6.241641"); } } } } /****************************************************************************************** Module : AND3_X4 Cell Description : Combinational cell (AND3_X4) with drive strength X4 *******************************************************************************************/ cell (AND3_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 106.380663; leakage_power () { when : "!A1 & !A2 & !A3"; value : 81.054600; } leakage_power () { when : "!A1 & !A2 & A3"; value : 110.780450; } leakage_power () { when : "!A1 & A2 & !A3"; value : 82.834785; } leakage_power () { when : "!A1 & A2 & A3"; value : 153.402810; } leakage_power () { when : "A1 & !A2 & !A3"; value : 76.992071; } leakage_power () { when : "A1 & !A2 & A3"; value : 111.985943; } leakage_power () { when : "A1 & A2 & !A3"; value : 81.939777; } leakage_power () { when : "A1 & A2 & A3"; value : 152.054870; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.085124; fall_capacitance : 3.017611; rise_capacitance : 3.085124; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.300701; fall_capacitance : 3.134179; rise_capacitance : 3.300701; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.581806; fall_capacitance : 3.239497; rise_capacitance : 3.581806; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.089000; function : "((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0213808,0.0256379,0.0291260,0.0349840,0.0451423,0.0639048,0.100573", \ "0.0230106,0.0272651,0.0307541,0.0366134,0.0467729,0.0655362,0.102205", \ "0.0293035,0.0335191,0.0369988,0.0428624,0.0530334,0.0718064,0.108479", \ "0.0395494,0.0441230,0.0478274,0.0539292,0.0642262,0.0830217,0.119669", \ "0.0497922,0.0549611,0.0591329,0.0658521,0.0767846,0.0959512,0.132596", \ "0.0602424,0.0659664,0.0706297,0.0780891,0.0898557,0.109573,0.146406", \ "0.0709550,0.0772284,0.0823903,0.0906648,0.103530,0.124219,0.161412"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0288983,0.0355874,0.0413867,0.0516545,0.0705269,0.107028,0.179561", \ "0.0299809,0.0366692,0.0424680,0.0527354,0.0716070,0.108111,0.180649", \ "0.0345741,0.0412543,0.0470408,0.0572848,0.0761376,0.112638,0.185185", \ "0.0428627,0.0495828,0.0554105,0.0656419,0.0844238,0.120848,0.193359", \ "0.0504614,0.0575574,0.0634903,0.0738065,0.0926792,0.129122,0.201527", \ "0.0570136,0.0646762,0.0710629,0.0816389,0.100441,0.136787,0.209303", \ "0.0626380,0.0708017,0.0777924,0.0890771,0.108119,0.144433,0.216795"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00425502,0.00621394,0.00809437,0.0117082,0.0189747,0.0341429,0.0656328", \ "0.00425478,0.00621540,0.00809548,0.0117083,0.0189749,0.0341429,0.0656324", \ "0.00428607,0.00625353,0.00812815,0.0117288,0.0189836,0.0341444,0.0656311", \ "0.00563993,0.00739291,0.00908974,0.0123936,0.0192977,0.0342340,0.0656389", \ "0.00752191,0.00930267,0.0109676,0.0141200,0.0206089,0.0348976,0.0657409", \ "0.00961984,0.0114748,0.0131978,0.0163083,0.0224024,0.0359334,0.0662517", \ "0.0120213,0.0139528,0.0157706,0.0189889,0.0249032,0.0376331,0.0669349"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00640305,0.0105057,0.0144775,0.0223614,0.0386821,0.0726236,0.141451", \ "0.00640276,0.0105057,0.0144773,0.0223584,0.0386882,0.0726293,0.141436", \ "0.00640312,0.0105074,0.0144818,0.0223656,0.0386900,0.0726185,0.141447", \ "0.00701049,0.0109926,0.0148861,0.0226066,0.0387728,0.0726402,0.141452", \ "0.00846103,0.0121122,0.0157277,0.0232489,0.0392938,0.0728241,0.141449", \ "0.0102462,0.0139433,0.0173349,0.0242319,0.0396910,0.0732364,0.141652", \ "0.0123790,0.0161502,0.0196655,0.0261092,0.0406734,0.0735373,0.142010"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0237012,0.0280292,0.0315745,0.0375129,0.0477611,0.0666023,0.103323", \ "0.0252967,0.0296248,0.0331707,0.0391105,0.0493597,0.0682012,0.104924", \ "0.0315791,0.0358788,0.0394170,0.0453576,0.0556149,0.0744641,0.111192", \ "0.0427230,0.0472648,0.0509521,0.0570296,0.0673634,0.0862283,0.122937", \ "0.0542327,0.0593627,0.0634918,0.0701612,0.0810673,0.100239,0.136934", \ "0.0660784,0.0717380,0.0763297,0.0836677,0.0952944,0.114955,0.151818", \ "0.0785230,0.0846899,0.0897204,0.0977546,0.110276,0.130668,0.167741"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0314679,0.0381567,0.0439561,0.0542248,0.0730996,0.109600,0.182135", \ "0.0327200,0.0394081,0.0452078,0.0554768,0.0743519,0.110856,0.183389", \ "0.0367166,0.0433982,0.0491890,0.0594422,0.0783033,0.114805,0.187351", \ "0.0435438,0.0503266,0.0561795,0.0664463,0.0852758,0.121740,0.194273", \ "0.0507762,0.0578065,0.0637830,0.0741771,0.0931185,0.129612,0.202076", \ "0.0572114,0.0647156,0.0710110,0.0816654,0.100666,0.137130,0.209659", \ "0.0625832,0.0706057,0.0774091,0.0886063,0.107953,0.144487,0.216970"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00446926,0.00641361,0.00828460,0.0118826,0.0191231,0.0342509,0.0657023", \ "0.00446975,0.00641461,0.00828507,0.0118831,0.0191228,0.0342507,0.0657022", \ "0.00448185,0.00643545,0.00830557,0.0118963,0.0191293,0.0342521,0.0657041", \ "0.00557634,0.00731919,0.00901667,0.0123568,0.0193491,0.0343199,0.0657120", \ "0.00741433,0.00915573,0.0108100,0.0139817,0.0205300,0.0348792,0.0658011", \ "0.00938013,0.0111737,0.0128596,0.0159597,0.0221335,0.0358183,0.0662475", \ "0.0115357,0.0133776,0.0151244,0.0182733,0.0242098,0.0371421,0.0667694"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00640451,0.0105055,0.0144769,0.0223610,0.0386819,0.0726257,0.141444", \ "0.00640336,0.0105056,0.0144774,0.0223596,0.0386841,0.0726247,0.141444", \ "0.00640384,0.0105078,0.0144797,0.0223652,0.0386826,0.0726183,0.141449", \ "0.00678088,0.0108625,0.0147774,0.0225368,0.0387488,0.0726291,0.141452", \ "0.00767645,0.0116192,0.0154319,0.0230827,0.0391590,0.0727728,0.141454", \ "0.00907899,0.0129822,0.0166265,0.0239045,0.0395536,0.0730853,0.141589", \ "0.0107733,0.0147776,0.0184387,0.0253805,0.0404567,0.0734323,0.141858"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0256111,0.0300071,0.0336050,0.0396169,0.0499491,0.0688692,0.105658", \ "0.0271799,0.0315757,0.0351735,0.0411860,0.0515190,0.0704398,0.107228", \ "0.0334842,0.0378601,0.0414493,0.0474617,0.0578007,0.0767286,0.113519", \ "0.0453113,0.0498444,0.0535148,0.0595870,0.0699570,0.0888895,0.125670", \ "0.0580130,0.0631060,0.0671906,0.0738252,0.0847125,0.103887,0.140628", \ "0.0712412,0.0768419,0.0813795,0.0886270,0.100173,0.119823,0.156708", \ "0.0854266,0.0915231,0.0964732,0.104365,0.116670,0.136993,0.174074"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0326156,0.0393041,0.0451037,0.0553731,0.0742496,0.110752,0.183283", \ "0.0338197,0.0405085,0.0463080,0.0565774,0.0754543,0.111960,0.184489", \ "0.0365748,0.0432589,0.0490522,0.0593092,0.0781771,0.114681,0.187219", \ "0.0405785,0.0473569,0.0532127,0.0635083,0.0823665,0.118852,0.191393", \ "0.0449146,0.0518906,0.0578709,0.0683058,0.0872797,0.123813,0.196315", \ "0.0486912,0.0560420,0.0622927,0.0730163,0.0921652,0.128721,0.201258", \ "0.0510895,0.0589392,0.0656272,0.0768714,0.0964929,0.133347,0.205896"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00469403,0.00661724,0.00847656,0.0120570,0.0192739,0.0343715,0.0657929", \ "0.00469344,0.00661780,0.00847744,0.0120573,0.0192737,0.0343708,0.0657932", \ "0.00469707,0.00663147,0.00848989,0.0120670,0.0192777,0.0343713,0.0657930", \ "0.00556271,0.00729168,0.00898844,0.0123698,0.0194214,0.0344155,0.0657985", \ "0.00735914,0.00906761,0.0107108,0.0138912,0.0204820,0.0348822,0.0658682", \ "0.00924100,0.0109965,0.0126576,0.0157483,0.0219719,0.0357701,0.0662713", \ "0.0112380,0.0130335,0.0147418,0.0178586,0.0238456,0.0369530,0.0667697"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00640404,0.0105058,0.0144763,0.0223589,0.0386802,0.0726310,0.141453", \ "0.00640392,0.0105060,0.0144777,0.0223609,0.0386876,0.0726299,0.141450", \ "0.00640366,0.0105071,0.0144786,0.0223614,0.0386817,0.0726210,0.141443", \ "0.00667500,0.0107779,0.0147213,0.0225140,0.0387351,0.0726354,0.141435", \ "0.00721773,0.0113199,0.0152323,0.0229593,0.0390657,0.0727379,0.141441", \ "0.00827259,0.0123644,0.0162201,0.0237681,0.0395383,0.0730065,0.141550", \ "0.00975929,0.0139119,0.0177654,0.0251493,0.0406087,0.0735610,0.141780"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("10.623460,11.579040,12.183770,12.800910,13.158380,13.302980,13.369420", \ "10.558630,11.508280,12.129080,12.725390,13.086880,13.235120,13.297820", \ "10.476770,11.416550,11.996270,12.609380,13.002780,13.169500,13.252130", \ "11.080750,11.928930,12.439760,13.022360,13.417800,13.593290,13.668970", \ "12.340140,12.669960,13.100650,13.823630,14.487200,14.676600,14.741410", \ "14.484010,14.553640,14.751020,15.342910,15.965640,16.465230,16.595250", \ "17.608900,17.449460,17.422900,17.789990,18.430490,18.905720,19.326490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("7.732159,8.307993,8.584703,8.668048,8.690659,8.670118,8.818560", \ "7.617061,8.254296,8.433387,8.728794,8.884156,8.411206,8.627581", \ "7.575141,8.175469,8.372031,8.578015,8.620495,8.949791,8.453699", \ "8.252003,8.712765,8.948773,8.888161,8.680776,8.658008,9.221139", \ "9.774628,10.093100,10.212070,9.964433,9.876604,9.904008,9.533185", \ "12.095510,12.359610,12.404760,12.333150,11.798840,11.282240,11.310220", \ "15.279440,15.270570,15.333970,15.401950,14.922740,14.378300,14.120160"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("12.027300,12.978590,13.602620,14.254820,14.692780,14.883040,14.995340", \ "11.917610,12.873710,13.503600,14.166820,14.594140,14.790790,14.899210", \ "11.919160,12.815760,13.410970,14.079970,14.519180,14.741750,14.859180", \ "12.575450,13.343510,13.877900,14.495230,14.931910,15.144200,15.275490", \ "13.682310,14.132760,14.635500,15.372530,16.012780,16.227170,16.344230", \ "15.931830,16.039730,16.279900,16.860560,17.501330,18.003210,18.150840", \ "19.054670,18.931260,18.946260,19.317080,19.903850,20.311420,20.752110"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("7.668084,8.305727,8.530606,8.630078,8.765705,8.731011,8.808592", \ "7.652617,8.263858,8.447827,8.662554,8.787009,8.604663,9.210146", \ "7.489127,8.114641,8.382165,8.420647,8.694711,8.843661,7.807139", \ "7.732287,8.306635,8.474794,8.470063,8.719517,8.730857,8.681787", \ "8.756272,9.099498,9.276091,9.154379,8.994164,9.218407,9.325321", \ "10.434850,10.731740,10.847690,10.878640,10.589980,10.164290,9.754587", \ "12.678710,12.962710,13.195020,13.272740,13.054760,12.516700,12.324980"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("13.089700,14.113740,14.766370,15.456340,15.949900,16.207300,16.360480", \ "13.069230,13.974120,14.654700,15.356020,15.831780,16.098670,16.252810", \ "13.080100,13.976520,14.601280,15.284450,15.800820,16.070340,16.241890", \ "13.820050,14.552350,15.131850,15.745440,16.215050,16.493600,16.667700", \ "15.030630,15.464840,15.966120,16.722770,17.361180,17.605160,17.776560", \ "17.296030,17.429820,17.699990,18.306160,18.963650,19.489510,19.639240", \ "20.542980,20.404070,20.483860,20.880640,21.460600,21.917770,22.366020"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("7.733548,8.349428,8.532169,8.821407,8.881877,8.641618,9.175967", \ "7.582754,8.198449,8.443710,8.543816,8.891359,8.834184,8.919508", \ "7.410606,8.096763,8.271762,8.387902,8.554897,8.901821,7.837874", \ "7.532258,8.105445,8.236849,8.547982,8.288169,8.205498,8.580415", \ "8.350093,8.778249,8.922885,8.945865,8.813662,9.046272,9.029933", \ "9.720819,10.253460,10.368670,10.390440,10.381020,9.809936,10.136050", \ "11.946470,12.316300,12.558540,12.799650,12.820090,12.587020,12.541160"); } } } } /****************************************************************************************** Module : AND4_X1 Cell Description : Combinational cell (AND4_X1) with drive strength X1 *******************************************************************************************/ cell (AND4_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 27.024804; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 20.240110; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 27.502310; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 20.725907; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 34.841532; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 20.371013; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 27.942372; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 20.808228; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 45.361063; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 19.183753; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 26.500054; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 19.644619; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 35.139163; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 19.193184; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 27.722260; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 20.392585; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 46.828705; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.856528; fall_capacitance : 0.856528; rise_capacitance : 0.850754; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.902272; fall_capacitance : 0.883992; rise_capacitance : 0.902272; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.924115; fall_capacitance : 0.878881; rise_capacitance : 0.924115; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.944508; fall_capacitance : 0.856224; rise_capacitance : 0.944508; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0254221,0.0292462,0.0330379,0.0393155,0.0499348,0.0690197,0.105706", \ "0.0271198,0.0309428,0.0347346,0.0410132,0.0516329,0.0707180,0.107403", \ "0.0333919,0.0371945,0.0409776,0.0472559,0.0578842,0.0769779,0.113668", \ "0.0445916,0.0485866,0.0525109,0.0589149,0.0696267,0.0887520,0.125435", \ "0.0558929,0.0604275,0.0648579,0.0719707,0.0834015,0.102964,0.139659", \ "0.0669424,0.0719890,0.0769474,0.0848556,0.0972271,0.117539,0.154572", \ "0.0778593,0.0834005,0.0888779,0.0976380,0.111173,0.132612,0.170151"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0418677,0.0482316,0.0548552,0.0662507,0.0862596,0.123352,0.195877", \ "0.0428871,0.0492512,0.0558740,0.0672701,0.0872791,0.124371,0.196900", \ "0.0470451,0.0534143,0.0600353,0.0714261,0.0914261,0.128516,0.201038", \ "0.0562279,0.0625547,0.0691308,0.0804741,0.100398,0.137408,0.209906", \ "0.0669975,0.0734586,0.0801223,0.0915384,0.111589,0.148556,0.220933", \ "0.0769618,0.0838193,0.0908115,0.102386,0.122313,0.159447,0.231824", \ "0.0861668,0.0934390,0.100947,0.113163,0.133235,0.170341,0.242765"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00509086,0.00682826,0.00877602,0.0124261,0.0195899,0.0344160,0.0654953", \ "0.00509297,0.00682878,0.00877587,0.0124263,0.0195897,0.0344174,0.0654953", \ "0.00510218,0.00684800,0.00879593,0.0124388,0.0195960,0.0344190,0.0654953", \ "0.00615020,0.00773031,0.00949412,0.0128935,0.0198321,0.0345041,0.0655087", \ "0.00812839,0.00974694,0.0115178,0.0147917,0.0212689,0.0352058,0.0656259", \ "0.0103139,0.0120195,0.0138626,0.0171384,0.0233182,0.0365456,0.0662166", \ "0.0127936,0.0145770,0.0165220,0.0199340,0.0260132,0.0385023,0.0670705"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00875136,0.0125686,0.0167974,0.0247331,0.0405193,0.0734078,0.141503", \ "0.00875012,0.0125692,0.0167991,0.0247324,0.0405150,0.0733936,0.141504", \ "0.00874875,0.0125689,0.0167967,0.0247334,0.0405185,0.0733888,0.141502", \ "0.00884251,0.0126430,0.0168679,0.0247978,0.0405584,0.0734133,0.141505", \ "0.0100916,0.0136016,0.0176723,0.0255096,0.0410493,0.0735638,0.141527", \ "0.0118875,0.0152916,0.0191030,0.0264283,0.0416793,0.0741459,0.141678", \ "0.0139584,0.0174033,0.0212513,0.0281758,0.0426429,0.0745305,0.142146"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0278335,0.0317202,0.0355697,0.0419303,0.0526474,0.0718223,0.108571", \ "0.0295313,0.0334192,0.0372678,0.0436293,0.0543471,0.0735225,0.110272", \ "0.0357655,0.0396349,0.0434754,0.0498357,0.0605601,0.0797430,0.116499", \ "0.0475378,0.0515212,0.0554240,0.0618435,0.0726154,0.0918191,0.128569", \ "0.0600318,0.0645467,0.0689495,0.0760168,0.0874165,0.106975,0.143731", \ "0.0723850,0.0774050,0.0823113,0.0901114,0.102354,0.122610,0.159666", \ "0.0848348,0.0903219,0.0957104,0.104270,0.117542,0.138727,0.176176"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0458011,0.0521653,0.0587879,0.0701836,0.0901948,0.127285,0.199807", \ "0.0469973,0.0533605,0.0599840,0.0713800,0.0913902,0.128486,0.201011", \ "0.0509875,0.0573512,0.0639734,0.0753668,0.0953713,0.132464,0.204988", \ "0.0588531,0.0652014,0.0718048,0.0831654,0.103125,0.140177,0.212693", \ "0.0687377,0.0752406,0.0819717,0.0934834,0.113589,0.150631,0.223070", \ "0.0787019,0.0854680,0.0924253,0.104139,0.124331,0.161515,0.233977", \ "0.0881524,0.0953011,0.102668,0.114787,0.135224,0.172420,0.244936"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00531348,0.00703890,0.00897779,0.0126159,0.0197581,0.0345463,0.0655792", \ "0.00531455,0.00704112,0.00897678,0.0126161,0.0197572,0.0345467,0.0655789", \ "0.00531793,0.00705070,0.00899248,0.0126249,0.0197630,0.0345482,0.0655799", \ "0.00611920,0.00767925,0.00946395,0.0129302,0.0199287,0.0346106,0.0655895", \ "0.00806985,0.00965218,0.0114084,0.0146834,0.0212004,0.0351988,0.0656968", \ "0.0101768,0.0118295,0.0136341,0.0168890,0.0231131,0.0364541,0.0662207", \ "0.0124762,0.0141946,0.0160765,0.0194175,0.0254947,0.0381346,0.0669548"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00875208,0.0125683,0.0167979,0.0247318,0.0405145,0.0734077,0.141513", \ "0.00875397,0.0125680,0.0167996,0.0247347,0.0405201,0.0734003,0.141508", \ "0.00875001,0.0125690,0.0167969,0.0247358,0.0405156,0.0734020,0.141508", \ "0.00884753,0.0126377,0.0168577,0.0247812,0.0405441,0.0734061,0.141505", \ "0.00957542,0.0133165,0.0175038,0.0253784,0.0409377,0.0735130,0.141530", \ "0.0108999,0.0145154,0.0185540,0.0261572,0.0415245,0.0740054,0.141631", \ "0.0126131,0.0162279,0.0202347,0.0275497,0.0423942,0.0743908,0.142009"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0299724,0.0339112,0.0378095,0.0442417,0.0550432,0.0743022,0.111123", \ "0.0315677,0.0355076,0.0394070,0.0458397,0.0566417,0.0759010,0.112720", \ "0.0377609,0.0416834,0.0455768,0.0520073,0.0628145,0.0820781,0.118901", \ "0.0499412,0.0539094,0.0578109,0.0642568,0.0750842,0.0943584,0.131178", \ "0.0635430,0.0680167,0.0723928,0.0794180,0.0907778,0.110329,0.147139", \ "0.0771348,0.0821134,0.0869732,0.0947002,0.106833,0.127047,0.164132", \ "0.0911094,0.0965524,0.101877,0.110315,0.123387,0.144476,0.181914"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0481710,0.0545355,0.0611582,0.0725538,0.0925656,0.129657,0.202184", \ "0.0494080,0.0557715,0.0623947,0.0737904,0.0938009,0.130898,0.203417", \ "0.0525417,0.0589043,0.0655277,0.0769210,0.0969283,0.134022,0.206549", \ "0.0579740,0.0643408,0.0709404,0.0823108,0.102287,0.139340,0.211859", \ "0.0646663,0.0711619,0.0779094,0.0894540,0.109593,0.146680,0.219165", \ "0.0719453,0.0786537,0.0855978,0.0973438,0.117578,0.154835,0.227339", \ "0.0787827,0.0858396,0.0931410,0.105281,0.125935,0.163317,0.235883"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00552968,0.00724184,0.00916930,0.0127912,0.0199133,0.0346746,0.0656748", \ "0.00552891,0.00724000,0.00916933,0.0127913,0.0199128,0.0346744,0.0656742", \ "0.00553170,0.00724887,0.00917905,0.0127989,0.0199170,0.0346755,0.0656743", \ "0.00610964,0.00766409,0.00948074,0.0129993,0.0200262,0.0347155,0.0656821", \ "0.00802659,0.00958933,0.0113250,0.0145998,0.0211459,0.0352001,0.0657701", \ "0.0100895,0.0117049,0.0134742,0.0167116,0.0229632,0.0363949,0.0662394", \ "0.0122669,0.0139430,0.0157861,0.0190853,0.0251816,0.0379422,0.0669384"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00875015,0.0125695,0.0167975,0.0247335,0.0405196,0.0733954,0.141509", \ "0.00875172,0.0125682,0.0167976,0.0247317,0.0405176,0.0733839,0.141517", \ "0.00875536,0.0125675,0.0167997,0.0247336,0.0405156,0.0734050,0.141506", \ "0.00885729,0.0126406,0.0168585,0.0247781,0.0405409,0.0733950,0.141504", \ "0.00935934,0.0131681,0.0173911,0.0252884,0.0409020,0.0735223,0.141516", \ "0.0103033,0.0140649,0.0182435,0.0259957,0.0414401,0.0739464,0.141643", \ "0.0118069,0.0155522,0.0197109,0.0273056,0.0423805,0.0743888,0.141949"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0316114,0.0356207,0.0395834,0.0461065,0.0570168,0.0763801,0.113281", \ "0.0331863,0.0371952,0.0411582,0.0476812,0.0585914,0.0779552,0.114857", \ "0.0393806,0.0433783,0.0473345,0.0538547,0.0647670,0.0841357,0.121044", \ "0.0518850,0.0558609,0.0597932,0.0662978,0.0772063,0.0965722,0.133473", \ "0.0664906,0.0709699,0.0753327,0.0823431,0.0937027,0.113272,0.150148", \ "0.0813138,0.0862748,0.0911104,0.0987917,0.110880,0.131083,0.168203", \ "0.0968071,0.102217,0.107500,0.115859,0.128795,0.149816,0.187266"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0492830,0.0556476,0.0622702,0.0736659,0.0936774,0.130774,0.203291", \ "0.0504891,0.0568535,0.0634775,0.0748726,0.0948840,0.131981,0.204501", \ "0.0529621,0.0593267,0.0659486,0.0773435,0.0973530,0.134449,0.206974", \ "0.0562332,0.0626007,0.0692186,0.0806054,0.100596,0.137675,0.210196", \ "0.0597159,0.0661869,0.0729209,0.0844357,0.104568,0.141686,0.214183", \ "0.0633561,0.0700007,0.0769057,0.0886542,0.108944,0.146220,0.218772", \ "0.0665845,0.0734980,0.0807002,0.0928819,0.113638,0.151215,0.223847"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00577761,0.00748294,0.00940274,0.0130142,0.0201128,0.0348351,0.0657926", \ "0.00577768,0.00748379,0.00940356,0.0130140,0.0201122,0.0348355,0.0657921", \ "0.00577565,0.00748554,0.00940803,0.0130190,0.0201140,0.0348360,0.0657942", \ "0.00615160,0.00773136,0.00958472,0.0131346,0.0201737,0.0348545,0.0657952", \ "0.00804788,0.00958384,0.0113178,0.0145943,0.0211503,0.0352459,0.0658605", \ "0.0100641,0.0116495,0.0134046,0.0166298,0.0228917,0.0363855,0.0662778", \ "0.0121611,0.0138018,0.0156147,0.0188814,0.0249833,0.0378346,0.0669500"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00874996,0.0125686,0.0167986,0.0247340,0.0405174,0.0733841,0.141513", \ "0.00875206,0.0125684,0.0167969,0.0247335,0.0405114,0.0733978,0.141517", \ "0.00874978,0.0125685,0.0167968,0.0247329,0.0405189,0.0733895,0.141504", \ "0.00884281,0.0126308,0.0168487,0.0247717,0.0405384,0.0734005,0.141512", \ "0.00919481,0.0130290,0.0172684,0.0251793,0.0408434,0.0734993,0.141516", \ "0.00981458,0.0136763,0.0179408,0.0258110,0.0413361,0.0738586,0.141629", \ "0.0109179,0.0147977,0.0191176,0.0269961,0.0423658,0.0744390,0.141888"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.011061,3.224077,3.411169,3.636891,3.780267,3.837918,3.856427", \ "3.001256,3.214823,3.403027,3.625403,3.772319,3.826859,3.848418", \ "2.992331,3.197005,3.378498,3.603239,3.755115,3.813868,3.837950", \ "3.122719,3.316782,3.476022,3.685338,3.823016,3.884276,3.909011", \ "3.339469,3.470368,3.600613,3.836810,4.047374,4.105772,4.125239", \ "3.835631,3.875164,3.963456,4.139098,4.357346,4.504469,4.519754", \ "4.545884,4.539474,4.556304,4.670984,4.881770,5.030578,5.122942"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.261095,2.341302,2.440177,2.505236,2.539743,2.472567,2.426526", \ "2.231669,2.346210,2.435484,2.486212,2.487412,2.529626,2.402767", \ "2.211281,2.339485,2.400354,2.449364,2.460900,2.491486,2.370639", \ "2.326657,2.447280,2.484234,2.544065,2.561655,2.543754,2.495894", \ "2.715107,2.738598,2.760086,2.806264,2.779063,2.738227,2.497604", \ "3.236610,3.236527,3.284806,3.256865,3.185293,2.999189,2.785997", \ "3.981077,3.925038,3.958084,3.946767,3.745646,3.700655,3.537388"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.338101,3.584274,3.782995,4.003150,4.162536,4.230400,4.263099", \ "3.352529,3.566044,3.775617,3.994885,4.148075,4.222594,4.253935", \ "3.336146,3.563443,3.746315,3.971032,4.133818,4.205861,4.239904", \ "3.490187,3.673473,3.833727,4.048875,4.204222,4.274876,4.310615", \ "3.717455,3.840785,3.989801,4.225502,4.427507,4.494660,4.526408", \ "4.191085,4.246276,4.327531,4.526952,4.741960,4.886441,4.915566", \ "4.910468,4.906121,4.928841,5.040975,5.259961,5.393682,5.491239"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.267206,2.343892,2.447988,2.513823,2.453289,2.515183,2.501206", \ "2.268657,2.342795,2.438931,2.496115,2.517561,2.423817,2.441175", \ "2.220804,2.288910,2.390274,2.461888,2.482071,2.387746,2.431711", \ "2.257167,2.318848,2.409978,2.473578,2.428934,2.490544,2.443091", \ "2.462123,2.495314,2.560295,2.595414,2.607992,2.594067,2.538253", \ "2.849188,2.855857,2.905512,2.901826,2.766520,2.787109,2.688491", \ "3.424815,3.394918,3.450582,3.418118,3.347922,3.193741,3.008829"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.668830,3.884888,4.080961,4.327400,4.501414,4.586902,4.628347", \ "3.631243,3.862095,4.064746,4.304240,4.473183,4.561731,4.606524", \ "3.637054,3.840883,4.036683,4.282458,4.451950,4.542695,4.592160", \ "3.779079,3.962923,4.147415,4.353407,4.519579,4.610049,4.659086", \ "4.014917,4.158184,4.322273,4.556112,4.755615,4.836843,4.879422", \ "4.510832,4.593508,4.680175,4.861047,5.091117,5.238928,5.277316", \ "5.250680,5.260207,5.295567,5.431283,5.631775,5.771165,5.872592"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.252561,2.375521,2.447959,2.494518,2.543696,2.549683,2.486608", \ "2.247978,2.326963,2.426312,2.497594,2.474992,2.365267,2.330221", \ "2.222939,2.327059,2.391830,2.427413,2.490030,2.382184,2.407363", \ "2.218853,2.285405,2.378014,2.451868,2.458393,2.456783,2.291310", \ "2.346334,2.416524,2.482446,2.489466,2.502900,2.433881,2.530021", \ "2.702755,2.745829,2.772028,2.771673,2.765185,2.579927,2.725432", \ "3.207490,3.220601,3.260332,3.260739,3.269747,3.145270,2.994392"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.903259,4.141940,4.356748,4.595279,4.785886,4.892955,4.950449", \ "3.885558,4.123934,4.323622,4.573983,4.766247,4.867477,4.925413", \ "3.868411,4.114458,4.313611,4.551353,4.749400,4.852780,4.908898", \ "4.020568,4.222289,4.402378,4.627194,4.812694,4.918842,4.979177", \ "4.319926,4.462920,4.623537,4.878556,5.062319,5.150870,5.209248", \ "4.828405,4.904817,5.002970,5.192214,5.422799,5.575950,5.621894", \ "5.606757,5.603720,5.654573,5.796568,5.997833,6.137515,6.243423"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.252416,2.369078,2.408920,2.520246,2.506868,2.580185,2.603019", \ "2.269082,2.326380,2.426873,2.487043,2.439031,2.334777,2.543031", \ "2.200331,2.283248,2.365240,2.452456,2.496130,2.381107,2.547299", \ "2.198790,2.315903,2.349127,2.435052,2.388954,2.433352,2.401436", \ "2.306410,2.378899,2.448177,2.494546,2.490393,2.364541,2.407783", \ "2.591213,2.646424,2.679478,2.734550,2.690804,2.474114,2.604995", \ "3.065812,3.074136,3.139893,3.201523,3.102284,3.044190,3.036840"); } } } } /****************************************************************************************** Module : AND4_X2 Cell Description : Combinational cell (AND4_X2) with drive strength X2 *******************************************************************************************/ cell (AND4_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 54.274743; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 40.520920; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 55.226380; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 41.503044; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 70.088040; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 40.784104; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 56.116390; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 41.668875; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 91.390530; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 38.379792; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 53.195112; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 39.311962; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 70.689409; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 38.396862; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 55.668472; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 40.824202; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 94.631790; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.550933; fall_capacitance : 1.550933; rise_capacitance : 1.547935; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.605000; fall_capacitance : 1.574635; rise_capacitance : 1.605000; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.649913; fall_capacitance : 1.555152; rise_capacitance : 1.649913; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.684580; fall_capacitance : 1.530392; rise_capacitance : 1.684580; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.392000; function : "(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0233395,0.0275020,0.0311328,0.0371922,0.0475675,0.0664557,0.103057", \ "0.0250278,0.0291889,0.0328201,0.0388805,0.0492567,0.0681444,0.104746", \ "0.0313237,0.0354554,0.0390782,0.0451414,0.0555276,0.0744251,0.111036", \ "0.0420125,0.0464274,0.0502369,0.0564811,0.0669721,0.0859004,0.122490", \ "0.0525474,0.0575498,0.0618509,0.0687746,0.0799602,0.0993161,0.135919", \ "0.0628707,0.0684418,0.0732604,0.0809568,0.0930494,0.113067,0.149941", \ "0.0730373,0.0791429,0.0844809,0.0930335,0.106267,0.127368,0.164694"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0386066,0.0456296,0.0520889,0.0632671,0.0830432,0.119967,0.192404", \ "0.0396048,0.0466265,0.0530860,0.0642643,0.0840424,0.120966,0.193403", \ "0.0437796,0.0508000,0.0572580,0.0684274,0.0881962,0.125119,0.197561", \ "0.0529055,0.0598803,0.0662963,0.0774121,0.0971051,0.133961,0.206379", \ "0.0630740,0.0702027,0.0766805,0.0878817,0.107726,0.144533,0.216833", \ "0.0724180,0.0799815,0.0867890,0.0981446,0.117853,0.154755,0.227119", \ "0.0811864,0.0891971,0.0965265,0.108466,0.128347,0.165184,0.237572"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00464457,0.00655072,0.00846232,0.0120852,0.0192809,0.0342368,0.0654592", \ "0.00464528,0.00655177,0.00846235,0.0120847,0.0192806,0.0342364,0.0654568", \ "0.00466306,0.00657717,0.00848639,0.0121005,0.0192873,0.0342385,0.0654571", \ "0.00586764,0.00759219,0.00932472,0.0126609,0.0195634,0.0343294,0.0654710", \ "0.00781823,0.00957840,0.0113029,0.0145105,0.0209753,0.0350339,0.0655823", \ "0.0100019,0.0118454,0.0136328,0.0168255,0.0229466,0.0362557,0.0661475", \ "0.0124866,0.0144152,0.0162982,0.0196212,0.0256103,0.0381413,0.0669347"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00809777,0.0123242,0.0165052,0.0244185,0.0402622,0.0733049,0.141498", \ "0.00809801,0.0123267,0.0165063,0.0244177,0.0402650,0.0733006,0.141495", \ "0.00810115,0.0123253,0.0165045,0.0244185,0.0402676,0.0732951,0.141507", \ "0.00828722,0.0124572,0.0166178,0.0245077,0.0403180,0.0733190,0.141494", \ "0.00958990,0.0134119,0.0174095,0.0252202,0.0408510,0.0734872,0.141516", \ "0.0114069,0.0151125,0.0188216,0.0260839,0.0413962,0.0740667,0.141697", \ "0.0135145,0.0172444,0.0209870,0.0278065,0.0423305,0.0744241,0.142178"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0257412,0.0299725,0.0336622,0.0398044,0.0502754,0.0692489,0.105912", \ "0.0274287,0.0316606,0.0353508,0.0414941,0.0519652,0.0709397,0.107602", \ "0.0336765,0.0378874,0.0415680,0.0477118,0.0581907,0.0771726,0.113837", \ "0.0450815,0.0494761,0.0532670,0.0595021,0.0700430,0.0890471,0.125701", \ "0.0568337,0.0618149,0.0660809,0.0729473,0.0841101,0.103466,0.140121", \ "0.0684948,0.0740261,0.0787803,0.0863678,0.0983288,0.118287,0.155191", \ "0.0802536,0.0862900,0.0915201,0.0998535,0.112797,0.133631,0.170851"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0425654,0.0495881,0.0560479,0.0672253,0.0870023,0.123926,0.196368", \ "0.0437346,0.0507572,0.0572171,0.0683950,0.0881733,0.125100,0.197538", \ "0.0476662,0.0546882,0.0611458,0.0723197,0.0920913,0.129014,0.201459", \ "0.0554064,0.0624219,0.0688675,0.0800160,0.0997457,0.136633,0.209061", \ "0.0648195,0.0719945,0.0785640,0.0898720,0.109767,0.146648,0.219004", \ "0.0741454,0.0816435,0.0884494,0.0999452,0.119892,0.156913,0.229301", \ "0.0830469,0.0909741,0.0981957,0.110097,0.130319,0.167342,0.239778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00486651,0.00675768,0.00866036,0.0122709,0.0194416,0.0343582,0.0655366", \ "0.00486659,0.00675713,0.00865986,0.0122706,0.0194421,0.0343578,0.0655369", \ "0.00487177,0.00677311,0.00867635,0.0122814,0.0194472,0.0343595,0.0655368", \ "0.00582590,0.00753476,0.00926817,0.0126590,0.0196422,0.0344278,0.0655491", \ "0.00774472,0.00946481,0.0111734,0.0143921,0.0209043,0.0350218,0.0656474", \ "0.00981718,0.0116084,0.0133587,0.0165358,0.0227103,0.0361534,0.0661486", \ "0.0121079,0.0139567,0.0157780,0.0190295,0.0250218,0.0377175,0.0667938"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00809727,0.0123249,0.0165051,0.0244170,0.0402660,0.0733055,0.141495", \ "0.00809834,0.0123263,0.0165059,0.0244177,0.0402640,0.0732963,0.141502", \ "0.00809905,0.0123250,0.0165049,0.0244187,0.0402650,0.0732960,0.141496", \ "0.00827787,0.0124435,0.0165993,0.0244889,0.0403049,0.0733056,0.141501", \ "0.00903154,0.0131412,0.0172632,0.0251087,0.0407421,0.0734491,0.141512", \ "0.0104203,0.0143764,0.0183324,0.0258692,0.0412797,0.0739304,0.141634", \ "0.0121659,0.0161209,0.0200425,0.0272711,0.0421351,0.0742945,0.142025"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0278379,0.0321328,0.0358732,0.0420877,0.0526423,0.0716980,0.108423", \ "0.0294305,0.0337242,0.0374648,0.0436798,0.0542351,0.0732911,0.110017", \ "0.0356305,0.0399083,0.0436427,0.0498563,0.0604167,0.0794796,0.116211", \ "0.0475663,0.0519478,0.0557194,0.0619673,0.0725563,0.0916275,0.128352", \ "0.0604423,0.0653901,0.0696235,0.0764524,0.0875801,0.106931,0.143635", \ "0.0733847,0.0788688,0.0835819,0.0910785,0.102935,0.122850,0.159788", \ "0.0867333,0.0927090,0.0978674,0.106075,0.118769,0.139524,0.176743"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0449433,0.0519660,0.0584255,0.0696036,0.0893824,0.126310,0.198744", \ "0.0461509,0.0531733,0.0596327,0.0708108,0.0905896,0.127516,0.199956", \ "0.0492281,0.0562496,0.0627083,0.0738834,0.0936570,0.130583,0.203022", \ "0.0544897,0.0615226,0.0679783,0.0791420,0.0988881,0.135787,0.208216", \ "0.0609050,0.0680921,0.0746836,0.0860158,0.105944,0.142884,0.215272", \ "0.0677463,0.0751910,0.0819866,0.0935358,0.113579,0.150654,0.223100", \ "0.0740057,0.0818523,0.0890297,0.101043,0.121488,0.158709,0.231197"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00508638,0.00695917,0.00885033,0.0124446,0.0195948,0.0344821,0.0656289", \ "0.00508408,0.00695931,0.00884973,0.0124454,0.0195947,0.0344820,0.0656291", \ "0.00508834,0.00697125,0.00886227,0.0124531,0.0195985,0.0344833,0.0656310", \ "0.00581546,0.00750888,0.00925764,0.0127016,0.0197256,0.0345263,0.0656363", \ "0.00769667,0.00938677,0.0110816,0.0143030,0.0208522,0.0350203,0.0657168", \ "0.00971466,0.0114607,0.0131762,0.0163418,0.0225521,0.0360967,0.0661675", \ "0.0118705,0.0136741,0.0154495,0.0186572,0.0246845,0.0375251,0.0667841"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00809880,0.0123263,0.0165047,0.0244181,0.0402621,0.0732973,0.141497", \ "0.00809762,0.0123242,0.0165049,0.0244178,0.0402667,0.0733082,0.141503", \ "0.00809823,0.0123260,0.0165057,0.0244188,0.0402655,0.0733032,0.141508", \ "0.00827188,0.0124419,0.0165955,0.0244820,0.0403037,0.0733120,0.141508", \ "0.00876813,0.0129785,0.0171436,0.0250081,0.0406968,0.0734306,0.141503", \ "0.00979297,0.0139344,0.0180378,0.0257314,0.0412084,0.0738585,0.141639", \ "0.0113730,0.0154873,0.0195700,0.0270829,0.0421691,0.0743128,0.141974"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0294238,0.0338010,0.0376083,0.0439165,0.0545807,0.0737407,0.110550", \ "0.0309957,0.0353720,0.0391789,0.0454875,0.0561526,0.0753123,0.112122", \ "0.0372030,0.0415698,0.0453686,0.0516748,0.0623429,0.0815082,0.118320", \ "0.0495726,0.0539593,0.0577527,0.0640511,0.0747162,0.0938772,0.130680", \ "0.0635088,0.0684461,0.0726680,0.0794850,0.0906151,0.109988,0.146759", \ "0.0776858,0.0831436,0.0878255,0.0952875,0.107073,0.127011,0.163994", \ "0.0926126,0.0985476,0.103661,0.111777,0.124398,0.145043,0.182278"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.0460562,0.0530791,0.0595383,0.0707163,0.0904959,0.127422,0.199860", \ "0.0472298,0.0542514,0.0607114,0.0718900,0.0916695,0.128598,0.201035", \ "0.0496336,0.0566548,0.0631135,0.0742898,0.0940651,0.130993,0.203429", \ "0.0527681,0.0598109,0.0662720,0.0774439,0.0972040,0.134109,0.206542", \ "0.0560168,0.0631637,0.0697444,0.0810591,0.100980,0.137943,0.210355", \ "0.0593743,0.0667345,0.0734985,0.0850580,0.105168,0.142278,0.214768", \ "0.0621695,0.0698623,0.0769345,0.0889532,0.109547,0.146998,0.219574"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00534088,0.00720740,0.00909176,0.0126719,0.0197955,0.0346406,0.0657451", \ "0.00533956,0.00720749,0.00909067,0.0126718,0.0197953,0.0346406,0.0657442", \ "0.00533591,0.00720919,0.00909539,0.0126761,0.0197967,0.0346411,0.0657444", \ "0.00586498,0.00755783,0.00933413,0.0128207,0.0198658,0.0346600,0.0657464", \ "0.00771294,0.00938332,0.0110702,0.0142948,0.0208633,0.0350638,0.0658047", \ "0.00968717,0.0114048,0.0131023,0.0162490,0.0224874,0.0360936,0.0662092", \ "0.0117471,0.0135127,0.0152638,0.0184420,0.0244732,0.0374154,0.0668021"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("0.00809883,0.0123246,0.0165061,0.0244177,0.0402624,0.0733073,0.141493", \ "0.00809871,0.0123245,0.0165046,0.0244185,0.0402621,0.0732974,0.141493", \ "0.00809764,0.0123252,0.0165053,0.0244181,0.0402651,0.0732959,0.141495", \ "0.00823591,0.0124256,0.0165806,0.0244706,0.0402943,0.0733023,0.141496", \ "0.00857856,0.0128252,0.0170106,0.0248902,0.0406177,0.0734114,0.141504", \ "0.00924876,0.0135181,0.0177246,0.0255532,0.0411139,0.0737719,0.141620", \ "0.0104358,0.0147148,0.0189735,0.0268031,0.0422039,0.0743902,0.141899"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("5.662372,6.116223,6.457527,6.806612,7.037976,7.131779,7.167301", \ "5.633263,6.090986,6.436544,6.798725,7.019521,7.113060,7.146829", \ "5.636818,6.058747,6.392232,6.746481,6.990292,7.089902,7.126466", \ "5.892273,6.293544,6.594934,6.904154,7.144574,7.246472,7.289374", \ "6.411185,6.602754,6.855819,7.250441,7.604157,7.709621,7.743357", \ "7.411982,7.455948,7.563847,7.876961,8.244427,8.514210,8.563516", \ "8.884048,8.787301,8.807403,8.979838,9.340448,9.593709,9.802412"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("4.389797,4.627039,4.725987,4.891659,4.864740,4.619172,4.818899", \ "4.284370,4.562477,4.740517,4.861789,4.730415,4.580785,4.782838", \ "4.318244,4.521749,4.696421,4.735726,4.675234,4.688844,4.499898", \ "4.553760,4.766628,4.913221,4.951632,4.889606,4.667835,4.958316", \ "5.301468,5.372757,5.470939,5.520304,5.501321,5.264151,5.325725", \ "6.397093,6.392435,6.474384,6.460761,6.236300,6.043093,6.104239", \ "7.866397,7.828593,7.848249,7.836965,7.636746,7.209602,7.069603"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("6.374321,6.823717,7.175117,7.539351,7.808382,7.927880,7.978744", \ "6.355607,6.798059,7.153518,7.525431,7.792705,7.911884,7.966895", \ "6.310751,6.759679,7.109841,7.481509,7.752424,7.878996,7.941356", \ "6.593923,6.983864,7.298889,7.635823,7.901125,8.027050,8.090831", \ "7.090268,7.329627,7.601154,8.011834,8.360598,8.485306,8.536846", \ "8.142650,8.180030,8.312399,8.641132,9.009403,9.288087,9.339560", \ "9.554793,9.531364,9.541137,9.721724,10.078750,10.302620,10.508750"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("4.397337,4.636751,4.736012,4.827436,4.864850,4.935015,4.878668", \ "4.364756,4.567835,4.746908,4.834889,4.853504,4.799249,4.823853", \ "4.266419,4.506413,4.666968,4.754762,4.737176,4.948344,4.589686", \ "4.358240,4.542319,4.709532,4.820800,4.832423,4.908087,4.861954", \ "4.791312,4.924135,4.952503,5.056988,5.056128,4.727309,4.974956", \ "5.610677,5.698841,5.742413,5.763547,5.583217,5.577093,5.289993", \ "6.743114,6.780822,6.764770,6.802994,6.591458,6.305176,6.155133"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("6.979061,7.454170,7.815259,8.193181,8.494652,8.639809,8.715199", \ "6.926779,7.401578,7.761806,8.148710,8.439944,8.587350,8.674228", \ "6.921780,7.368157,7.717803,8.107027,8.401791,8.556953,8.643155", \ "7.233974,7.593109,7.910334,8.274476,8.548362,8.702093,8.786332", \ "7.769023,7.978723,8.271870,8.692526,9.025727,9.166119,9.246132", \ "8.753013,8.876317,9.023045,9.334149,9.710557,9.986306,10.063880", \ "10.246860,10.258160,10.279090,10.495420,10.823710,11.064270,11.277500"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("4.398088,4.604987,4.791564,4.868361,4.905000,4.788312,5.029891", \ "4.360796,4.595912,4.749992,4.885148,4.908370,4.656206,4.905792", \ "4.273192,4.476987,4.668413,4.803578,4.756530,4.664724,4.995826", \ "4.286259,4.498028,4.641513,4.760083,4.764400,4.607095,4.711564", \ "4.583519,4.753654,4.779763,4.928946,4.903608,4.807009,4.774648", \ "5.281433,5.414610,5.494506,5.523684,5.385144,5.144195,5.263941", \ "6.356689,6.392316,6.534762,6.531315,6.383750,5.979324,6.264707"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("7.456108,7.941648,8.314871,8.744305,9.070960,9.247186,9.364083", \ "7.451781,7.889622,8.237443,8.690517,9.021335,9.197179,9.306224", \ "7.389490,7.864429,8.225080,8.659278,8.988123,9.169830,9.283146", \ "7.742927,8.119214,8.433570,8.836219,9.142436,9.318163,9.441628", \ "8.305448,8.585062,8.863875,9.314185,9.634908,9.807689,9.914899", \ "9.374512,9.509965,9.673498,9.998733,10.384710,10.662330,10.760830", \ "10.938950,10.946380,11.008930,11.224740,11.557270,11.813520,12.030590"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.762250,7.524500,15.049000,30.098000,60.196000,120.392000"); values ("4.396709,4.628347,4.784039,4.804226,4.957720,4.678053,4.881513", \ "4.356872,4.595601,4.746372,4.863978,4.860697,4.788988,4.789224", \ "4.231961,4.502522,4.656560,4.751626,4.752388,4.646197,4.942023", \ "4.227782,4.490331,4.644835,4.699976,4.706242,4.740264,4.727841", \ "4.486941,4.668518,4.773018,4.814063,4.899266,4.602299,4.883923", \ "5.030158,5.191383,5.341313,5.413863,5.357699,5.183677,4.994959", \ "6.044482,6.167811,6.308220,6.365422,6.397901,6.156987,5.996024"); } } } } /****************************************************************************************** Module : AND4_X4 Cell Description : Combinational cell (AND4_X4) with drive strength X4 *******************************************************************************************/ cell (AND4_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 108.549590; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 81.041840; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 110.452980; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 83.006099; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 140.176300; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 81.568209; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 112.233000; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 83.337848; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 182.781280; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 76.759696; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 106.390451; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 78.623924; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 141.378821; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 76.793832; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 111.336945; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 81.648409; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 189.263800; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.014616; fall_capacitance : 3.014616; rise_capacitance : 3.010119; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.260554; fall_capacitance : 3.159059; rise_capacitance : 3.260554; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.486019; fall_capacitance : 3.285222; rise_capacitance : 3.486019; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.766184; fall_capacitance : 3.444015; rise_capacitance : 3.766184; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.089000; function : "(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0224662,0.0268886,0.0304962,0.0365184,0.0468604,0.0657623,0.102480", \ "0.0241551,0.0285746,0.0321830,0.0382064,0.0485489,0.0674513,0.104168", \ "0.0304631,0.0348472,0.0384468,0.0444742,0.0548277,0.0737398,0.110464", \ "0.0409290,0.0456407,0.0494422,0.0556757,0.0661401,0.0850822,0.121787", \ "0.0511780,0.0565114,0.0608011,0.0677025,0.0788577,0.0982120,0.134921", \ "0.0612496,0.0671753,0.0719821,0.0796568,0.0917089,0.111708,0.148668", \ "0.0711731,0.0776727,0.0829943,0.0915125,0.104713,0.125785,0.163172"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0368721,0.0443228,0.0507577,0.0618939,0.0816334,0.118586,0.191172", \ "0.0378638,0.0453131,0.0517482,0.0628850,0.0826252,0.119579,0.192163", \ "0.0420511,0.0494996,0.0559329,0.0670610,0.0867919,0.123741,0.196328", \ "0.0511132,0.0585142,0.0649075,0.0759755,0.0956369,0.132522,0.205085", \ "0.0609920,0.0685273,0.0749821,0.0861438,0.105956,0.142803,0.215248", \ "0.0700332,0.0780455,0.0848332,0.0961380,0.115809,0.152763,0.225320", \ "0.0785471,0.0870220,0.0943267,0.106215,0.126057,0.162897,0.235448"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00440172,0.00641369,0.00832503,0.0119607,0.0192020,0.0342644,0.0656516", \ "0.00440193,0.00641520,0.00832526,0.0119608,0.0192010,0.0342641,0.0656505", \ "0.00442113,0.00644360,0.00835178,0.0119779,0.0192092,0.0342657,0.0656524", \ "0.00569485,0.00750698,0.00923829,0.0125778,0.0195005,0.0343581,0.0656622", \ "0.00764078,0.00948462,0.0111974,0.0143997,0.0208928,0.0350586,0.0657724", \ "0.00982454,0.0117466,0.0135229,0.0167038,0.0228297,0.0362342,0.0663259", \ "0.0123141,0.0143255,0.0161951,0.0194980,0.0254770,0.0380837,0.0670956"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00760999,0.0120777,0.0162572,0.0241872,0.0400996,0.0732746,0.141663", \ "0.00761019,0.0120775,0.0162570,0.0241862,0.0400972,0.0732760,0.141666", \ "0.00760962,0.0120762,0.0162574,0.0241873,0.0401013,0.0732807,0.141663", \ "0.00784942,0.0122446,0.0163933,0.0242910,0.0401628,0.0733062,0.141660", \ "0.00919297,0.0131908,0.0171728,0.0249886,0.0407097,0.0734602,0.141680", \ "0.0110337,0.0148969,0.0185823,0.0258404,0.0412137,0.0740516,0.141872", \ "0.0131763,0.0170375,0.0207562,0.0275574,0.0421417,0.0743951,0.142355"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0248102,0.0293037,0.0329677,0.0390707,0.0495048,0.0684891,0.105266", \ "0.0264937,0.0309875,0.0346522,0.0407560,0.0511911,0.0701753,0.106953", \ "0.0327509,0.0372193,0.0408746,0.0469798,0.0574232,0.0764166,0.113196", \ "0.0439884,0.0486782,0.0524560,0.0586762,0.0691815,0.0881957,0.124964", \ "0.0554598,0.0607635,0.0650163,0.0718577,0.0829863,0.102344,0.139101", \ "0.0668664,0.0727532,0.0774930,0.0850335,0.0969561,0.116903,0.153880", \ "0.0783950,0.0848091,0.0900190,0.0983140,0.111197,0.131998,0.169271"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0408008,0.0482510,0.0546861,0.0658220,0.0855628,0.122513,0.195099", \ "0.0419609,0.0494105,0.0558462,0.0669823,0.0867238,0.123678,0.196261", \ "0.0458796,0.0533271,0.0597606,0.0708915,0.0906229,0.127571,0.200158", \ "0.0535465,0.0609988,0.0674258,0.0785322,0.0982271,0.135141,0.207715", \ "0.0627420,0.0703556,0.0769050,0.0881651,0.108035,0.144943,0.217450", \ "0.0717974,0.0797598,0.0865451,0.0980073,0.117920,0.154966,0.227531", \ "0.0803680,0.0887822,0.0959952,0.107870,0.128073,0.165121,0.237747"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00461790,0.00661359,0.00851541,0.0121388,0.0193559,0.0343800,0.0657279", \ "0.00461632,0.00661335,0.00851632,0.0121384,0.0193562,0.0343800,0.0657268", \ "0.00462435,0.00663188,0.00853338,0.0121499,0.0193613,0.0343808,0.0657274", \ "0.00564386,0.00744129,0.00917144,0.0125582,0.0195669,0.0344495,0.0657386", \ "0.00754696,0.00935638,0.0110514,0.0142695,0.0208150,0.0350422,0.0658365", \ "0.00962223,0.0114848,0.0132193,0.0163856,0.0225749,0.0361241,0.0663260", \ "0.0119035,0.0138294,0.0156317,0.0188615,0.0248487,0.0376374,0.0669419"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00760934,0.0120778,0.0162568,0.0241849,0.0400975,0.0732775,0.141659", \ "0.00761004,0.0120774,0.0162567,0.0241876,0.0400970,0.0732736,0.141665", \ "0.00761217,0.0120773,0.0162574,0.0241873,0.0401028,0.0732788,0.141669", \ "0.00783226,0.0122233,0.0163709,0.0242676,0.0401465,0.0732903,0.141667", \ "0.00860397,0.0129243,0.0170363,0.0248901,0.0405957,0.0734335,0.141673", \ "0.0100307,0.0141749,0.0181144,0.0256474,0.0411040,0.0739168,0.141816", \ "0.0118092,0.0159371,0.0198408,0.0270567,0.0419660,0.0742677,0.142210"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0269066,0.0314683,0.0351833,0.0413588,0.0518769,0.0709426,0.107782", \ "0.0284936,0.0330555,0.0367708,0.0429469,0.0534656,0.0725314,0.109371", \ "0.0346993,0.0392424,0.0429514,0.0491267,0.0596512,0.0787242,0.115569", \ "0.0465206,0.0511909,0.0549549,0.0611748,0.0717324,0.0908133,0.127649", \ "0.0591317,0.0643954,0.0686116,0.0754153,0.0865175,0.105853,0.142673", \ "0.0718290,0.0776725,0.0823607,0.0898232,0.101632,0.121562,0.158560", \ "0.0849679,0.0913135,0.0964509,0.104619,0.117285,0.137952,0.175258"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0431793,0.0506296,0.0570644,0.0682008,0.0879430,0.124898,0.197480", \ "0.0443780,0.0518276,0.0582625,0.0693994,0.0891420,0.126098,0.198678", \ "0.0474472,0.0548955,0.0613296,0.0724612,0.0921968,0.129148,0.201736", \ "0.0526451,0.0601200,0.0665533,0.0776783,0.0973884,0.134314,0.206897", \ "0.0589333,0.0665591,0.0731326,0.0844287,0.104325,0.141297,0.213834", \ "0.0655602,0.0734624,0.0802490,0.0917717,0.111782,0.148868,0.221474", \ "0.0715455,0.0798915,0.0870673,0.0990773,0.119490,0.156752,0.229386"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00483921,0.00681596,0.00870628,0.0123119,0.0195075,0.0345015,0.0658213", \ "0.00483916,0.00681698,0.00870674,0.0123121,0.0195072,0.0345015,0.0658200", \ "0.00484299,0.00682695,0.00871870,0.0123214,0.0195110,0.0345032,0.0658215", \ "0.00563074,0.00741533,0.00915406,0.0125888,0.0196454,0.0345474,0.0658275", \ "0.00750391,0.00927121,0.0109570,0.0141800,0.0207627,0.0350404,0.0659048", \ "0.00950710,0.0113245,0.0130303,0.0161830,0.0224174,0.0360659,0.0663462", \ "0.0116499,0.0135255,0.0152897,0.0184803,0.0245000,0.0374433,0.0669349"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00761086,0.0120770,0.0162575,0.0241852,0.0400968,0.0732751,0.141669", \ "0.00761117,0.0120772,0.0162565,0.0241851,0.0400980,0.0732803,0.141671", \ "0.00760997,0.0120776,0.0162566,0.0241870,0.0401007,0.0732850,0.141666", \ "0.00781251,0.0122194,0.0163642,0.0242593,0.0401415,0.0732945,0.141669", \ "0.00831662,0.0127556,0.0169167,0.0247896,0.0405425,0.0734094,0.141678", \ "0.00938943,0.0137399,0.0178325,0.0255247,0.0410421,0.0738465,0.141816", \ "0.0110057,0.0153188,0.0193905,0.0268949,0.0420235,0.0742923,0.142133"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0284727,0.0331220,0.0369052,0.0431759,0.0538038,0.0729737,0.109895", \ "0.0300414,0.0346908,0.0384742,0.0447450,0.0553732,0.0745432,0.111465", \ "0.0362548,0.0408908,0.0446670,0.0509359,0.0615673,0.0807426,0.117669", \ "0.0485485,0.0532297,0.0570046,0.0632701,0.0739025,0.0930709,0.129986", \ "0.0622283,0.0674809,0.0716884,0.0784736,0.0895724,0.108955,0.145832", \ "0.0761774,0.0819818,0.0866433,0.0940667,0.105818,0.125746,0.162806", \ "0.0908988,0.0972094,0.102299,0.110372,0.122863,0.143515,0.180830"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.0442991,0.0517487,0.0581835,0.0693205,0.0890631,0.126018,0.198597", \ "0.0454637,0.0529126,0.0593476,0.0704844,0.0902280,0.127185,0.199764", \ "0.0478594,0.0553084,0.0617424,0.0728768,0.0926152,0.129569,0.202153", \ "0.0509544,0.0584361,0.0648786,0.0760097,0.0957269,0.132663,0.205243", \ "0.0541151,0.0617048,0.0682684,0.0795576,0.0994405,0.136444,0.209006", \ "0.0573755,0.0651927,0.0719469,0.0834802,0.103558,0.140700,0.213332", \ "0.0600058,0.0681796,0.0752575,0.0872565,0.107844,0.145342,0.218051"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00509811,0.00706419,0.00894664,0.0125388,0.0197064,0.0346591,0.0659343", \ "0.00509788,0.00706419,0.00894709,0.0125392,0.0197066,0.0346599,0.0659348", \ "0.00508934,0.00706581,0.00895134,0.0125424,0.0197072,0.0346596,0.0659331", \ "0.00568131,0.00745702,0.00921914,0.0127000,0.0197807,0.0346780,0.0659336", \ "0.00751524,0.00926690,0.0109438,0.0141702,0.0207743,0.0350832,0.0659918", \ "0.00947627,0.0112618,0.0129512,0.0160890,0.0223496,0.0360667,0.0663860", \ "0.0115165,0.0133582,0.0150922,0.0182515,0.0242957,0.0373378,0.0669532"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("0.00760999,0.0120774,0.0162570,0.0241872,0.0400968,0.0732836,0.141677", \ "0.00761213,0.0120776,0.0162574,0.0241853,0.0400968,0.0732750,0.141672", \ "0.00760987,0.0120773,0.0162574,0.0241860,0.0401022,0.0732753,0.141666", \ "0.00776496,0.0121957,0.0163453,0.0242453,0.0401331,0.0732817,0.141671", \ "0.00811013,0.0125937,0.0167804,0.0246702,0.0404604,0.0734079,0.141671", \ "0.00880812,0.0133114,0.0175139,0.0253506,0.0409661,0.0737527,0.141797", \ "0.0100424,0.0145382,0.0187901,0.0266275,0.0420807,0.0743896,0.142072"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("10.799090,11.729400,12.386680,13.017810,13.449650,13.611080,13.678270", \ "10.761180,11.718990,12.356260,12.978490,13.409350,13.573390,13.644420", \ "10.706810,11.626800,12.253390,12.899420,13.352980,13.531530,13.607530", \ "11.268490,12.096620,12.637150,13.250140,13.682580,13.860200,13.949010", \ "12.339400,12.730740,13.157100,13.918130,14.618590,14.807630,14.867780", \ "14.428300,14.502380,14.653020,15.238510,15.918110,16.410780,16.528700", \ "17.431630,17.188290,17.183810,17.495650,18.143350,18.612000,19.032720"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("8.450529,8.964754,9.199882,9.581125,9.581735,9.515527,9.365490", \ "8.365796,8.885868,9.145664,9.480814,9.632250,9.297979,8.916926", \ "8.272817,8.799469,9.113203,9.364410,9.498860,9.160723,8.877446", \ "8.821015,9.303024,9.484401,9.769016,9.623208,9.551337,9.629773", \ "10.344570,10.547030,10.619020,10.789700,10.766250,10.293880,10.312710", \ "12.552200,12.652120,12.717760,12.620740,12.011490,12.079540,11.708640", \ "15.736680,15.382390,15.531610,15.485580,14.675660,14.223130,13.137450"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("12.148670,13.139040,13.791340,14.521220,14.998320,15.215370,15.318450", \ "12.116750,13.111980,13.756880,14.472960,14.957820,15.176390,15.287840", \ "12.136500,13.020950,13.700030,14.389060,14.878690,15.115170,15.233350", \ "12.691040,13.510240,14.077110,14.724180,15.191670,15.420420,15.552840", \ "13.778470,14.221270,14.693620,15.452340,16.123070,16.348990,16.464970", \ "15.848090,15.919000,16.134650,16.737310,17.434770,17.964770,18.078030", \ "18.771030,18.649360,18.649520,18.974580,19.571570,20.025490,20.429030"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("8.475226,8.912928,9.274629,9.528511,9.641194,9.793249,9.614501", \ "8.346624,8.920401,9.157966,9.468920,9.565066,9.525186,9.174691", \ "8.219915,8.691659,9.023342,9.156192,9.183968,9.363863,9.141588", \ "8.405313,8.899155,9.118012,9.374714,9.296879,8.830492,9.310270", \ "9.363598,9.582831,9.822284,9.893194,9.937601,9.507467,9.833390", \ "10.953390,11.119380,11.291310,11.243820,11.101670,10.761810,10.727160", \ "13.307190,13.348680,13.431330,13.490690,13.192440,12.590180,12.194320"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("13.502080,14.407450,15.071420,15.828780,16.359610,16.634710,16.797240", \ "13.404500,14.277080,14.982580,15.725410,16.274380,16.551690,16.704250", \ "13.283460,14.225170,14.872240,15.614410,16.188030,16.473980,16.643650", \ "14.008430,14.741130,15.283590,15.969700,16.474400,16.768520,16.951920", \ "15.057280,15.533060,16.026900,16.812700,17.434630,17.714280,17.878820", \ "17.230520,17.274490,17.534980,18.149910,18.832010,19.384660,19.525780", \ "20.217510,20.055560,20.097750,20.433380,21.083650,21.534250,21.964810"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("8.427853,8.980827,9.265855,9.463345,9.679045,9.479694,8.770904", \ "8.393170,8.867758,9.175984,9.375771,9.563454,9.194275,9.869395", \ "8.108601,8.746183,9.044325,9.135497,9.134376,9.617467,8.708746", \ "8.279213,8.785412,8.977043,9.233699,9.229328,9.479528,9.327583", \ "8.942350,9.220371,9.366752,9.522571,9.544776,9.472356,9.811424", \ "10.329870,10.617610,10.730780,10.820420,10.404060,10.649790,9.365549", \ "12.564020,12.604110,12.848650,12.913270,12.656280,12.447140,11.455040"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("14.502800,15.408640,16.103610,16.910230,17.523960,17.876540,18.079890", \ "14.391340,15.301910,16.000860,16.795670,17.426290,17.773930,17.986660", \ "14.359380,15.261050,15.930100,16.743680,17.348840,17.715980,17.937180", \ "15.021170,15.802090,16.370830,17.102810,17.665010,18.021600,18.257920", \ "16.267590,16.707110,17.223260,18.025450,18.689350,18.997130,19.215480", \ "18.389820,18.576250,18.858840,19.473120,20.175200,20.729330,20.920600", \ "21.522330,21.469060,21.552080,21.927870,22.541180,23.029280,23.466630"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.534030,15.068100,30.136100,60.272300,120.545000,241.089000"); values ("8.379286,8.990589,9.236832,9.543773,9.719980,9.348126,9.819399", \ "8.377130,8.919054,9.140338,9.370796,9.626675,9.092555,9.580698", \ "8.206760,8.705838,8.957690,9.310054,9.197179,9.289228,8.594689", \ "8.208278,8.720183,8.964310,9.254913,9.408140,9.492361,9.059075", \ "8.714866,9.008629,9.324096,9.383098,9.631683,9.456736,9.556812", \ "9.898121,10.141300,10.402920,10.333560,10.409390,9.729720,10.129110", \ "11.789310,12.109810,12.378140,12.599250,12.363920,12.104720,12.069400"); } } } } /****************************************************************************************** Module : ANTENNA_X1 Cell Description : Physical cell (ANTENNA_X1) *******************************************************************************************/ cell (ANTENNA_X1) { drive_strength : 1; area : 0.266000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 0.000000; leakage_power () { when : "!A"; value : 0.000000; } leakage_power () { when : "A"; value : 0.000000; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.023429; fall_capacitance : 0.023429; rise_capacitance : 0.023204; internal_power () { fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.000000,0.000000,0.000000,0.000000,0.000000,0.000000,0.000000"); } } } } /****************************************************************************************** Module : AOI21_X1 Cell Description : Combinational cell (AOI21_X1) with drive strength X1 *******************************************************************************************/ cell (AOI21_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 27.858395; leakage_power () { when : "!A & !B1 & !B2"; value : 13.583790; } leakage_power () { when : "!A & !B1 & B2"; value : 34.898600; } leakage_power () { when : "!A & B1 & !B2"; value : 14.186259; } leakage_power () { when : "!A & B1 & B2"; value : 37.282091; } leakage_power () { when : "A & !B1 & !B2"; value : 16.427884; } leakage_power () { when : "A & !B1 & B2"; value : 31.079664; } leakage_power () { when : "A & B1 & !B2"; value : 31.078564; } leakage_power () { when : "A & B1 & B2"; value : 44.330311; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.626352; fall_capacitance : 1.535340; rise_capacitance : 1.626352; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.647003; fall_capacitance : 1.446823; rise_capacitance : 1.647003; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.676853; fall_capacitance : 1.409926; rise_capacitance : 1.676853; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.329600; function : "!(A | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.006955,0.007566,0.008668,0.010794,0.014881,0.022807,0.038366", \ "0.008455,0.009058,0.010153,0.012274,0.016362,0.024288,0.039849", \ "0.012936,0.013743,0.015151,0.017663,0.021939,0.029771,0.045286", \ "0.015885,0.017070,0.019135,0.022869,0.029267,0.039681,0.056041", \ "0.016434,0.017988,0.020746,0.025710,0.034256,0.048272,0.070224", \ "0.014255,0.016201,0.019646,0.025859,0.036570,0.054211,0.081967", \ "0.009154,0.011485,0.015597,0.023048,0.035956,0.057235,0.090807"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.020585,0.022332,0.025533,0.031866,0.044409,0.069356,0.119127", \ "0.022028,0.023782,0.027007,0.033391,0.046022,0.071065,0.120915", \ "0.028070,0.029801,0.032984,0.039315,0.051912,0.076994,0.126944", \ "0.036668,0.038899,0.042797,0.049926,0.062675,0.087675,0.137586", \ "0.045355,0.048220,0.053220,0.062226,0.077955,0.104660,0.154444", \ "0.056090,0.059497,0.065429,0.076170,0.094852,0.126356,0.178824", \ "0.069826,0.073692,0.080449,0.092704,0.114097,0.150168,0.209713"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.004492,0.004951,0.005796,0.007472,0.010806,0.017474,0.030831", \ "0.004381,0.004869,0.005747,0.007452,0.010802,0.017475,0.030830", \ "0.006721,0.007107,0.007764,0.008949,0.011404,0.017472,0.030830", \ "0.010982,0.011546,0.012520,0.014256,0.017217,0.022034,0.031937", \ "0.016441,0.017212,0.018496,0.020794,0.024699,0.031050,0.041039", \ "0.023212,0.024204,0.025832,0.028729,0.033599,0.041474,0.053866", \ "0.031288,0.032532,0.034586,0.038165,0.044085,0.053488,0.068242"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.010736,0.012260,0.015086,0.020735,0.031980,0.054415,0.099206", \ "0.010743,0.012267,0.015086,0.020730,0.031979,0.054417,0.099196", \ "0.010877,0.012349,0.015120,0.020736,0.031978,0.054414,0.099211", \ "0.014725,0.015967,0.018193,0.022529,0.032381,0.054418,0.099189", \ "0.020496,0.021861,0.024326,0.029077,0.037996,0.056214,0.099193", \ "0.027309,0.028745,0.031417,0.036635,0.046473,0.064501,0.101548", \ "0.034957,0.036446,0.039249,0.044876,0.055657,0.075406,0.110887"); } } timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.005745,0.006379,0.007520,0.009708,0.013878,0.021885,0.037502", \ "0.007343,0.007943,0.009046,0.011197,0.015344,0.023343,0.038956", \ "0.011377,0.012266,0.013791,0.016478,0.020961,0.028816,0.044372", \ "0.013597,0.014892,0.017133,0.021114,0.027809,0.038537,0.055128", \ "0.013272,0.014977,0.017967,0.023266,0.032241,0.046700,0.069047", \ "0.010095,0.012229,0.015952,0.022582,0.033847,0.052092,0.080396", \ "0.003875,0.006414,0.010844,0.018790,0.032365,0.054417,0.088739"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.023478,0.025713,0.029820,0.037941,0.054056,0.086118,0.150123", \ "0.024811,0.027053,0.031185,0.039372,0.055591,0.087786,0.151890", \ "0.030589,0.032798,0.036874,0.044990,0.061169,0.093401,0.157626", \ "0.038685,0.041294,0.045912,0.054487,0.070594,0.102715,0.166882", \ "0.047102,0.050276,0.055837,0.066061,0.084442,0.117206,0.181167", \ "0.057900,0.061590,0.068003,0.079715,0.100591,0.137217,0.202021", \ "0.071834,0.076033,0.083266,0.096412,0.119651,0.160094,0.230158"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.004040,0.004530,0.005420,0.007144,0.010500,0.017146,0.030453", \ "0.003910,0.004379,0.005295,0.007067,0.010465,0.017136,0.030453", \ "0.006708,0.007091,0.007753,0.008938,0.011242,0.017128,0.030450", \ "0.011103,0.011660,0.012605,0.014304,0.017215,0.022004,0.031684", \ "0.016806,0.017545,0.018777,0.021002,0.024805,0.031059,0.040986", \ "0.023910,0.024850,0.026418,0.029215,0.033913,0.041620,0.053863", \ "0.032408,0.033608,0.035590,0.039036,0.044732,0.053864,0.068360"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.012454,0.014434,0.018116,0.025477,0.040181,0.069538,0.128226", \ "0.012468,0.014437,0.018115,0.025473,0.040177,0.069544,0.128225", \ "0.012552,0.014496,0.018140,0.025476,0.040182,0.069547,0.128235", \ "0.015621,0.017330,0.020329,0.026584,0.040268,0.069562,0.128235", \ "0.020397,0.022187,0.025481,0.031930,0.044190,0.070351,0.128223", \ "0.026425,0.028257,0.031655,0.038397,0.051457,0.076145,0.129092", \ "0.033554,0.035375,0.038860,0.045842,0.059479,0.085557,0.135182"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.005877,0.006508,0.007647,0.009831,0.014000,0.022010,0.037641", \ "0.007467,0.008067,0.009169,0.011319,0.015466,0.023468,0.039096", \ "0.011585,0.012460,0.013966,0.016627,0.021085,0.028942,0.044511", \ "0.013923,0.015197,0.017416,0.021359,0.028018,0.038710,0.055266", \ "0.013758,0.015445,0.018387,0.023636,0.032547,0.046951,0.069247", \ "0.010799,0.012890,0.016560,0.023113,0.034303,0.052448,0.080681", \ "0.004880,0.007363,0.011697,0.019535,0.032984,0.054913,0.089130"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.028798,0.031041,0.035170,0.043342,0.059530,0.091696,0.155818", \ "0.030201,0.032454,0.036608,0.044829,0.061101,0.093376,0.157581", \ "0.035902,0.038132,0.042248,0.050427,0.066680,0.099009,0.163333", \ "0.044882,0.047342,0.051709,0.059910,0.076072,0.108290,0.172579", \ "0.054634,0.057567,0.062781,0.072496,0.090311,0.122730,0.186823", \ "0.066574,0.069961,0.075985,0.087088,0.107230,0.143118,0.207612", \ "0.081611,0.085426,0.092175,0.104632,0.127018,0.166603,0.235904"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.004742,0.005255,0.006187,0.007994,0.011485,0.018222,0.031537", \ "0.004578,0.005080,0.006052,0.007913,0.011450,0.018212,0.031536", \ "0.007776,0.008099,0.008692,0.009795,0.012197,0.018203,0.031532", \ "0.013374,0.013779,0.014522,0.015959,0.018592,0.023091,0.032755", \ "0.020284,0.020786,0.021691,0.023474,0.026793,0.032574,0.042120", \ "0.028625,0.029256,0.030365,0.032540,0.036548,0.043599,0.055323", \ "0.038441,0.039259,0.040648,0.043294,0.048077,0.056353,0.070171"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.015227,0.017243,0.020965,0.028391,0.043188,0.072713,0.131567", \ "0.015227,0.017243,0.020966,0.028394,0.043185,0.072694,0.131551", \ "0.015251,0.017253,0.020972,0.028393,0.043190,0.072697,0.131553", \ "0.017454,0.019120,0.022296,0.028969,0.043218,0.072701,0.131554", \ "0.022078,0.023956,0.027357,0.033906,0.046331,0.073179,0.131528", \ "0.027792,0.029763,0.033354,0.040298,0.053528,0.078396,0.132158", \ "0.034518,0.036609,0.040352,0.047606,0.061532,0.087767,0.137786"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.00775029,0.00866128,0.0103391,0.0136660,0.0202766,0.0334510,0.0597695", \ "0.00906693,0.00999239,0.0116955,0.0150557,0.0217060,0.0349142,0.0612523", \ "0.0126526,0.0139644,0.0161976,0.0200859,0.0267572,0.0399345,0.0662657", \ "0.0144201,0.0163307,0.0195992,0.0253147,0.0348259,0.0499029,0.0760118", \ "0.0137776,0.0163136,0.0206231,0.0281658,0.0407487,0.0608164,0.0916687", \ "0.0104042,0.0135961,0.0189603,0.0283520,0.0440139,0.0690331,0.107717", \ "0.00411914,0.00789059,0.0143170,0.0255480,0.0443508,0.0743537,0.120797"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0164348,0.0187238,0.0229019,0.0311042,0.0472776,0.0793819,0.143397", \ "0.0170764,0.0193779,0.0236047,0.0319194,0.0482682,0.0805560,0.144709", \ "0.0226937,0.0247565,0.0287050,0.0367457,0.0529065,0.0851699,0.149434", \ "0.0317566,0.0346323,0.0395831,0.0483968,0.0639908,0.0955989,0.159408", \ "0.0420282,0.0455698,0.0516796,0.0627406,0.0817908,0.113647,0.176380", \ "0.0541094,0.0582815,0.0653897,0.0783602,0.101071,0.138898,0.201795", \ "0.0682370,0.0729557,0.0810858,0.0958355,0.121759,0.165598,0.236457"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.00448394,0.00524943,0.00667615,0.00952643,0.0152245,0.0266173,0.0494103", \ "0.00448323,0.00524937,0.00667464,0.00952636,0.0152254,0.0266183,0.0494086", \ "0.00700331,0.00761746,0.00869735,0.0106477,0.0153859,0.0266188,0.0494081", \ "0.0116680,0.0124761,0.0138797,0.0164039,0.0207923,0.0287853,0.0494092", \ "0.0179391,0.0189613,0.0207073,0.0238438,0.0292544,0.0383008,0.0541345", \ "0.0258931,0.0271248,0.0292631,0.0330519,0.0394921,0.0502452,0.0677663", \ "0.0354433,0.0369728,0.0395681,0.0440752,0.0516591,0.0640731,0.0843054"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0123897,0.0143966,0.0181015,0.0254785,0.0401801,0.0695534,0.128229", \ "0.0123393,0.0143646,0.0180916,0.0254721,0.0401973,0.0695418,0.128223", \ "0.0128741,0.0145646,0.0179438,0.0254257,0.0401810,0.0695486,0.128225", \ "0.0179681,0.0196590,0.0226298,0.0279084,0.0404640,0.0695292,0.128217", \ "0.0237044,0.0257103,0.0292519,0.0357624,0.0469492,0.0708410,0.128223", \ "0.0307124,0.0328966,0.0368706,0.0443752,0.0576987,0.0800121,0.129332", \ "0.0393087,0.0416427,0.0459114,0.0541202,0.0691173,0.0947840,0.138924"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.00908757,0.00999478,0.0116649,0.0149834,0.0215872,0.0347581,0.0610747", \ "0.0104312,0.0113637,0.0130691,0.0164312,0.0230779,0.0362849,0.0626227", \ "0.0134852,0.0146219,0.0166154,0.0203217,0.0271176,0.0404095,0.0668151", \ "0.0157809,0.0174113,0.0201926,0.0250784,0.0334153,0.0478991,0.0745240", \ "0.0158400,0.0180821,0.0218866,0.0284844,0.0393718,0.0568663,0.0861269", \ "0.0131705,0.0160660,0.0209776,0.0294635,0.0433483,0.0651174,0.0991363", \ "0.00753344,0.0111021,0.0171494,0.0275825,0.0446490,0.0712366,0.111652"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0212330,0.0234599,0.0275649,0.0357000,0.0518451,0.0839783,0.148076", \ "0.0220262,0.0242823,0.0284357,0.0366456,0.0528798,0.0851044,0.149254", \ "0.0274578,0.0296182,0.0336441,0.0417048,0.0578200,0.0899951,0.154163", \ "0.0383599,0.0409083,0.0453859,0.0534720,0.0690443,0.100656,0.164400", \ "0.0505936,0.0537634,0.0593569,0.0696076,0.0875940,0.118830,0.181566", \ "0.0646356,0.0683654,0.0749040,0.0869869,0.108481,0.144898,0.207139", \ "0.0809739,0.0851868,0.0926391,0.106345,0.130898,0.173094,0.242232"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.00448290,0.00524962,0.00667637,0.00952531,0.0152257,0.0266174,0.0494092", \ "0.00448151,0.00524844,0.00667630,0.00952530,0.0152232,0.0266192,0.0494121", \ "0.00565661,0.00632058,0.00757097,0.0100363,0.0153209,0.0266207,0.0494087", \ "0.00885741,0.00952777,0.0107303,0.0130705,0.0178182,0.0276780,0.0494160", \ "0.0135853,0.0143775,0.0157619,0.0183100,0.0230038,0.0322747,0.0516983", \ "0.0195349,0.0204924,0.0221406,0.0251241,0.0303640,0.0397247,0.0582204", \ "0.0265743,0.0277122,0.0296738,0.0332275,0.0392925,0.0495062,0.0679351"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.0152122,0.0172272,0.0209600,0.0283929,0.0431946,0.0726900,0.131559", \ "0.0151991,0.0172215,0.0209583,0.0283909,0.0431835,0.0726853,0.131559", \ "0.0151082,0.0170358,0.0208718,0.0283750,0.0431804,0.0726807,0.131562", \ "0.0194687,0.0211438,0.0238779,0.0298063,0.0432033,0.0726781,0.131571", \ "0.0251457,0.0272070,0.0307678,0.0372375,0.0485188,0.0734818,0.131524", \ "0.0313773,0.0338117,0.0380566,0.0457762,0.0591472,0.0817022,0.132276", \ "0.0384680,0.0412104,0.0460286,0.0548709,0.0703728,0.0961742,0.141068"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.934974,0.955670,0.979307,1.002129,1.050019,1.090604,1.127024", \ "0.888193,0.913943,0.933056,0.969771,1.015435,1.059159,1.097366", \ "0.910885,0.922310,0.923608,0.952702,0.994077,1.034056,1.079508", \ "1.214733,1.200245,1.187731,1.159125,1.113312,1.099849,1.119617", \ "1.713142,1.695257,1.661767,1.612990,1.518275,1.393495,1.278136", \ "2.450733,2.443325,2.414875,2.336717,2.192535,1.971009,1.698278", \ "3.449915,3.440378,3.413383,3.340314,3.176271,2.866182,2.427954"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("4.046447,4.059856,4.066146,4.066480,4.085247,4.003361,4.103332", \ "3.972998,3.986101,3.997468,4.014752,3.995554,3.984768,3.920294", \ "3.911562,3.911046,3.914638,3.956682,3.971181,3.968167,4.031596", \ "3.932897,3.930503,3.927418,3.929665,3.919443,3.910431,3.953852", \ "3.974328,4.013107,4.054095,4.100103,4.015661,4.051251,3.902774", \ "4.469441,4.455221,4.406899,4.389438,4.425544,4.240195,4.231118", \ "5.417059,5.367318,5.282725,5.173662,4.995828,4.974331,4.687189"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.733103,0.767032,0.806397,0.867504,0.932967,0.990300,1.038312", \ "0.704366,0.715917,0.762414,0.818522,0.883810,0.938978,0.994941", \ "0.769340,0.777707,0.773160,0.809467,0.855087,0.912696,0.959029", \ "1.072775,1.069463,1.051279,1.018412,0.983255,0.971673,0.995420", \ "1.567261,1.553523,1.523923,1.461086,1.371786,1.247786,1.145040", \ "2.279074,2.276262,2.231465,2.163622,2.019343,1.800944,1.546093", \ "3.226896,3.210156,3.188442,3.119972,2.956296,2.649224,2.235830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.671658,3.679119,3.686340,3.705339,3.722064,3.677169,3.687808", \ "3.574843,3.608503,3.616703,3.616853,3.643684,3.623576,3.628449", \ "3.539818,3.544778,3.543892,3.553534,3.534240,3.525119,3.654842", \ "3.560947,3.556937,3.560299,3.563236,3.539015,3.491062,3.600531", \ "3.591529,3.633098,3.656542,3.699887,3.669277,3.546089,3.548167", \ "4.037231,4.029428,3.999675,3.992075,4.043801,3.923077,3.844113", \ "4.914288,4.872108,4.805205,4.708462,4.579097,4.515829,4.175659"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.819994,0.831088,0.856704,0.893909,0.943803,0.994365,1.040884", \ "0.759219,0.788044,0.810790,0.845170,0.896794,0.951228,0.994530", \ "0.791632,0.790087,0.796456,0.829193,0.867708,0.919798,0.966934", \ "1.092897,1.082171,1.060743,1.027965,0.983338,0.977832,0.998230", \ "1.593767,1.569328,1.536780,1.470871,1.377255,1.253272,1.144185", \ "2.340525,2.310619,2.267941,2.180074,2.024402,1.802043,1.542104", \ "3.313684,3.284461,3.254805,3.156920,2.968902,2.649256,2.230636"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("4.454862,4.462587,4.492655,4.507144,4.511217,4.549489,4.502112", \ "4.391318,4.400397,4.414918,4.436280,4.438137,4.509772,4.538302", \ "4.331891,4.330851,4.360395,4.369786,4.420435,4.404658,4.444447", \ "4.342516,4.348139,4.344981,4.361173,4.363821,4.392664,4.429122", \ "4.408033,4.451156,4.506598,4.484055,4.462955,4.421816,4.428618", \ "4.765190,4.771349,4.765490,4.807119,4.805214,4.646969,4.589023", \ "5.586688,5.548068,5.504307,5.440965,5.348397,5.229022,5.050457"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.264025,0.266516,0.272397,0.280390,0.287929,0.292581,0.293772", \ "0.235849,0.243646,0.264618,0.283050,0.299024,0.318041,0.330493", \ "0.258785,0.255984,0.257273,0.270836,0.298811,0.323908,0.344175", \ "0.537921,0.511902,0.475824,0.418921,0.362438,0.362308,0.369941", \ "1.017393,0.983637,0.923539,0.822548,0.684043,0.537109,0.477095", \ "1.692059,1.656768,1.596910,1.479707,1.264539,0.990758,0.742397", \ "2.538877,2.526439,2.480889,2.377384,2.136000,1.735791,1.279676"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.552900,2.565538,2.572643,2.583789,2.622817,2.656234,2.658966", \ "2.449220,2.463981,2.499098,2.541332,2.500239,2.598656,2.624393", \ "2.470250,2.472162,2.468216,2.457533,2.502792,2.531902,2.570502", \ "2.748023,2.743312,2.710293,2.647676,2.649312,2.589436,2.597670", \ "3.131808,3.125017,3.140079,3.141049,3.031400,2.899545,2.673086", \ "3.850903,3.825879,3.777330,3.751853,3.690763,3.403515,3.207658", \ "4.944470,4.916315,4.838307,4.684919,4.503326,4.266742,3.942592"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.268147,0.272846,0.271607,0.282387,0.291119,0.293263,0.297436", \ "0.234091,0.239863,0.255955,0.283193,0.301003,0.319423,0.327972", \ "0.183105,0.186633,0.203273,0.233751,0.271644,0.308894,0.335572", \ "0.344083,0.333904,0.313605,0.291496,0.265275,0.297096,0.329574", \ "0.657903,0.633779,0.613049,0.555197,0.473530,0.382465,0.372574", \ "1.151124,1.127011,1.095670,1.012584,0.883839,0.698982,0.530130", \ "1.793055,1.772761,1.728331,1.666041,1.504171,1.242809,0.920585"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.269872,3.283237,3.283464,3.307919,3.300578,3.340060,3.303967", \ "3.191261,3.200730,3.215542,3.251313,3.253535,3.301378,3.257002", \ "3.214382,3.217135,3.226196,3.231981,3.195002,3.193283,3.266245", \ "3.482987,3.475366,3.455664,3.427208,3.343819,3.306489,3.271635", \ "3.899767,3.912782,3.920084,3.903716,3.814117,3.602604,3.554977", \ "4.584156,4.556238,4.564580,4.532854,4.485178,4.289112,3.964529", \ "5.619605,5.587411,5.562883,5.480658,5.365537,5.143904,4.747141"); } } } } /****************************************************************************************** Module : AOI21_X2 Cell Description : Combinational cell (AOI21_X2) with drive strength X2 *******************************************************************************************/ cell (AOI21_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 55.716720; leakage_power () { when : "!A & !B1 & !B2"; value : 27.167580; } leakage_power () { when : "!A & !B1 & B2"; value : 69.797200; } leakage_power () { when : "!A & B1 & !B2"; value : 28.372633; } leakage_power () { when : "!A & B1 & B2"; value : 74.563940; } leakage_power () { when : "A & !B1 & !B2"; value : 32.855790; } leakage_power () { when : "A & !B1 & B2"; value : 62.159361; } leakage_power () { when : "A & B1 & !B2"; value : 62.156941; } leakage_power () { when : "A & B1 & B2"; value : 88.660317; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.136406; fall_capacitance : 2.938330; rise_capacitance : 3.136406; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.129761; fall_capacitance : 2.725845; rise_capacitance : 3.129761; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.482455; fall_capacitance : 2.949222; rise_capacitance : 3.482455; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 50.659200; function : "!(A | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.006646,0.007517,0.008611,0.010724,0.014794,0.022699,0.038241", \ "0.008145,0.009004,0.010093,0.012202,0.016272,0.024179,0.039721", \ "0.012440,0.013619,0.015029,0.017555,0.021846,0.029663,0.045160", \ "0.015104,0.016832,0.018916,0.022661,0.029086,0.039529,0.055917", \ "0.015355,0.017651,0.020422,0.025404,0.033988,0.048034,0.070049", \ "0.012884,0.015761,0.019223,0.025462,0.036224,0.053907,0.081717", \ "0.007500,0.010916,0.015055,0.022553,0.035512,0.056867,0.090496"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.019366,0.021867,0.025074,0.031412,0.043969,0.068943,0.118762", \ "0.020814,0.023322,0.026549,0.032939,0.045581,0.070650,0.120553", \ "0.026819,0.029297,0.032483,0.038821,0.051429,0.076534,0.126532", \ "0.035008,0.038260,0.042192,0.049374,0.062167,0.087191,0.137152", \ "0.043422,0.047585,0.052595,0.061644,0.077424,0.104205,0.154025", \ "0.054096,0.059039,0.064962,0.075700,0.094408,0.125932,0.178462", \ "0.067715,0.073320,0.080074,0.092342,0.113733,0.149801,0.209374"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.004198,0.004851,0.005692,0.007364,0.010695,0.017363,0.030721", \ "0.004083,0.004778,0.005650,0.007348,0.010692,0.017363,0.030720", \ "0.006491,0.007045,0.007707,0.008902,0.011333,0.017370,0.030720", \ "0.010652,0.011478,0.012444,0.014185,0.017150,0.021988,0.031858", \ "0.016018,0.017107,0.018400,0.020709,0.024622,0.030999,0.040995", \ "0.022665,0.024056,0.025710,0.028634,0.033525,0.041400,0.053806", \ "0.030635,0.032385,0.034442,0.038052,0.043988,0.053403,0.068161"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.009970,0.012140,0.014971,0.020622,0.031879,0.054348,0.099183", \ "0.009977,0.012143,0.014974,0.020623,0.031889,0.054339,0.099173", \ "0.010159,0.012237,0.015008,0.020630,0.031878,0.054340,0.099189", \ "0.014176,0.015954,0.018204,0.022520,0.032324,0.054327,0.099177", \ "0.019845,0.021796,0.024282,0.029047,0.037989,0.056217,0.099170", \ "0.026478,0.028540,0.031231,0.036486,0.046372,0.064470,0.101568", \ "0.033928,0.036071,0.038937,0.044613,0.055465,0.075301,0.110894"); } } timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.005486,0.006390,0.007523,0.009694,0.013837,0.021810,0.037398", \ "0.007084,0.007940,0.009037,0.011175,0.015298,0.023264,0.038849", \ "0.010895,0.012186,0.013717,0.016406,0.020899,0.028740,0.044267", \ "0.012813,0.014710,0.016962,0.020952,0.027667,0.038415,0.055024", \ "0.012189,0.014704,0.017695,0.023016,0.032015,0.046504,0.068889", \ "0.008704,0.011853,0.015583,0.022241,0.033550,0.051831,0.080175", \ "0.002175,0.005920,0.010375,0.018359,0.031981,0.054107,0.088462"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.021993,0.025190,0.029303,0.037437,0.053570,0.085684,0.149773", \ "0.023328,0.026535,0.030672,0.038869,0.055109,0.087348,0.151533", \ "0.029043,0.032201,0.036281,0.044408,0.060608,0.092884,0.157201", \ "0.036733,0.040522,0.045176,0.053820,0.069952,0.102116,0.166371", \ "0.044918,0.049519,0.055084,0.065343,0.083785,0.116619,0.180661", \ "0.055680,0.061000,0.067408,0.079128,0.100018,0.136694,0.201588", \ "0.069570,0.075573,0.082791,0.095944,0.119188,0.159637,0.229762"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.003763,0.004459,0.005337,0.007044,0.010386,0.017024,0.030336", \ "0.003663,0.004317,0.005223,0.006976,0.010357,0.017017,0.030336", \ "0.006474,0.007027,0.007692,0.008884,0.011172,0.017027,0.030335", \ "0.010763,0.011567,0.012513,0.014219,0.017144,0.021953,0.031599", \ "0.016357,0.017412,0.018664,0.020899,0.024723,0.030993,0.040926", \ "0.023347,0.024678,0.026275,0.029089,0.033807,0.041518,0.053791", \ "0.031742,0.033437,0.035420,0.038889,0.044599,0.053764,0.068270"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.011499,0.014333,0.018015,0.025391,0.040121,0.069512,0.128288", \ "0.011511,0.014338,0.018021,0.025392,0.040119,0.069520,0.128297", \ "0.011630,0.014392,0.018042,0.025392,0.040111,0.069535,0.128285", \ "0.014858,0.017318,0.020349,0.026577,0.040226,0.069516,0.128296", \ "0.019558,0.022133,0.025449,0.031915,0.044219,0.070360,0.128286", \ "0.025470,0.028092,0.031523,0.038289,0.051393,0.076177,0.129194", \ "0.032428,0.035090,0.038615,0.045633,0.059337,0.085516,0.135285"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.005616,0.006517,0.007646,0.009814,0.013956,0.021935,0.037537", \ "0.007206,0.008062,0.009158,0.011294,0.015417,0.023389,0.038989", \ "0.011100,0.012376,0.013887,0.016555,0.021022,0.028864,0.044406", \ "0.013141,0.015011,0.017237,0.021193,0.027871,0.038582,0.055163", \ "0.012680,0.015157,0.018110,0.023375,0.032317,0.046747,0.069087", \ "0.009417,0.012508,0.016179,0.022757,0.033982,0.052181,0.080460", \ "0.003200,0.006850,0.011217,0.019083,0.032590,0.054579,0.088853"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.027268,0.030485,0.034617,0.042793,0.058986,0.091166,0.155289", \ "0.028673,0.031900,0.036056,0.044282,0.060560,0.092847,0.157055", \ "0.034315,0.037503,0.041621,0.049804,0.066064,0.098398,0.162730", \ "0.043038,0.046577,0.050987,0.059219,0.075385,0.107615,0.171909", \ "0.052586,0.056818,0.062031,0.071784,0.089639,0.122086,0.186181", \ "0.064514,0.069415,0.075372,0.086469,0.106628,0.142562,0.207071", \ "0.079510,0.085025,0.091730,0.104136,0.126517,0.166097,0.235414"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.004415,0.005141,0.006063,0.007859,0.011348,0.018093,0.031418", \ "0.004276,0.004981,0.005940,0.007788,0.011319,0.018086,0.031419", \ "0.007534,0.008005,0.008599,0.009713,0.012107,0.018093,0.031418", \ "0.013089,0.013669,0.014410,0.015864,0.018517,0.023042,0.032671", \ "0.019953,0.020653,0.021570,0.023363,0.026691,0.032505,0.042067", \ "0.028241,0.029095,0.030231,0.032421,0.036442,0.043506,0.055260", \ "0.038017,0.039117,0.040511,0.043166,0.047963,0.056261,0.070096"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.014250,0.017127,0.020853,0.028284,0.043085,0.072591,0.131457", \ "0.014252,0.017130,0.020852,0.028284,0.043085,0.072610,0.131462", \ "0.014283,0.017140,0.020857,0.028281,0.043077,0.072596,0.131451", \ "0.016731,0.019125,0.022275,0.028916,0.043102,0.072588,0.131451", \ "0.021217,0.023908,0.027310,0.033868,0.046296,0.073116,0.131446", \ "0.026784,0.029625,0.033217,0.040175,0.053439,0.078352,0.132099", \ "0.033354,0.036319,0.040093,0.047398,0.061348,0.087675,0.137762"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.00738279,0.00868908,0.0103686,0.0136970,0.0203083,0.0334849,0.0598030", \ "0.00869401,0.0100215,0.0117261,0.0150875,0.0217376,0.0349476,0.0612880", \ "0.0120701,0.0139822,0.0162169,0.0201097,0.0267836,0.0399615,0.0662930", \ "0.0135521,0.0163363,0.0196060,0.0253277,0.0348456,0.0499257,0.0760396", \ "0.0126273,0.0163164,0.0206270,0.0281724,0.0407581,0.0608365,0.0916934", \ "0.00897421,0.0135794,0.0189429,0.0283480,0.0440199,0.0690497,0.107737", \ "0.00236983,0.00785327,0.0142640,0.0255315,0.0443527,0.0743658,0.120819"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0156164,0.0189053,0.0230885,0.0312983,0.0474940,0.0796409,0.143745", \ "0.0162438,0.0195437,0.0237741,0.0321035,0.0484717,0.0807982,0.145042", \ "0.0219448,0.0248940,0.0288611,0.0369155,0.0530968,0.0854136,0.149763", \ "0.0305465,0.0347254,0.0396880,0.0485366,0.0641790,0.0958328,0.159737", \ "0.0405062,0.0456219,0.0517503,0.0628438,0.0819483,0.113870,0.176705", \ "0.0523277,0.0582806,0.0654299,0.0784429,0.101201,0.139105,0.202113", \ "0.0661744,0.0729494,0.0810927,0.0958986,0.121874,0.165795,0.236760"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.00413492,0.00523226,0.00665743,0.00950993,0.0152120,0.0266125,0.0494120", \ "0.00413420,0.00523137,0.00665793,0.00951150,0.0152121,0.0266122,0.0494119", \ "0.00671259,0.00760016,0.00867932,0.0106346,0.0153738,0.0266137,0.0494134", \ "0.0112820,0.0124542,0.0138575,0.0163841,0.0207754,0.0287782,0.0494137", \ "0.0174413,0.0189246,0.0206773,0.0238199,0.0292303,0.0382867,0.0541326", \ "0.0252420,0.0270595,0.0292092,0.0330076,0.0394601,0.0502144,0.0677573", \ "0.0346928,0.0368892,0.0394720,0.0440171,0.0516071,0.0640272,0.0842718"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0114526,0.0143101,0.0180125,0.0253910,0.0401100,0.0695171,0.128277", \ "0.0114066,0.0142857,0.0180002,0.0253918,0.0401284,0.0695167,0.128284", \ "0.0121672,0.0145332,0.0178906,0.0253560,0.0401133,0.0695163,0.128285", \ "0.0171740,0.0196219,0.0226072,0.0278769,0.0404289,0.0695097,0.128286", \ "0.0227447,0.0256265,0.0292021,0.0357301,0.0469255,0.0708395,0.128274", \ "0.0296006,0.0327732,0.0367894,0.0443214,0.0576714,0.0800290,0.129400", \ "0.0381102,0.0414836,0.0458030,0.0540399,0.0690793,0.0947843,0.138997"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.00868639,0.00998576,0.0116571,0.0149773,0.0215812,0.0347537,0.0610704", \ "0.0100122,0.0113510,0.0130588,0.0164233,0.0230711,0.0362788,0.0626204", \ "0.0129429,0.0145912,0.0165876,0.0202981,0.0271006,0.0403956,0.0668023", \ "0.0149828,0.0173615,0.0201493,0.0250418,0.0333845,0.0478769,0.0745058", \ "0.0147342,0.0180176,0.0218282,0.0284372,0.0393292,0.0568315,0.0861032", \ "0.0117464,0.0159946,0.0209109,0.0294021,0.0433006,0.0650773,0.0990998", \ "0.00579651,0.0110306,0.0170684,0.0275163,0.0445940,0.0711961,0.111608"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0203857,0.0235767,0.0276837,0.0358222,0.0519755,0.0841201,0.148209", \ "0.0211524,0.0243852,0.0285404,0.0367533,0.0529971,0.0852299,0.149386", \ "0.0266141,0.0297044,0.0337334,0.0417984,0.0579234,0.0901092,0.154287", \ "0.0372540,0.0409541,0.0454422,0.0535532,0.0691360,0.100763,0.164522", \ "0.0491678,0.0537600,0.0593572,0.0696300,0.0876567,0.118932,0.181692", \ "0.0629380,0.0683145,0.0748596,0.0869800,0.108503,0.144962,0.207266", \ "0.0790282,0.0851298,0.0925493,0.106294,0.130889,0.173138,0.242329"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.00413589,0.00523144,0.00665944,0.00951014,0.0152125,0.0266134,0.0494125", \ "0.00413632,0.00523072,0.00665938,0.00951123,0.0152123,0.0266126,0.0494148", \ "0.00535520,0.00630351,0.00755438,0.0100225,0.0153084,0.0266136,0.0494134", \ "0.00854057,0.00951182,0.0107090,0.0130554,0.0178030,0.0276730,0.0494217", \ "0.0132065,0.0143490,0.0157358,0.0182881,0.0229842,0.0322619,0.0517082", \ "0.0190789,0.0204482,0.0221005,0.0250966,0.0303292,0.0396953,0.0582196", \ "0.0260195,0.0276316,0.0296206,0.0331859,0.0392617,0.0494723,0.0679203"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.0142419,0.0171221,0.0208506,0.0282805,0.0430793,0.0725932,0.131451", \ "0.0142319,0.0171157,0.0208519,0.0282805,0.0430832,0.0725864,0.131462", \ "0.0142420,0.0169647,0.0207862,0.0282709,0.0430860,0.0725821,0.131454", \ "0.0186885,0.0211038,0.0238387,0.0297465,0.0431150,0.0725733,0.131478", \ "0.0241733,0.0271301,0.0307127,0.0371883,0.0484718,0.0734060,0.131448", \ "0.0302062,0.0337124,0.0379737,0.0457110,0.0590890,0.0816384,0.132221", \ "0.0371072,0.0410816,0.0459203,0.0547769,0.0702952,0.0961273,0.141023"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("1.783871,1.829377,1.867117,1.929636,1.995473,2.071186,2.144296", \ "1.707565,1.732773,1.793503,1.856436,1.927901,2.008591,2.086112", \ "1.763608,1.753145,1.757178,1.814670,1.888300,1.978388,2.052940", \ "2.359855,2.322626,2.275318,2.219789,2.142804,2.099315,2.133077", \ "3.366978,3.307209,3.246873,3.132946,2.947886,2.684665,2.455288", \ "4.852934,4.827216,4.745538,4.597058,4.292538,3.839951,3.293278", \ "6.800388,6.817680,6.757840,6.604681,6.262806,5.635598,4.749819"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("7.852844,7.909687,7.920445,7.909403,7.936568,7.953858,7.964003", \ "7.706716,7.766192,7.783466,7.805691,7.856063,7.906878,7.702729", \ "7.633399,7.662158,7.686220,7.681249,7.691235,7.706030,7.870815", \ "7.677727,7.658514,7.658696,7.688762,7.710523,7.740920,7.747838", \ "7.783264,7.860425,7.934628,8.000117,7.873539,7.884528,7.554628", \ "8.855698,8.797910,8.693675,8.701374,8.625463,8.481012,8.296176", \ "10.754640,10.646650,10.472170,10.255060,9.977265,9.797643,9.299810"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("1.409769,1.480769,1.570886,1.678283,1.788256,1.878177,1.969320", \ "1.341998,1.413142,1.460731,1.570953,1.683794,1.789710,1.882784", \ "1.491778,1.485051,1.488933,1.542644,1.636502,1.730208,1.824327", \ "2.104060,2.067643,2.041086,1.980958,1.891698,1.846338,1.895880", \ "3.088438,3.043136,2.977376,2.860960,2.666539,2.424849,2.191157", \ "4.525519,4.492497,4.406846,4.256619,3.968507,3.518851,2.996628", \ "6.415296,6.384720,6.319277,6.165629,5.842154,5.229312,4.373069"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("7.133774,7.152055,7.148196,7.218419,7.242349,7.183158,7.180741", \ "6.940959,7.007727,7.014798,7.041656,7.093865,7.156065,7.062178", \ "6.879736,6.885824,6.881534,6.911473,6.985960,7.053378,6.877104", \ "6.935102,6.937423,6.927554,6.946898,6.949378,6.947937,6.857723", \ "7.026934,7.100213,7.203705,7.238092,7.128230,7.128198,6.873835", \ "7.959679,7.944496,7.878090,7.853670,7.943612,7.735414,7.524974", \ "9.814296,9.659004,9.517277,9.308283,9.079066,8.944671,8.187336"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("1.549350,1.613337,1.659958,1.715955,1.808669,1.896879,1.973975", \ "1.445076,1.478383,1.560290,1.621280,1.716230,1.802051,1.886132", \ "1.516891,1.512507,1.532963,1.579559,1.656845,1.747021,1.826522", \ "2.130569,2.078957,2.051167,1.980434,1.887048,1.857799,1.895486", \ "3.152976,3.073687,3.002254,2.877426,2.672762,2.410314,2.197017", \ "4.647819,4.561415,4.472238,4.287314,3.969627,3.523232,2.991435", \ "6.599519,6.541604,6.457855,6.255023,5.870570,5.221851,4.370948"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("8.710293,8.718386,8.784597,8.817363,8.846443,8.847156,8.705849", \ "8.575714,8.594447,8.659110,8.683369,8.700678,8.867240,8.904836", \ "8.449309,8.474236,8.519040,8.571777,8.509197,8.658360,8.722906", \ "8.448889,8.504541,8.499203,8.520187,8.561619,8.644141,8.702202", \ "8.644820,8.725223,8.847659,8.825415,8.779832,8.718664,8.714322", \ "9.405393,9.428537,9.404235,9.423888,9.507390,9.196808,9.041304", \ "11.116230,11.011480,10.917310,10.811000,10.613570,10.496180,9.999478"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.529179,0.541568,0.549974,0.560058,0.582014,0.587610,0.599804", \ "0.467155,0.505872,0.531545,0.569957,0.606841,0.641590,0.665847", \ "0.533612,0.510875,0.522075,0.549967,0.599816,0.655122,0.689832", \ "1.099656,1.029934,0.942617,0.829859,0.730000,0.730348,0.740679", \ "2.030833,1.968057,1.844904,1.644265,1.365306,1.071647,0.955760", \ "3.408849,3.327203,3.189375,2.953678,2.524164,1.975358,1.488721", \ "5.126484,5.070026,4.965747,4.757034,4.264850,3.463484,2.553663"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("5.039957,5.120400,5.140603,5.195782,5.252022,5.258727,5.259683", \ "4.832132,4.917824,4.943572,5.002238,5.056425,5.209566,5.191870", \ "4.910638,4.941741,4.925287,4.928509,5.014353,5.069109,5.085540", \ "5.499355,5.460763,5.405728,5.307247,5.279580,5.159387,5.140769", \ "6.227140,6.252869,6.265779,6.242952,6.046915,5.766893,5.290391", \ "7.705346,7.629074,7.532082,7.416127,7.338449,6.899100,6.442673", \ "9.913845,9.764326,9.603990,9.368346,8.975443,8.643538,7.825999"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("0.528459,0.548611,0.551039,0.569142,0.578923,0.590227,0.600251", \ "0.458742,0.493646,0.523307,0.571571,0.616637,0.642393,0.667346", \ "0.360626,0.371805,0.407226,0.460008,0.548531,0.615962,0.672247", \ "0.692791,0.660967,0.628026,0.583141,0.531147,0.592991,0.661811", \ "1.340617,1.286226,1.213493,1.108681,0.945725,0.762917,0.747950", \ "2.320723,2.250238,2.181070,2.015426,1.769991,1.403303,1.061021", \ "3.557128,3.548255,3.462217,3.321977,3.022289,2.486361,1.837705"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.583100,3.166200,6.332400,12.664800,25.329600,50.659200"); values ("6.500425,6.509080,6.561905,6.581651,6.646946,6.560257,6.576087", \ "6.326137,6.397627,6.407897,6.468964,6.553620,6.455706,6.603650", \ "6.378493,6.429807,6.422182,6.430027,6.416630,6.473063,6.410029", \ "6.922434,6.944704,6.904715,6.795206,6.709699,6.659790,6.593932", \ "7.759375,7.767772,7.855662,7.770935,7.514428,7.189307,7.040348", \ "9.117234,9.099507,9.067135,9.027722,8.896075,8.558330,7.905513", \ "11.212940,11.161240,11.108820,10.923970,10.713440,10.327660,9.417667"); } } } } /****************************************************************************************** Module : AOI21_X4 Cell Description : Combinational cell (AOI21_X4) with drive strength X4 *******************************************************************************************/ cell (AOI21_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 111.433338; leakage_power () { when : "!A & !B1 & !B2"; value : 54.335270; } leakage_power () { when : "!A & !B1 & B2"; value : 139.594290; } leakage_power () { when : "!A & B1 & !B2"; value : 56.745259; } leakage_power () { when : "!A & B1 & B2"; value : 149.127880; } leakage_power () { when : "A & !B1 & !B2"; value : 65.711426; } leakage_power () { when : "A & !B1 & B2"; value : 124.318557; } leakage_power () { when : "A & B1 & !B2"; value : 124.313805; } leakage_power () { when : "A & B1 & B2"; value : 177.320219; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.139254; fall_capacitance : 5.766105; rise_capacitance : 6.139254; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.401877; fall_capacitance : 5.613087; rise_capacitance : 6.401877; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.713197; fall_capacitance : 5.639575; rise_capacitance : 6.713197; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 101.013000; function : "!(A | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.006689,0.007699,0.008799,0.010919,0.014998,0.022910,0.038450", \ "0.008186,0.009182,0.010275,0.012390,0.016469,0.024382,0.039923", \ "0.012492,0.013851,0.015256,0.017767,0.022039,0.029860,0.045356", \ "0.015169,0.017163,0.019230,0.022953,0.029344,0.039750,0.056109", \ "0.015437,0.018088,0.020827,0.025776,0.034311,0.048305,0.070269", \ "0.012975,0.016292,0.019714,0.025908,0.036612,0.054220,0.081967", \ "0.007577,0.011528,0.015632,0.023074,0.035969,0.057230,0.090778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.019480,0.022365,0.025579,0.031930,0.044507,0.069519,0.119414", \ "0.020923,0.023815,0.027049,0.033451,0.046113,0.071219,0.121194", \ "0.026941,0.029798,0.032995,0.039343,0.051975,0.077117,0.127189", \ "0.035092,0.038825,0.042741,0.049901,0.062692,0.087759,0.137792", \ "0.043372,0.048160,0.053154,0.062180,0.077926,0.104698,0.154597", \ "0.053874,0.059549,0.065458,0.076180,0.094851,0.126358,0.178933", \ "0.067357,0.073812,0.080553,0.092781,0.114131,0.150164,0.209744"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.004198,0.004949,0.005792,0.007464,0.010795,0.017461,0.030815", \ "0.004073,0.004872,0.005746,0.007446,0.010791,0.017461,0.030815", \ "0.006480,0.007111,0.007767,0.008953,0.011404,0.017460,0.030813", \ "0.010625,0.011556,0.012525,0.014253,0.017208,0.022036,0.031936", \ "0.015967,0.017206,0.018497,0.020794,0.024692,0.031042,0.041040", \ "0.022594,0.024166,0.025818,0.028730,0.033593,0.041459,0.053846", \ "0.030548,0.032503,0.034565,0.038159,0.044069,0.053470,0.068202"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.009801,0.012303,0.015138,0.020805,0.032090,0.054594,0.099522", \ "0.009807,0.012305,0.015142,0.020807,0.032084,0.054598,0.099522", \ "0.009994,0.012388,0.015174,0.020812,0.032082,0.054583,0.099542", \ "0.013975,0.016036,0.018272,0.022621,0.032514,0.054597,0.099538", \ "0.019651,0.021892,0.024370,0.029133,0.038102,0.056417,0.099533", \ "0.026338,0.028697,0.031382,0.036620,0.046504,0.064640,0.101891", \ "0.033886,0.036299,0.039148,0.044804,0.055621,0.075476,0.111167"); } } timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.005496,0.006544,0.007682,0.009862,0.014017,0.022004,0.037595", \ "0.007102,0.008093,0.009194,0.011339,0.015473,0.023451,0.039040", \ "0.010913,0.012404,0.013923,0.016601,0.021079,0.028922,0.044451", \ "0.012833,0.015017,0.017254,0.021222,0.027907,0.038627,0.055204", \ "0.012205,0.015109,0.018074,0.023363,0.032318,0.046752,0.069095", \ "0.008712,0.012347,0.016044,0.022658,0.033914,0.052132,0.080414", \ "0.002178,0.006496,0.010911,0.018842,0.032400,0.054447,0.088725"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.022049,0.025739,0.029855,0.037999,0.054151,0.086298,0.150456", \ "0.023381,0.027077,0.031220,0.039430,0.055686,0.087953,0.152209", \ "0.029132,0.032773,0.036859,0.044998,0.061217,0.093526,0.157906", \ "0.036815,0.041165,0.045809,0.054424,0.070577,0.102776,0.167097", \ "0.044883,0.050154,0.055716,0.065955,0.084376,0.117223,0.181334", \ "0.055449,0.061566,0.067961,0.079664,0.100532,0.137203,0.202162", \ "0.069213,0.076109,0.083312,0.096430,0.119635,0.160065,0.230215"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.003730,0.004538,0.005421,0.007135,0.010482,0.017122,0.030429", \ "0.003635,0.004391,0.005302,0.007062,0.010451,0.017114,0.030431", \ "0.006457,0.007090,0.007750,0.008933,0.011236,0.017116,0.030427", \ "0.010736,0.011647,0.012592,0.014292,0.017211,0.022006,0.031673", \ "0.016317,0.017514,0.018762,0.020989,0.024786,0.031038,0.040975", \ "0.023298,0.024800,0.026394,0.029191,0.033889,0.041584,0.053826", \ "0.031664,0.033561,0.035550,0.039004,0.044698,0.053829,0.068310"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.011244,0.014495,0.018191,0.025568,0.040314,0.069760,0.128615", \ "0.011257,0.014498,0.018189,0.025571,0.040312,0.069759,0.128604", \ "0.011384,0.014555,0.018215,0.025575,0.040310,0.069759,0.128598", \ "0.014580,0.017411,0.020434,0.026700,0.040412,0.069769,0.128603", \ "0.019265,0.022225,0.025542,0.032014,0.044342,0.070586,0.128609", \ "0.025239,0.028231,0.031658,0.038420,0.051529,0.076351,0.129491", \ "0.032253,0.035268,0.038794,0.045802,0.059488,0.085684,0.135553"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.005629,0.006673,0.007807,0.009984,0.014139,0.022131,0.037738", \ "0.007225,0.008216,0.009316,0.011460,0.015595,0.023579,0.039184", \ "0.011121,0.012592,0.014094,0.016750,0.021203,0.029049,0.044595", \ "0.013167,0.015320,0.017530,0.021463,0.028114,0.038793,0.055348", \ "0.012709,0.015562,0.018487,0.023722,0.032621,0.047004,0.069299", \ "0.009442,0.013001,0.016636,0.023175,0.034349,0.052481,0.080706", \ "0.003224,0.007417,0.011744,0.019567,0.033010,0.054922,0.089125"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.027359,0.031064,0.035201,0.043385,0.059593,0.091805,0.155986", \ "0.028754,0.032473,0.036633,0.044868,0.061163,0.093476,0.157748", \ "0.034430,0.038104,0.042228,0.050421,0.066698,0.099066,0.163454", \ "0.043159,0.047220,0.051620,0.059846,0.076036,0.108298,0.172651", \ "0.052598,0.057467,0.062660,0.072399,0.090237,0.122716,0.186873", \ "0.064361,0.069997,0.075931,0.087011,0.107162,0.143091,0.207673", \ "0.079232,0.085583,0.092249,0.104631,0.126972,0.166540,0.235886"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.004401,0.005241,0.006167,0.007971,0.011463,0.018202,0.031522", \ "0.004262,0.005076,0.006040,0.007895,0.011431,0.018194,0.031522", \ "0.007536,0.008076,0.008664,0.009775,0.012186,0.018194,0.031518", \ "0.013096,0.013750,0.014491,0.015935,0.018576,0.023097,0.032752", \ "0.019960,0.020747,0.021667,0.023454,0.026780,0.032560,0.042122", \ "0.028244,0.029200,0.030339,0.032520,0.036534,0.043575,0.055310", \ "0.038017,0.039227,0.040627,0.043280,0.048061,0.056339,0.070149"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.014009,0.017320,0.021047,0.028486,0.043298,0.072841,0.131789", \ "0.014013,0.017317,0.021051,0.028482,0.043300,0.072851,0.131777", \ "0.014045,0.017335,0.021054,0.028482,0.043299,0.072839,0.131786", \ "0.016468,0.019234,0.022407,0.029082,0.043323,0.072838,0.131778", \ "0.020935,0.024032,0.027432,0.033991,0.046452,0.073357,0.131776", \ "0.026528,0.029774,0.033371,0.040321,0.053581,0.078553,0.132434", \ "0.033138,0.036519,0.040286,0.047574,0.061516,0.087855,0.138054"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.00723524,0.00873884,0.0104161,0.0137397,0.0203403,0.0334944,0.0597674", \ "0.00854380,0.0100711,0.0117727,0.0151298,0.0217686,0.0349562,0.0612500", \ "0.0118425,0.0140503,0.0162760,0.0201556,0.0268168,0.0399720,0.0662581", \ "0.0132175,0.0164344,0.0196842,0.0253876,0.0348817,0.0499359,0.0760047", \ "0.0121764,0.0164326,0.0207173,0.0282418,0.0407963,0.0608366,0.0916532", \ "0.00838878,0.0137015,0.0190444,0.0284221,0.0440584,0.0690423,0.107674", \ "0.00165410,0.00797019,0.0143579,0.0256013,0.0443785,0.0743403,0.120729"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0151837,0.0189706,0.0231560,0.0313735,0.0475832,0.0797610,0.143934", \ "0.0158214,0.0196186,0.0238539,0.0321899,0.0485761,0.0809347,0.145242", \ "0.0215911,0.0249723,0.0289410,0.0370058,0.0532096,0.0855536,0.149971", \ "0.0300504,0.0348611,0.0398086,0.0486406,0.0642851,0.0959814,0.159947", \ "0.0399311,0.0458114,0.0519282,0.0630031,0.0820836,0.114011,0.176916", \ "0.0516779,0.0585240,0.0656581,0.0786501,0.101382,0.139269,0.202319", \ "0.0654509,0.0732407,0.0813744,0.0961560,0.122104,0.166001,0.236965"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.00401433,0.00527155,0.00669850,0.00955010,0.0152516,0.0266516,0.0494451", \ "0.00401325,0.00527117,0.00669890,0.00955091,0.0152505,0.0266499,0.0494467", \ "0.00660526,0.00762741,0.00870636,0.0106642,0.0154103,0.0266498,0.0494485", \ "0.0111352,0.0124830,0.0138818,0.0164073,0.0207965,0.0288143,0.0494469", \ "0.0172497,0.0189529,0.0207041,0.0238348,0.0292443,0.0383018,0.0541826", \ "0.0250199,0.0270779,0.0292313,0.0330310,0.0394682,0.0502151,0.0677692", \ "0.0344066,0.0368901,0.0394828,0.0440326,0.0516158,0.0640185,0.0842594"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0111643,0.0144684,0.0181732,0.0255691,0.0403231,0.0697604,0.128597", \ "0.0111058,0.0144420,0.0181667,0.0255656,0.0403134,0.0697536,0.128609", \ "0.0119391,0.0146471,0.0180321,0.0255252,0.0403203,0.0697530,0.128609", \ "0.0169020,0.0197225,0.0227067,0.0279967,0.0406014,0.0697534,0.128597", \ "0.0224198,0.0257315,0.0293130,0.0358450,0.0470561,0.0710418,0.128604", \ "0.0292473,0.0328824,0.0369070,0.0444437,0.0578006,0.0801920,0.129699", \ "0.0377245,0.0415801,0.0459226,0.0541684,0.0692112,0.0949414,0.139254"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.00856042,0.0100536,0.0117244,0.0150395,0.0216334,0.0347834,0.0610532", \ "0.00988078,0.0114192,0.0131251,0.0164845,0.0231223,0.0363079,0.0626010", \ "0.0127725,0.0146711,0.0166605,0.0203615,0.0271526,0.0404232,0.0667825", \ "0.0147216,0.0174674,0.0202417,0.0251131,0.0334327,0.0478987,0.0744804", \ "0.0143614,0.0181502,0.0219410,0.0285227,0.0393778,0.0568412,0.0860630", \ "0.0112490,0.0161534,0.0210399,0.0294987,0.0433503,0.0650727,0.0990380", \ "0.00515423,0.0112040,0.0172090,0.0276141,0.0446451,0.0711807,0.111513"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0200116,0.0236879,0.0277996,0.0359469,0.0521176,0.0842901,0.148444", \ "0.0207757,0.0245014,0.0286611,0.0368834,0.0531452,0.0854086,0.149622", \ "0.0262612,0.0298172,0.0338522,0.0419292,0.0580704,0.0902886,0.154527", \ "0.0368495,0.0411107,0.0455845,0.0536797,0.0692790,0.100952,0.164755", \ "0.0486960,0.0539757,0.0595591,0.0698144,0.0878144,0.119106,0.181925", \ "0.0624017,0.0685846,0.0751181,0.0872127,0.108721,0.145143,0.207501", \ "0.0784519,0.0854611,0.0928592,0.106583,0.131152,0.173375,0.242554"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.00401573,0.00527140,0.00669878,0.00955024,0.0152506,0.0266496,0.0494458", \ "0.00401554,0.00527282,0.00669908,0.00955054,0.0152499,0.0266515,0.0494468", \ "0.00524435,0.00633682,0.00759080,0.0100581,0.0153471,0.0266500,0.0494459", \ "0.00843252,0.00954632,0.0107373,0.0130801,0.0178364,0.0277104,0.0494586", \ "0.0130700,0.0143861,0.0157705,0.0183134,0.0230108,0.0322940,0.0517454", \ "0.0189160,0.0204848,0.0221427,0.0251295,0.0303462,0.0397119,0.0582482", \ "0.0258302,0.0276759,0.0296706,0.0332196,0.0392740,0.0494825,0.0679310"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("0.0139905,0.0173075,0.0210453,0.0284810,0.0433018,0.0728407,0.131780", \ "0.0139747,0.0173014,0.0210409,0.0284798,0.0433017,0.0728426,0.131787", \ "0.0139916,0.0171369,0.0209732,0.0284690,0.0432966,0.0728437,0.131793", \ "0.0184493,0.0212303,0.0239665,0.0299056,0.0433240,0.0728498,0.131793", \ "0.0238629,0.0272708,0.0308499,0.0373209,0.0486211,0.0736469,0.131775", \ "0.0298563,0.0338703,0.0381253,0.0458564,0.0592360,0.0818361,0.132541", \ "0.0367094,0.0412481,0.0460923,0.0549425,0.0704506,0.0962970,0.141295"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("3.561669,3.650091,3.728672,3.860891,4.003548,4.139738,4.276708", \ "3.379411,3.456439,3.573714,3.708831,3.863435,4.009390,4.170264", \ "3.473496,3.496583,3.502447,3.625684,3.774736,3.930754,4.089655", \ "4.701745,4.632412,4.545641,4.447606,4.260146,4.178710,4.246823", \ "6.710982,6.578733,6.469048,6.230463,5.840710,5.344633,4.901384", \ "9.735094,9.590706,9.460866,9.148172,8.562641,7.652873,6.570628", \ "13.634270,13.554190,13.463750,13.147700,12.492150,11.230570,9.462004"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("16.136340,16.203940,16.256540,16.305220,16.218300,16.112190,15.750100", \ "15.773320,15.923470,15.957040,16.068320,16.194940,16.277880,16.333480", \ "15.628130,15.694910,15.661000,15.785040,15.766610,15.764440,15.861480", \ "15.707860,15.697130,15.697990,15.745910,15.671520,15.547890,15.618630", \ "15.874820,16.075360,16.080350,16.368890,16.240710,16.129710,16.065040", \ "18.026940,17.889320,17.737090,17.576810,17.716120,17.416980,17.021150", \ "21.817980,21.551410,21.210300,20.752400,20.003550,19.853470,18.881260"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("2.819351,2.973563,3.145972,3.308671,3.557023,3.765609,3.931987", \ "2.583375,2.797984,2.956366,3.153522,3.362095,3.572426,3.756587", \ "2.979199,2.974644,2.948724,3.064133,3.248773,3.461107,3.637731", \ "4.190133,4.111974,4.062337,3.942694,3.766097,3.693626,3.765815", \ "6.127310,6.030076,5.915584,5.688268,5.317228,4.812561,4.380961", \ "9.015550,8.922449,8.774311,8.480228,7.877680,7.016550,5.959443", \ "12.793530,12.736660,12.613110,12.307710,11.626560,10.399520,8.714630"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("14.547550,14.676800,14.758670,14.831960,14.732220,14.594950,14.791770", \ "14.267160,14.402140,14.416890,14.575670,14.608040,14.575230,14.307250", \ "14.144170,14.166440,14.227430,14.279270,14.311930,14.547440,14.598420", \ "14.142350,14.139270,14.226370,14.155390,14.192170,14.063920,13.894340", \ "14.394800,14.551170,14.637100,14.759540,14.670260,14.248170,14.580170", \ "16.252050,16.175590,16.053110,16.094120,16.060270,15.725070,15.246910", \ "19.856400,19.570630,19.296510,18.853130,18.569110,18.051060,17.174770"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("3.068518,3.165413,3.288265,3.425719,3.596914,3.772957,3.944760", \ "2.852431,2.987309,3.081735,3.249022,3.407229,3.604139,3.765175", \ "3.009887,3.003058,3.059274,3.153547,3.299287,3.481397,3.655649", \ "4.244248,4.126534,4.048579,3.939568,3.758232,3.702446,3.779834", \ "6.272393,6.131715,5.972468,5.692814,5.316715,4.796325,4.381377", \ "9.267012,9.087322,8.875209,8.511930,7.899385,6.995766,5.953698", \ "13.175520,13.013300,12.854520,12.454090,11.683120,10.405770,8.696889"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("17.783060,17.861020,17.931960,18.010760,18.131400,18.229810,18.216540", \ "17.532840,17.572300,17.699610,17.754640,17.960750,18.008900,17.987510", \ "17.279300,17.300790,17.415390,17.535480,17.607840,17.822400,17.622180", \ "17.287900,17.375790,17.393020,17.372490,17.570870,17.652610,17.579040", \ "17.513930,17.817000,18.011060,17.932300,17.834870,17.881240,17.595820", \ "19.111780,19.150870,19.111080,19.217350,19.334110,18.666000,18.461100", \ "22.460880,22.279910,22.144630,21.876760,21.413360,20.954250,20.134730"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("1.038788,1.058921,1.098190,1.130033,1.154221,1.182624,1.189911", \ "0.906310,0.996497,1.049669,1.137429,1.218482,1.281011,1.327756", \ "1.090932,1.026195,1.044910,1.104044,1.197695,1.296781,1.385773", \ "2.225300,2.050005,1.893623,1.667174,1.450491,1.451911,1.479034", \ "4.116511,3.908156,3.678635,3.276576,2.720483,2.140362,1.909204", \ "6.789862,6.590467,6.359723,5.889951,5.029910,3.951193,2.967556", \ "10.237490,10.043620,9.885875,9.453241,8.487681,6.904653,5.095711"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("10.174920,10.281220,10.371350,10.471940,10.337410,10.613810,10.432900", \ "9.762406,9.838584,9.995334,10.123610,10.149190,10.286070,10.521050", \ "9.892551,9.870615,9.881767,9.981789,9.876417,10.023400,10.086190", \ "11.039120,10.914920,10.822330,10.746120,10.505290,10.251720,10.197880", \ "12.492880,12.451090,12.605870,12.559540,12.107040,11.483650,11.157620", \ "15.507600,15.333580,15.146110,14.989120,14.747150,13.683140,12.792160", \ "19.891670,19.584390,19.268610,18.728340,18.194050,16.872440,15.546760"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("1.079913,1.088141,1.101001,1.140625,1.160262,1.185809,1.188180", \ "0.897489,0.996798,1.053542,1.143114,1.225782,1.287502,1.327724", \ "0.743138,0.744628,0.819808,0.936583,1.090706,1.233135,1.347153", \ "1.403631,1.328065,1.262265,1.171098,1.062729,1.194202,1.321555", \ "2.657893,2.579745,2.445126,2.221164,1.895009,1.523085,1.493950", \ "4.632773,4.513940,4.373046,4.074842,3.535054,2.796328,2.117245", \ "7.171826,7.071396,6.922174,6.659290,6.016942,4.962474,3.667812"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.156660,6.313310,12.626600,25.253300,50.506500,101.013000"); values ("13.010700,13.122870,13.163160,13.261380,13.287500,13.073460,13.065670", \ "12.752790,12.794310,12.920530,13.037940,13.017210,13.262520,12.878820", \ "12.861700,12.872870,12.863620,12.966190,12.949820,13.126730,12.750610", \ "13.955230,13.879270,13.795250,13.706490,13.648420,13.110460,13.016250", \ "15.536610,15.654070,15.761230,15.507800,15.152780,14.442420,13.985060", \ "18.272540,18.277930,18.203820,18.095900,17.956230,17.127200,15.701480", \ "22.471420,22.395090,22.233220,21.861560,21.492130,20.523850,18.720770"); } } } } /****************************************************************************************** Module : AOI22_X1 Cell Description : Combinational cell (AOI22_X1) with drive strength X1 *******************************************************************************************/ cell (AOI22_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 32.611944; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 6.964859; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 28.281000; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 7.567341; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 32.661805; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 28.281110; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 49.595810; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 28.883471; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 47.227136; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 7.567341; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 28.883472; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 8.170009; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 47.223385; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 37.362501; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 51.981292; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 51.976683; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 59.163888; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.687512; fall_capacitance : 1.503838; rise_capacitance : 1.687512; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.689746; fall_capacitance : 1.433386; rise_capacitance : 1.689746; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.584010; fall_capacitance : 1.552975; rise_capacitance : 1.584010; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.623031; fall_capacitance : 1.520309; rise_capacitance : 1.623031; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 24.604800; function : "!((A1 & A2) | (B1 & B2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.007714,0.008577,0.010209,0.013439,0.019861,0.032661,0.058224", \ "0.008980,0.009856,0.011511,0.014778,0.021239,0.034070,0.059657", \ "0.012482,0.013733,0.015919,0.019739,0.026248,0.039045,0.064621", \ "0.014394,0.016181,0.019335,0.024897,0.034195,0.049000,0.074375", \ "0.014303,0.016624,0.020719,0.027953,0.040129,0.059729,0.090008", \ "0.011889,0.014774,0.019837,0.028743,0.043740,0.067970,0.105776", \ "0.007037,0.010426,0.016438,0.027018,0.044843,0.073652,0.118784"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.012502,0.014081,0.017041,0.022849,0.034311,0.057068,0.102436", \ "0.013553,0.015128,0.018110,0.023995,0.035570,0.058448,0.103911", \ "0.019469,0.021120,0.023906,0.029537,0.040936,0.063753,0.109265", \ "0.027191,0.029503,0.033580,0.040812,0.052926,0.075175,0.120274", \ "0.035983,0.038844,0.043921,0.053086,0.068807,0.094301,0.138586", \ "0.046155,0.049560,0.055548,0.066408,0.085266,0.116500,0.165620", \ "0.057834,0.061744,0.068655,0.081177,0.102899,0.139290,0.197649"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.004474,0.005198,0.006581,0.009350,0.014886,0.025952,0.048091", \ "0.004467,0.005196,0.006582,0.009351,0.014888,0.025953,0.048088", \ "0.007067,0.007645,0.008690,0.010571,0.015094,0.025956,0.048090", \ "0.011681,0.012461,0.013828,0.016315,0.020597,0.028319,0.048091", \ "0.017824,0.018801,0.020512,0.023589,0.028929,0.037837,0.053178", \ "0.025598,0.026780,0.028858,0.032552,0.038896,0.049538,0.066812", \ "0.035048,0.036476,0.038936,0.043319,0.050716,0.062983,0.083032"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.008864,0.010268,0.012917,0.018224,0.028799,0.049926,0.092163", \ "0.008833,0.010250,0.012910,0.018216,0.028789,0.049937,0.092150", \ "0.010371,0.011341,0.013416,0.018164,0.028800,0.049904,0.092150", \ "0.015504,0.016762,0.018988,0.022922,0.030577,0.049898,0.092170", \ "0.021263,0.022791,0.025564,0.030635,0.039283,0.054407,0.092154", \ "0.028359,0.030071,0.033210,0.039122,0.049582,0.066788,0.097765", \ "0.037096,0.038962,0.042396,0.048912,0.060770,0.080992,0.113008"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.007724,0.008588,0.010218,0.013450,0.019872,0.032669,0.058230", \ "0.009036,0.009913,0.011568,0.014833,0.021293,0.034125,0.059709", \ "0.012636,0.013877,0.016059,0.019862,0.026363,0.039158,0.064733", \ "0.014413,0.016225,0.019407,0.025000,0.034314,0.049115,0.074497", \ "0.013780,0.016169,0.020373,0.027747,0.040076,0.059776,0.090090", \ "0.010394,0.013392,0.018638,0.027832,0.043175,0.067730,0.105734", \ "0.004094,0.007645,0.013921,0.024925,0.043343,0.072784,0.118411"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.015939,0.018108,0.022171,0.030151,0.045881,0.077070,0.139255", \ "0.016629,0.018803,0.022909,0.030999,0.046897,0.078267,0.140592", \ "0.022380,0.024294,0.028088,0.035877,0.051575,0.082925,0.145352", \ "0.031501,0.034209,0.039013,0.047588,0.062725,0.093401,0.155359", \ "0.041858,0.045174,0.051106,0.061872,0.080468,0.111506,0.172380", \ "0.053987,0.057885,0.064801,0.077432,0.099596,0.136600,0.197874", \ "0.068093,0.072563,0.080457,0.094844,0.120138,0.163012,0.232445"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.004470,0.005199,0.006582,0.009351,0.014887,0.025954,0.048089", \ "0.004472,0.005195,0.006581,0.009351,0.014886,0.025956,0.048095", \ "0.006995,0.007578,0.008629,0.010524,0.015077,0.025958,0.048094", \ "0.011636,0.012411,0.013778,0.016260,0.020540,0.028281,0.048089", \ "0.017869,0.018859,0.020575,0.023653,0.028956,0.037818,0.053147", \ "0.025784,0.026984,0.029092,0.032814,0.039129,0.049665,0.066834", \ "0.035330,0.036809,0.039347,0.043799,0.051224,0.063399,0.083236"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.012440,0.014362,0.017980,0.025172,0.039472,0.067995,0.125002", \ "0.012348,0.014293,0.017956,0.025165,0.039466,0.068009,0.124994", \ "0.012817,0.014434,0.017743,0.025054,0.039481,0.067998,0.124988", \ "0.017913,0.019516,0.022411,0.027584,0.039768,0.067998,0.124983", \ "0.023676,0.025565,0.029020,0.035375,0.046344,0.069419,0.124976", \ "0.030681,0.032772,0.036628,0.043935,0.056951,0.078798,0.126319", \ "0.039270,0.041523,0.045669,0.053649,0.068277,0.093422,0.136321"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.007907,0.008772,0.010404,0.013641,0.020073,0.032895,0.058501", \ "0.009222,0.010098,0.011755,0.015026,0.021497,0.034351,0.059979", \ "0.012919,0.014148,0.016302,0.020075,0.026565,0.039384,0.065003", \ "0.014870,0.016656,0.019797,0.025340,0.034608,0.049355,0.074767", \ "0.014461,0.016810,0.020956,0.028254,0.040501,0.060129,0.090388", \ "0.011381,0.014316,0.019464,0.028541,0.043781,0.068232,0.106156", \ "0.005501,0.008953,0.015089,0.025920,0.044178,0.073469,0.118987"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.021359,0.023517,0.027577,0.035573,0.051349,0.082631,0.144924", \ "0.022160,0.024333,0.028433,0.036514,0.052421,0.083850,0.146263", \ "0.027361,0.029428,0.033380,0.041297,0.057088,0.088513,0.151031", \ "0.038151,0.040589,0.044960,0.052866,0.068050,0.098906,0.161015", \ "0.050067,0.053116,0.058597,0.068663,0.086331,0.116860,0.177952", \ "0.063590,0.067146,0.073595,0.085491,0.106659,0.142518,0.203318", \ "0.079056,0.083098,0.090469,0.104019,0.128272,0.169917,0.238053"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.005665,0.006494,0.008041,0.011009,0.016682,0.027789,0.049927", \ "0.005663,0.006494,0.008041,0.011010,0.016682,0.027788,0.049925", \ "0.008702,0.009238,0.010216,0.012146,0.016861,0.027789,0.049922", \ "0.014802,0.015373,0.016467,0.018577,0.022500,0.030083,0.049929", \ "0.022587,0.023241,0.024479,0.026944,0.031610,0.039898,0.054944", \ "0.032213,0.032937,0.034355,0.037200,0.042594,0.052313,0.068844", \ "0.043605,0.044452,0.046108,0.049392,0.055590,0.066673,0.085664"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.015297,0.017213,0.020852,0.028086,0.042473,0.071132,0.128289", \ "0.015265,0.017200,0.020845,0.028082,0.042472,0.071126,0.128291", \ "0.015038,0.016903,0.020697,0.028044,0.042464,0.071123,0.128298", \ "0.019449,0.021027,0.023651,0.029404,0.042435,0.071110,0.128286", \ "0.025359,0.027265,0.030696,0.036958,0.047895,0.071992,0.128252", \ "0.032214,0.034428,0.038392,0.045739,0.058660,0.080515,0.129173", \ "0.040470,0.042891,0.047284,0.055486,0.070184,0.095171,0.138463"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.009051,0.009908,0.011532,0.014756,0.021170,0.033964,0.059524", \ "0.010342,0.011224,0.012883,0.016152,0.022610,0.035439,0.061025", \ "0.013328,0.014407,0.016351,0.019966,0.026593,0.039508,0.065163", \ "0.015634,0.017176,0.019882,0.024647,0.032799,0.046946,0.072849", \ "0.015934,0.018044,0.021710,0.028099,0.038701,0.055809,0.084392", \ "0.013894,0.016595,0.021278,0.029398,0.042809,0.064006,0.097267", \ "0.009314,0.012619,0.018320,0.028218,0.044557,0.070265,0.109679"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.015931,0.017473,0.020388,0.026162,0.037614,0.060397,0.105835", \ "0.017065,0.018624,0.021568,0.027390,0.038903,0.061743,0.107233", \ "0.023088,0.024562,0.027392,0.033078,0.044467,0.067240,0.112708", \ "0.032747,0.034791,0.038451,0.045080,0.056538,0.078812,0.123878", \ "0.043297,0.045856,0.050485,0.058942,0.073714,0.098157,0.142341", \ "0.055378,0.058407,0.063884,0.073921,0.091682,0.121627,0.169527", \ "0.069334,0.072821,0.079110,0.090592,0.110999,0.145860,0.202621"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.004469,0.005196,0.006581,0.009352,0.014886,0.025955,0.048091", \ "0.004471,0.005198,0.006582,0.009350,0.014887,0.025953,0.048096", \ "0.005682,0.006308,0.007518,0.009905,0.015006,0.025956,0.048090", \ "0.008913,0.009546,0.010716,0.012988,0.017571,0.027102,0.048120", \ "0.013646,0.014379,0.015715,0.018199,0.022784,0.031761,0.050554", \ "0.019554,0.020436,0.022020,0.024921,0.030039,0.039186,0.057147", \ "0.026528,0.027548,0.029441,0.032876,0.038803,0.048831,0.066831"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010918,0.012328,0.015000,0.020339,0.030975,0.052185,0.094579", \ "0.010917,0.012322,0.015002,0.020332,0.030970,0.052191,0.094575", \ "0.011480,0.012669,0.015059,0.020311,0.030964,0.052198,0.094563", \ "0.016492,0.017723,0.019904,0.023748,0.032129,0.052189,0.094562", \ "0.022045,0.023655,0.026496,0.031578,0.040175,0.055859,0.094531", \ "0.028184,0.030120,0.033567,0.039794,0.050449,0.067674,0.099445", \ "0.035267,0.037498,0.041455,0.048655,0.061188,0.081763,0.113904"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.009062,0.009920,0.011542,0.014766,0.021181,0.033973,0.059532", \ "0.010398,0.011281,0.012939,0.016207,0.022664,0.035494,0.061077", \ "0.013464,0.014542,0.016483,0.020094,0.026712,0.039625,0.065276", \ "0.015770,0.017316,0.020027,0.024803,0.032951,0.047091,0.072987", \ "0.015819,0.017959,0.021671,0.028124,0.038790,0.055933,0.084527", \ "0.013133,0.015909,0.020707,0.029002,0.042613,0.063987,0.097340", \ "0.007478,0.010901,0.016804,0.027012,0.043744,0.069857,0.109570"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.020736,0.022847,0.026840,0.034749,0.050436,0.081658,0.143898", \ "0.021566,0.023703,0.027742,0.035724,0.051503,0.082807,0.145109", \ "0.027065,0.029100,0.033001,0.040830,0.056481,0.087725,0.150049", \ "0.038063,0.040476,0.044809,0.052683,0.067759,0.098433,0.160316", \ "0.050366,0.053354,0.058782,0.068756,0.086293,0.116680,0.177538", \ "0.064445,0.067964,0.074324,0.086083,0.107045,0.142638,0.203192", \ "0.080801,0.084789,0.092035,0.105382,0.129325,0.170557,0.238263"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.004472,0.005196,0.006583,0.009350,0.014886,0.025955,0.048096", \ "0.004470,0.005197,0.006583,0.009351,0.014889,0.025956,0.048092", \ "0.005648,0.006279,0.007491,0.009882,0.014998,0.025957,0.048092", \ "0.008842,0.009482,0.010650,0.012929,0.017532,0.027082,0.048118", \ "0.013559,0.014307,0.015660,0.018155,0.022731,0.031721,0.050533", \ "0.019507,0.020402,0.022011,0.024933,0.030061,0.039183,0.057131", \ "0.026544,0.027592,0.029525,0.032998,0.038945,0.048943,0.066875"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.015285,0.017209,0.020852,0.028086,0.042476,0.071123,0.128293", \ "0.015259,0.017192,0.020843,0.028083,0.042462,0.071118,0.128278", \ "0.015091,0.016935,0.020680,0.028041,0.042464,0.071139,0.128283", \ "0.019424,0.021012,0.023696,0.029474,0.042462,0.071131,0.128281", \ "0.025104,0.027061,0.030535,0.036851,0.047890,0.072013,0.128264", \ "0.031344,0.033660,0.037790,0.045322,0.058413,0.080453,0.129185", \ "0.038433,0.041046,0.045738,0.054359,0.069508,0.094804,0.138374"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.009244,0.010103,0.011728,0.014957,0.021383,0.034199,0.059803", \ "0.010584,0.011468,0.013127,0.016399,0.022867,0.035718,0.061347", \ "0.013698,0.014768,0.016699,0.020301,0.026917,0.039852,0.065547", \ "0.016130,0.017656,0.020335,0.025075,0.033197,0.047331,0.073259", \ "0.016357,0.018463,0.022129,0.028519,0.039123,0.056222,0.084817", \ "0.013888,0.016618,0.021342,0.029550,0.043070,0.064361,0.097681", \ "0.008534,0.011886,0.017691,0.027764,0.044369,0.070361,0.109994"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.026107,0.028226,0.032235,0.040178,0.055922,0.087214,0.149592", \ "0.027023,0.029161,0.033202,0.041196,0.057010,0.088373,0.150813", \ "0.032295,0.034379,0.038347,0.046246,0.061972,0.093292,0.155727", \ "0.044032,0.046251,0.050285,0.057823,0.073102,0.103930,0.165958", \ "0.057853,0.060637,0.065712,0.075117,0.091893,0.122037,0.183102", \ "0.073266,0.076563,0.082523,0.093677,0.113796,0.148356,0.208637", \ "0.090773,0.094474,0.101324,0.114038,0.137097,0.177237,0.243764"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.005666,0.006494,0.008042,0.011009,0.016682,0.027789,0.049926", \ "0.005666,0.006494,0.008041,0.011009,0.016681,0.027788,0.049928", \ "0.007090,0.007762,0.009038,0.011526,0.016787,0.027788,0.049930", \ "0.011125,0.011657,0.012707,0.014879,0.019408,0.028902,0.049950", \ "0.016979,0.017514,0.018552,0.020665,0.024907,0.033661,0.052355", \ "0.024189,0.024772,0.025920,0.028239,0.032746,0.041377,0.059044", \ "0.032601,0.033230,0.034544,0.037212,0.042276,0.051539,0.068968"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.018103,0.020040,0.023710,0.031002,0.045465,0.074271,0.131643", \ "0.018090,0.020030,0.023704,0.030999,0.045464,0.074266,0.131651", \ "0.017934,0.019922,0.023651,0.030981,0.045473,0.074250,0.131613", \ "0.020942,0.022416,0.025369,0.031624,0.045348,0.074236,0.131601", \ "0.027094,0.028970,0.032335,0.038507,0.049672,0.074717,0.131557", \ "0.033687,0.035929,0.039944,0.047304,0.060161,0.082283,0.132140", \ "0.040935,0.043512,0.048123,0.056621,0.071574,0.096584,0.140624"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.012742,0.013704,0.015501,0.018988,0.025743,0.038902,0.064800", \ "0.013986,0.014952,0.016752,0.020246,0.027011,0.040178,0.066079", \ "0.019040,0.020036,0.021820,0.025222,0.031938,0.045079,0.070963", \ "0.024581,0.026001,0.028571,0.033259,0.041420,0.055022,0.080686", \ "0.027997,0.029833,0.033197,0.039313,0.050042,0.067925,0.096512", \ "0.029261,0.031501,0.035596,0.043103,0.056295,0.078466,0.114126", \ "0.028215,0.030872,0.035692,0.044534,0.060141,0.086473,0.129057"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.021839,0.023505,0.026638,0.032808,0.045005,0.069244,0.117586", \ "0.023218,0.024915,0.028099,0.034361,0.046681,0.071032,0.119452", \ "0.029099,0.030764,0.033908,0.040139,0.052491,0.076967,0.125547", \ "0.037885,0.039994,0.043774,0.050703,0.063142,0.087546,0.136149", \ "0.046428,0.049157,0.054035,0.062841,0.078217,0.104324,0.152818", \ "0.056103,0.059421,0.065349,0.075972,0.094435,0.125437,0.176868", \ "0.067994,0.071832,0.078706,0.091038,0.112408,0.148172,0.206885"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.008100,0.008845,0.010244,0.013038,0.018598,0.029703,0.051906", \ "0.008086,0.008832,0.010241,0.013035,0.018597,0.029703,0.051902", \ "0.008964,0.009526,0.010671,0.013147,0.018576,0.029703,0.051904", \ "0.013740,0.014472,0.015774,0.018129,0.022307,0.030855,0.051909", \ "0.019758,0.020731,0.022418,0.025477,0.030737,0.039515,0.055544", \ "0.026981,0.028189,0.030308,0.034096,0.040600,0.051276,0.068477", \ "0.035390,0.036890,0.039492,0.044101,0.051886,0.064574,0.084750"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010916,0.012354,0.015108,0.020591,0.031532,0.053319,0.096840", \ "0.010924,0.012361,0.015112,0.020591,0.031542,0.053334,0.096841", \ "0.011045,0.012445,0.015142,0.020605,0.031550,0.053334,0.096819", \ "0.014728,0.015893,0.018029,0.022288,0.031921,0.053327,0.096818", \ "0.020644,0.021917,0.024305,0.028891,0.037520,0.055177,0.096830", \ "0.028013,0.029338,0.031875,0.036848,0.046261,0.063661,0.099374", \ "0.036518,0.037881,0.040515,0.045833,0.056018,0.074916,0.109153"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010598,0.011619,0.013504,0.017114,0.024013,0.037302,0.063290", \ "0.011857,0.012869,0.014747,0.018353,0.025248,0.038541,0.064530", \ "0.016908,0.017996,0.019934,0.023414,0.030173,0.043407,0.069372", \ "0.021570,0.023118,0.025900,0.030885,0.039428,0.053378,0.079080", \ "0.024015,0.026012,0.029625,0.036139,0.047371,0.065802,0.094879", \ "0.024116,0.026558,0.030981,0.038978,0.052806,0.075705,0.112016", \ "0.021798,0.024670,0.029869,0.039281,0.055658,0.082900,0.126365"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.024984,0.027120,0.031129,0.039039,0.054702,0.085860,0.148018", \ "0.026231,0.028403,0.032480,0.040509,0.056321,0.087624,0.149889", \ "0.031835,0.033964,0.037981,0.045967,0.061824,0.093273,0.155750", \ "0.040165,0.042644,0.047130,0.055459,0.071203,0.102551,0.165044", \ "0.048487,0.051507,0.056925,0.066913,0.084864,0.116835,0.179142", \ "0.058242,0.061808,0.068199,0.079776,0.100334,0.136266,0.199602", \ "0.070381,0.074492,0.081829,0.095022,0.118137,0.158082,0.226932"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.007595,0.008347,0.009757,0.012545,0.018065,0.029093,0.051218", \ "0.007475,0.008248,0.009691,0.012506,0.018049,0.029093,0.051216", \ "0.008872,0.009400,0.010414,0.012716,0.017951,0.029079,0.051218", \ "0.013751,0.014475,0.015755,0.018077,0.022198,0.030444,0.051216", \ "0.019945,0.020905,0.022557,0.025542,0.030713,0.039390,0.055154", \ "0.027446,0.028644,0.030708,0.034404,0.040750,0.051267,0.068322", \ "0.036240,0.037722,0.040257,0.044761,0.052347,0.064781,0.084717"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.012591,0.014460,0.018030,0.025186,0.039467,0.068005,0.124990", \ "0.012602,0.014471,0.018035,0.025180,0.039474,0.067997,0.124986", \ "0.012701,0.014531,0.018065,0.025184,0.039486,0.068014,0.124989", \ "0.015600,0.017199,0.020091,0.026204,0.039581,0.067991,0.124990", \ "0.020447,0.022150,0.025333,0.031571,0.043461,0.068818,0.124978", \ "0.026903,0.028635,0.031886,0.038358,0.050926,0.074812,0.125966", \ "0.034789,0.036528,0.039818,0.046444,0.059442,0.084499,0.132393"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.010835,0.011851,0.013732,0.017340,0.024241,0.037547,0.063577", \ "0.012092,0.013101,0.014974,0.018577,0.025476,0.038787,0.064818", \ "0.017169,0.018247,0.020165,0.023629,0.030399,0.043653,0.069658", \ "0.021987,0.023519,0.026273,0.031223,0.039713,0.053623,0.079366", \ "0.024630,0.026607,0.030173,0.036633,0.047794,0.066165,0.095173", \ "0.024996,0.027399,0.031757,0.039670,0.053408,0.076208,0.112438", \ "0.023034,0.025844,0.030945,0.040244,0.056484,0.083592,0.126928"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.030337,0.032469,0.036492,0.044440,0.060170,0.091420,0.153675", \ "0.031721,0.033880,0.037951,0.045983,0.061829,0.093198,0.155552", \ "0.037245,0.039384,0.043432,0.051462,0.067366,0.098874,0.161426", \ "0.046375,0.048693,0.052917,0.060925,0.076709,0.108134,0.170733", \ "0.056058,0.058839,0.063909,0.073397,0.090780,0.122393,0.184806", \ "0.067137,0.070389,0.076338,0.087270,0.107073,0.142258,0.205216", \ "0.080549,0.084276,0.091045,0.103483,0.125663,0.164683,0.232733"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.009639,0.010369,0.011758,0.014520,0.020008,0.030988,0.053073", \ "0.009512,0.010268,0.011689,0.014485,0.019993,0.030987,0.053073", \ "0.010784,0.011283,0.012329,0.014664,0.019894,0.030976,0.053075", \ "0.016736,0.017296,0.018337,0.020348,0.024136,0.032317,0.053073", \ "0.024161,0.024866,0.026164,0.028662,0.033270,0.041418,0.056979", \ "0.032966,0.033844,0.035421,0.038459,0.044045,0.053815,0.070275", \ "0.043170,0.044232,0.046166,0.049838,0.056437,0.067925,0.087073"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.015339,0.017241,0.020867,0.028088,0.042471,0.071135,0.128284", \ "0.015345,0.017247,0.020869,0.028091,0.042474,0.071146,0.128287", \ "0.015372,0.017268,0.020877,0.028088,0.042465,0.071117,0.128295", \ "0.017368,0.018967,0.022082,0.028604,0.042505,0.071130,0.128284", \ "0.022110,0.023879,0.027169,0.033520,0.045565,0.071637,0.128268", \ "0.028183,0.030041,0.033487,0.040169,0.052934,0.077008,0.128988", \ "0.035619,0.037560,0.041108,0.048071,0.061385,0.086655,0.134945"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.014036,0.015001,0.016795,0.020283,0.027036,0.040198,0.066095", \ "0.015351,0.016317,0.018118,0.021610,0.028371,0.041538,0.067442", \ "0.019177,0.020189,0.022047,0.025561,0.032361,0.045572,0.071513", \ "0.024131,0.025373,0.027628,0.031812,0.039412,0.053172,0.079223", \ "0.027871,0.029491,0.032426,0.037761,0.047106,0.063114,0.091018", \ "0.029499,0.031531,0.035243,0.041943,0.053557,0.072946,0.104787", \ "0.028802,0.031260,0.035754,0.043872,0.057930,0.081211,0.118533"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.025389,0.027028,0.030128,0.036280,0.048480,0.072752,0.121144", \ "0.026985,0.028639,0.031767,0.037950,0.050193,0.074501,0.122921", \ "0.033096,0.034746,0.037868,0.044059,0.056330,0.080695,0.129175", \ "0.042947,0.044877,0.048393,0.054901,0.067168,0.091508,0.140014", \ "0.053090,0.055569,0.060041,0.068279,0.082952,0.108409,0.156838", \ "0.064491,0.067474,0.072909,0.082786,0.100303,0.130319,0.180999", \ "0.078482,0.081897,0.088125,0.099532,0.119673,0.154117,0.211634"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.008098,0.008838,0.010242,0.013036,0.018596,0.029704,0.051903", \ "0.008090,0.008834,0.010239,0.013032,0.018597,0.029704,0.051902", \ "0.008475,0.009154,0.010477,0.013142,0.018600,0.029704,0.051902", \ "0.010937,0.011613,0.012881,0.015366,0.020291,0.030339,0.051917", \ "0.015277,0.016038,0.017411,0.019984,0.024836,0.034303,0.053724", \ "0.020775,0.021701,0.023332,0.026325,0.031625,0.041194,0.059765", \ "0.027169,0.028312,0.030272,0.033823,0.039974,0.050376,0.069040"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.013035,0.014501,0.017272,0.022804,0.033799,0.055694,0.099302", \ "0.013037,0.014504,0.017274,0.022809,0.033805,0.055681,0.099311", \ "0.013084,0.014521,0.017287,0.022809,0.033798,0.055699,0.099309", \ "0.015899,0.017072,0.019254,0.023882,0.033953,0.055684,0.099297", \ "0.021616,0.022953,0.025410,0.030088,0.038785,0.057102,0.099288", \ "0.028330,0.029830,0.032582,0.037821,0.047469,0.064992,0.101462", \ "0.035574,0.037243,0.040313,0.046161,0.056909,0.076160,0.110674"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.011907,0.012924,0.014806,0.018412,0.025306,0.038596,0.064585", \ "0.013207,0.014224,0.016103,0.019709,0.026604,0.039895,0.065890", \ "0.017008,0.018071,0.020014,0.023656,0.030566,0.043894,0.069921", \ "0.021483,0.022835,0.025252,0.029653,0.037486,0.051464,0.077612", \ "0.024316,0.026102,0.029298,0.035001,0.044786,0.061169,0.089341", \ "0.024819,0.027077,0.031120,0.038312,0.050560,0.070574,0.102883", \ "0.022803,0.025536,0.030449,0.039169,0.054042,0.078185,0.116218"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.029612,0.031708,0.035678,0.043561,0.059220,0.090413,0.152645", \ "0.031134,0.033250,0.037252,0.045178,0.060892,0.092138,0.154399", \ "0.037014,0.039125,0.043123,0.051055,0.066806,0.098112,0.160467", \ "0.046213,0.048525,0.052748,0.060725,0.076418,0.107688,0.170063", \ "0.055899,0.058686,0.063747,0.073203,0.090541,0.122096,0.184339", \ "0.067250,0.070488,0.076417,0.087281,0.106988,0.142062,0.204918", \ "0.081409,0.085082,0.091778,0.104058,0.126044,0.164825,0.232641"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.007541,0.008300,0.009719,0.012517,0.018054,0.029092,0.051218", \ "0.007487,0.008257,0.009689,0.012500,0.018045,0.029089,0.051218", \ "0.008008,0.008693,0.009985,0.012628,0.018038,0.029092,0.051218", \ "0.010755,0.011409,0.012626,0.015048,0.019875,0.029799,0.051232", \ "0.015298,0.016040,0.017373,0.019886,0.024621,0.033908,0.053158", \ "0.020989,0.021885,0.023483,0.026400,0.031588,0.040975,0.059318", \ "0.027645,0.028730,0.030646,0.034122,0.040118,0.050334,0.068714"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.015341,0.017246,0.020867,0.028086,0.042479,0.071115,0.128295", \ "0.015344,0.017250,0.020867,0.028088,0.042469,0.071145,0.128284", \ "0.015381,0.017273,0.020877,0.028089,0.042462,0.071128,0.128291", \ "0.017403,0.019011,0.022124,0.028642,0.042500,0.071109,0.128297", \ "0.022083,0.023860,0.027167,0.033515,0.045622,0.071667,0.128260", \ "0.027830,0.029748,0.033271,0.040057,0.052896,0.077055,0.128998", \ "0.034382,0.036442,0.040240,0.047453,0.061087,0.086548,0.134970"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.012143,0.013158,0.015034,0.018637,0.025534,0.038841,0.064873", \ "0.013444,0.014457,0.016331,0.019934,0.026833,0.040141,0.066177", \ "0.017256,0.018314,0.020248,0.023878,0.030793,0.044139,0.070204", \ "0.021818,0.023155,0.025550,0.029924,0.037735,0.051711,0.077899", \ "0.024802,0.026565,0.029721,0.035381,0.045112,0.061461,0.089641", \ "0.025502,0.027715,0.031706,0.038834,0.051016,0.070952,0.103226", \ "0.023723,0.026407,0.031242,0.039873,0.054640,0.078698,0.116636"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.034957,0.037065,0.041060,0.048980,0.064702,0.095971,0.158339", \ "0.036528,0.038651,0.042666,0.050620,0.066383,0.097698,0.160073", \ "0.042410,0.044531,0.048547,0.056514,0.072315,0.103684,0.166159", \ "0.052063,0.054231,0.058240,0.066171,0.081920,0.113262,0.175752", \ "0.062973,0.065586,0.070384,0.079458,0.096325,0.127666,0.190014", \ "0.075467,0.078487,0.084044,0.094438,0.113527,0.147969,0.210537", \ "0.090654,0.094054,0.100330,0.112034,0.133279,0.171305,0.238397"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.009580,0.010318,0.011717,0.014495,0.019995,0.030986,0.053071", \ "0.009528,0.010275,0.011688,0.014478,0.019987,0.030983,0.053071", \ "0.010016,0.010663,0.011953,0.014597,0.019980,0.030987,0.053070", \ "0.013158,0.013731,0.014852,0.017146,0.021836,0.031690,0.053094", \ "0.018471,0.019050,0.020153,0.022361,0.026808,0.035870,0.055011", \ "0.025116,0.025798,0.027049,0.029510,0.034179,0.043138,0.061238", \ "0.032828,0.033629,0.035115,0.037979,0.043277,0.052854,0.070792"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.018118,0.020051,0.023716,0.030998,0.045470,0.074263,0.131626", \ "0.018120,0.020052,0.023717,0.030998,0.045465,0.074252,0.131622", \ "0.018129,0.020052,0.023717,0.030998,0.045463,0.074246,0.131621", \ "0.019352,0.021068,0.024391,0.031212,0.045485,0.074256,0.131639", \ "0.024035,0.025826,0.029145,0.035539,0.047885,0.074539,0.131560", \ "0.029711,0.031642,0.035220,0.042071,0.054981,0.079353,0.132069", \ "0.036205,0.038297,0.042134,0.049452,0.063195,0.088772,0.137596"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.244009,0.252661,0.263603,0.269644,0.276762,0.285602,0.286879", \ "0.204779,0.215744,0.234528,0.256530,0.278563,0.293818,0.304282", \ "0.220235,0.212467,0.211764,0.229594,0.254962,0.282185,0.303647", \ "0.529908,0.501218,0.458374,0.395362,0.329487,0.324302,0.324771", \ "1.081727,1.039952,0.973526,0.855459,0.690913,0.524385,0.451406", \ "1.848917,1.815491,1.745127,1.605565,1.358041,1.041502,0.760011", \ "2.843254,2.818971,2.758294,2.617253,2.337892,1.880040,1.369085"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("2.542668,2.572636,2.599995,2.625900,2.633306,2.612913,2.612790", \ "2.463552,2.459400,2.496539,2.517432,2.534332,2.608428,2.653913", \ "2.478327,2.497734,2.475408,2.519287,2.533980,2.568508,2.478810", \ "2.738249,2.794363,2.773751,2.705439,2.634367,2.604588,2.488977", \ "3.202973,3.200943,3.178879,3.175072,3.091744,2.915974,2.718117", \ "4.045445,4.032786,3.954163,3.886269,3.730258,3.645593,3.340406", \ "5.288560,5.228195,5.159574,5.009777,4.727413,4.578986,4.061610"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.261727,0.263902,0.268859,0.271245,0.279769,0.289167,0.291851", \ "0.232132,0.240285,0.256788,0.275539,0.291759,0.312828,0.319857", \ "0.261212,0.254471,0.260972,0.274158,0.292998,0.320079,0.341207", \ "0.532795,0.516992,0.477645,0.423846,0.366087,0.362075,0.368016", \ "1.005922,0.984849,0.926732,0.825120,0.691436,0.541315,0.479283", \ "1.689027,1.662769,1.603541,1.490854,1.279355,1.004981,0.752120", \ "2.534615,2.522604,2.477349,2.382437,2.153400,1.755839,1.300767"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("2.527842,2.547373,2.562885,2.584919,2.577289,2.603167,2.594744", \ "2.424282,2.445716,2.472145,2.512032,2.524415,2.554183,2.558203", \ "2.446640,2.455206,2.459354,2.449835,2.502589,2.486486,2.503037", \ "2.728731,2.725160,2.705001,2.670727,2.553266,2.548191,2.531526", \ "3.115472,3.119249,3.136830,3.099862,3.029952,2.860858,2.776603", \ "3.839093,3.824941,3.785316,3.727104,3.696693,3.369127,3.192650", \ "4.935985,4.896863,4.826885,4.672598,4.497270,4.244984,3.890886"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.252914,0.256465,0.268688,0.272844,0.283151,0.285394,0.292025", \ "0.228026,0.239486,0.253464,0.275830,0.296201,0.310088,0.322713", \ "0.244696,0.250627,0.255926,0.272484,0.296596,0.321828,0.342149", \ "0.515079,0.492270,0.458119,0.403461,0.364059,0.364052,0.368684", \ "1.009988,0.970226,0.915872,0.813654,0.678752,0.538081,0.480710", \ "1.726418,1.683103,1.619229,1.484971,1.269579,0.997677,0.752703", \ "2.642875,2.607686,2.533183,2.399565,2.147457,1.745722,1.294934"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.336105,3.338277,3.352414,3.395827,3.336023,3.340606,3.376450", \ "3.243025,3.251131,3.297446,3.326736,3.317780,3.292733,3.469759", \ "3.226229,3.238972,3.247746,3.282992,3.324146,3.367632,3.415890", \ "3.474171,3.475468,3.465802,3.426179,3.385971,3.280328,3.442862", \ "3.846899,3.868681,3.913833,3.873021,3.807855,3.715569,3.516925", \ "4.521301,4.523312,4.512731,4.501925,4.397404,4.247626,3.924017", \ "5.571874,5.544086,5.498997,5.405468,5.298893,5.076751,4.664284"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.256519,0.254006,0.269107,0.276021,0.277595,0.284625,0.287280", \ "0.203035,0.214970,0.234084,0.254537,0.275169,0.294083,0.306640", \ "0.140879,0.144396,0.157594,0.191010,0.227930,0.268048,0.294910", \ "0.324794,0.308673,0.288430,0.257298,0.225060,0.251653,0.284679", \ "0.679903,0.658857,0.627905,0.556245,0.458021,0.354107,0.332763", \ "1.245135,1.234595,1.185078,1.075441,0.917579,0.712478,0.514713", \ "2.003226,1.981492,1.932102,1.838170,1.640893,1.322920,0.955038"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.255151,3.276123,3.280285,3.262774,3.328404,3.281152,3.310132", \ "3.189072,3.179908,3.206954,3.246869,3.267020,3.234929,3.246708", \ "3.205070,3.232379,3.232154,3.198564,3.265096,3.281311,3.272917", \ "3.531156,3.498393,3.497286,3.460662,3.434914,3.342871,3.249906", \ "3.945156,3.926446,3.955986,3.950271,3.871039,3.699032,3.567582", \ "4.737701,4.742309,4.725763,4.679293,4.593825,4.440123,4.114990", \ "5.936031,5.915512,5.875699,5.763768,5.570756,5.380206,4.866125"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.268744,0.267604,0.269415,0.274930,0.284089,0.289222,0.291946", \ "0.229071,0.238195,0.254449,0.275380,0.297427,0.311655,0.322194", \ "0.185330,0.184870,0.202341,0.227133,0.264958,0.304044,0.331069", \ "0.346043,0.332821,0.318189,0.294996,0.264201,0.297178,0.327584", \ "0.658969,0.638018,0.606052,0.560453,0.478475,0.386743,0.371977", \ "1.149086,1.125461,1.087834,1.015714,0.893705,0.711029,0.535179", \ "1.765891,1.774338,1.737238,1.668909,1.525747,1.260246,0.933026"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.246966,3.240032,3.250335,3.290835,3.250947,3.263096,3.241189", \ "3.167209,3.183443,3.196865,3.223585,3.199895,3.221927,3.312196", \ "3.190886,3.200706,3.205096,3.195324,3.205023,3.230953,3.293149", \ "3.463036,3.462988,3.450257,3.406505,3.340622,3.319272,3.251157", \ "3.885882,3.877972,3.927367,3.863815,3.787757,3.597125,3.473469", \ "4.573556,4.554465,4.546996,4.537770,4.445548,4.288358,3.908945", \ "5.611179,5.588694,5.550021,5.482365,5.357457,5.120543,4.634416"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("0.265289,0.267191,0.269888,0.277062,0.280900,0.290994,0.293359", \ "0.224762,0.239970,0.255411,0.274769,0.297466,0.311789,0.323462", \ "0.167909,0.181106,0.200264,0.228287,0.268881,0.305665,0.332086", \ "0.322717,0.310938,0.298715,0.276399,0.262520,0.294537,0.328653", \ "0.664561,0.644970,0.600919,0.548392,0.467365,0.380589,0.373143", \ "1.172093,1.158905,1.106323,1.027136,0.884964,0.701672,0.532417", \ "1.853219,1.835991,1.779108,1.701684,1.526595,1.250946,0.928893"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("4.036772,4.051041,4.065335,4.075997,4.116504,4.145758,4.155940", \ "3.960114,3.976411,3.989565,4.023707,4.071738,4.092753,4.108476", \ "3.969965,3.982502,3.999430,4.019722,4.054496,4.051549,4.143722", \ "4.215477,4.220177,4.216355,4.185537,4.191501,4.129731,4.199246", \ "4.645708,4.679239,4.669990,4.642415,4.570173,4.484090,4.378270", \ "5.291748,5.284899,5.296233,5.299301,5.175386,4.977482,4.818938", \ "6.304076,6.290936,6.272356,6.222244,6.126113,5.814194,5.558911"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.172009,1.182852,1.201227,1.234085,1.265732,1.308471,1.349460", \ "1.129080,1.145422,1.162598,1.192313,1.235252,1.279466,1.317318", \ "1.076807,1.101986,1.126541,1.156974,1.201519,1.247838,1.291226", \ "1.282691,1.280037,1.255545,1.241272,1.258892,1.286151,1.313391", \ "1.733151,1.717324,1.691074,1.631013,1.550121,1.468034,1.433827", \ "2.440230,2.415370,2.379472,2.293593,2.139494,1.939952,1.736984", \ "3.392629,3.383132,3.342296,3.248592,3.042452,2.728728,2.323104"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("4.194982,4.182687,4.196350,4.210275,4.214688,4.232713,4.133349", \ "4.112751,4.104922,4.126325,4.170849,4.196144,4.107367,4.070006", \ "4.006925,4.027337,4.053832,4.064786,4.071470,4.176435,4.164499", \ "4.005292,4.023538,4.000821,4.022051,4.045993,4.093270,4.154029", \ "4.026662,4.041897,4.104382,4.122399,4.056318,4.113857,4.164166", \ "4.434383,4.422816,4.406097,4.399689,4.436508,4.297853,4.254546", \ "5.236790,5.201479,5.133596,5.021511,4.956287,4.850999,4.670773"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.003031,1.030950,1.061244,1.097628,1.150208,1.203582,1.257123", \ "0.957622,0.980689,1.004710,1.057792,1.108469,1.161957,1.213367", \ "0.924822,0.932290,0.969496,1.010723,1.060927,1.119118,1.172041", \ "1.138626,1.127105,1.123317,1.106156,1.115420,1.151465,1.184944", \ "1.598772,1.584080,1.550153,1.499973,1.410845,1.328409,1.300417", \ "2.288300,2.253717,2.218807,2.127662,1.978722,1.784882,1.585307", \ "3.202386,3.195306,3.139580,3.054990,2.862039,2.542022,2.142094"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("3.824000,3.839534,3.850232,3.847983,3.892129,3.847917,3.901091", \ "3.717188,3.746730,3.781316,3.806692,3.853561,3.852801,3.852890", \ "3.648405,3.637535,3.676761,3.707090,3.719522,3.760512,3.753048", \ "3.623745,3.636506,3.643973,3.657813,3.695643,3.694543,3.717665", \ "3.647411,3.671762,3.740520,3.772704,3.753012,3.697843,3.691781", \ "3.984995,3.990989,3.986841,3.983926,3.999436,3.927168,3.808825", \ "4.773941,4.724411,4.674794,4.584672,4.524469,4.407586,4.222724"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.047408,1.073899,1.096297,1.126485,1.162260,1.212526,1.251626", \ "1.006361,1.023618,1.041989,1.082901,1.125076,1.170440,1.210558", \ "0.956680,0.986148,1.003724,1.029766,1.077287,1.131763,1.173981", \ "1.153224,1.151545,1.132557,1.108221,1.131637,1.157557,1.193297", \ "1.608733,1.592540,1.556407,1.499285,1.409940,1.334198,1.306983", \ "2.317651,2.293888,2.234633,2.141651,1.980232,1.782405,1.578252", \ "3.270101,3.243817,3.187016,3.079325,2.860398,2.536368,2.136868"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("4.610559,4.628267,4.636970,4.648838,4.618875,4.649320,4.742618", \ "4.545019,4.563380,4.580031,4.619534,4.603260,4.619511,4.744985", \ "4.442851,4.459326,4.474040,4.519576,4.573907,4.637835,4.665811", \ "4.437751,4.424672,4.431922,4.453323,4.430546,4.536391,4.631546", \ "4.475174,4.508791,4.551986,4.549705,4.557653,4.577570,4.603546", \ "4.727169,4.745139,4.753524,4.799092,4.834297,4.776778,4.715479", \ "5.448795,5.433704,5.382769,5.348912,5.296670,5.258980,4.994774"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.178829,1.183148,1.203592,1.229250,1.269528,1.307742,1.345463", \ "1.132693,1.142564,1.170994,1.200350,1.229637,1.276365,1.314097", \ "1.074803,1.086394,1.101690,1.146695,1.189131,1.241124,1.285541", \ "1.160468,1.157976,1.159872,1.145598,1.183394,1.231529,1.277439", \ "1.431181,1.427076,1.421718,1.398167,1.359172,1.312490,1.329357", \ "1.919411,1.903062,1.889311,1.835822,1.757716,1.638212,1.505659", \ "2.607990,2.591355,2.571529,2.510966,2.389200,2.187287,1.923849"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("4.887647,4.904956,4.915577,4.907957,4.926084,4.922113,4.821632", \ "4.835582,4.854984,4.869002,4.854063,4.872028,4.899222,4.966758", \ "4.793352,4.778811,4.794977,4.822109,4.850189,4.887880,4.861724", \ "4.783391,4.781258,4.806611,4.810318,4.777865,4.834748,4.809164", \ "4.836714,4.831885,4.901205,4.925934,4.878400,4.896374,4.910598", \ "5.136405,5.150961,5.143644,5.134023,5.199811,5.124541,4.893424", \ "5.900039,5.882124,5.844040,5.810001,5.752611,5.721186,5.389723"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.008395,1.022842,1.056348,1.104633,1.148858,1.204236,1.251321", \ "0.949422,0.975386,1.015827,1.058580,1.106614,1.161354,1.214663", \ "0.894947,0.909787,0.944249,1.000567,1.055434,1.114386,1.174833", \ "1.005554,1.011436,1.015985,1.013256,1.049254,1.111191,1.163724", \ "1.301715,1.289339,1.284688,1.264417,1.232355,1.187317,1.210124", \ "1.767529,1.763475,1.734631,1.696890,1.609782,1.500817,1.367835", \ "2.430030,2.425642,2.387707,2.332327,2.230938,2.019840,1.770702"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("4.521771,4.518248,4.523972,4.533882,4.570477,4.550923,4.547089", \ "4.456222,4.470046,4.479169,4.521568,4.535110,4.518365,4.520336", \ "4.418094,4.431723,4.441675,4.453381,4.434671,4.527447,4.572353", \ "4.398562,4.411012,4.416268,4.422769,4.474426,4.413648,4.506778", \ "4.459894,4.493081,4.535535,4.516360,4.467033,4.445487,4.559931", \ "4.717618,4.737565,4.748651,4.786836,4.837683,4.762307,4.688553", \ "5.417503,5.407966,5.388448,5.358955,5.313432,5.246619,4.999614"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("1.060295,1.072293,1.091569,1.117675,1.162296,1.213863,1.254099", \ "1.016840,1.028139,1.050918,1.084231,1.122343,1.170405,1.210222", \ "0.952205,0.964523,0.984493,1.024489,1.070572,1.124727,1.169031", \ "1.034498,1.032018,1.030593,1.025611,1.064988,1.116856,1.166659", \ "1.321372,1.309419,1.298210,1.269423,1.227029,1.190183,1.211067", \ "1.807587,1.782373,1.758749,1.709185,1.621804,1.500075,1.378802", \ "2.494761,2.469306,2.431532,2.370732,2.237550,2.020320,1.770812"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.768900,1.537800,3.075600,6.151200,12.302400,24.604800"); values ("5.314564,5.327994,5.337322,5.326723,5.384935,5.374317,5.460780", \ "5.269676,5.284639,5.296070,5.321811,5.360375,5.388562,5.435545", \ "5.205952,5.220953,5.232379,5.279383,5.316232,5.320702,5.394370", \ "5.211342,5.224848,5.232147,5.228361,5.215367,5.294588,5.336742", \ "5.273241,5.330576,5.323532,5.308761,5.334275,5.308083,5.386515", \ "5.489411,5.517893,5.541076,5.579613,5.611595,5.501435,5.428979", \ "6.153723,6.128143,6.122191,6.134871,6.090304,6.011768,5.848824"); } } } } /****************************************************************************************** Module : AOI22_X2 Cell Description : Combinational cell (AOI22_X2) with drive strength X2 *******************************************************************************************/ cell (AOI22_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 65.223838; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 13.929740; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 56.562110; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 15.134679; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 65.323731; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 56.562110; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 99.191730; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 57.767051; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 94.454184; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 15.134681; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 57.767051; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 16.340060; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 94.446451; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 74.724650; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 103.962320; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 103.953190; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 118.327662; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.147425; fall_capacitance : 2.777938; rise_capacitance : 3.147425; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.477477; fall_capacitance : 2.964608; rise_capacitance : 3.477477; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.987578; fall_capacitance : 2.934365; rise_capacitance : 2.987578; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.437290; fall_capacitance : 3.233064; rise_capacitance : 3.437290; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 49.133300; function : "!((A1 & A2) | (B1 & B2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007432,0.008688,0.010316,0.013542,0.019953,0.032730,0.058250", \ "0.008696,0.009968,0.011620,0.014881,0.021329,0.034139,0.059682", \ "0.012048,0.013882,0.016052,0.019850,0.026341,0.039116,0.064648", \ "0.013764,0.016386,0.019515,0.025040,0.034309,0.049072,0.074404", \ "0.013456,0.016880,0.020947,0.028137,0.040266,0.059815,0.090034", \ "0.010850,0.015080,0.020091,0.028957,0.043900,0.068070,0.105804", \ "0.005780,0.010760,0.016698,0.027231,0.045019,0.073759,0.118810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011949,0.014253,0.017214,0.023034,0.034515,0.057310,0.102756", \ "0.013006,0.015304,0.018289,0.024180,0.035779,0.058693,0.104233", \ "0.018884,0.021302,0.024081,0.029724,0.041140,0.064000,0.109589", \ "0.026379,0.029765,0.033821,0.041032,0.053123,0.075415,0.120589", \ "0.034970,0.039167,0.044231,0.053372,0.069067,0.094544,0.138909", \ "0.044965,0.049932,0.055919,0.066755,0.085584,0.116799,0.165925", \ "0.056478,0.062184,0.069096,0.081582,0.103273,0.139643,0.198004"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.004209,0.005259,0.006643,0.009409,0.014938,0.025991,0.048093", \ "0.004205,0.005259,0.006642,0.009410,0.014938,0.025991,0.048096", \ "0.006841,0.007689,0.008731,0.010610,0.015139,0.025991,0.048097", \ "0.011390,0.012517,0.013884,0.016349,0.020626,0.028346,0.048099", \ "0.017462,0.018870,0.020570,0.023635,0.028959,0.037855,0.053182", \ "0.025169,0.026853,0.028913,0.032600,0.038929,0.049545,0.066803", \ "0.034492,0.036531,0.038977,0.043343,0.050735,0.062987,0.083008"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.008386,0.010427,0.013086,0.018391,0.028982,0.050157,0.092455", \ "0.008355,0.010399,0.013081,0.018395,0.028981,0.050158,0.092462", \ "0.010064,0.011454,0.013545,0.018325,0.028986,0.050155,0.092465", \ "0.015041,0.016876,0.019101,0.023023,0.030746,0.050139,0.092479", \ "0.020687,0.022915,0.025695,0.030757,0.039413,0.054576,0.092456", \ "0.027702,0.030187,0.033345,0.039258,0.049721,0.066929,0.098010", \ "0.036384,0.039061,0.042520,0.049056,0.060914,0.081137,0.113196"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007443,0.008698,0.010326,0.013552,0.019963,0.032740,0.058257", \ "0.008750,0.010022,0.011674,0.014933,0.021382,0.034191,0.059731", \ "0.012201,0.014026,0.016188,0.019971,0.026453,0.039227,0.064757", \ "0.013776,0.016430,0.019590,0.025146,0.034431,0.049188,0.074525", \ "0.012918,0.016432,0.020604,0.027934,0.040216,0.059863,0.090117", \ "0.009305,0.013706,0.018901,0.028045,0.043336,0.067831,0.105761", \ "0.002743,0.007990,0.014198,0.025156,0.043523,0.072892,0.118438"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.015071,0.018232,0.022302,0.030291,0.046044,0.077288,0.139564", \ "0.015784,0.018939,0.023049,0.031152,0.047072,0.078495,0.140913", \ "0.021692,0.024442,0.028236,0.036038,0.051764,0.083160,0.145680", \ "0.030500,0.034464,0.039236,0.047779,0.062910,0.093646,0.155709", \ "0.040644,0.045516,0.051425,0.062159,0.080717,0.111753,0.172735", \ "0.052605,0.058293,0.065196,0.077803,0.099924,0.136900,0.198227", \ "0.066582,0.073053,0.080930,0.095284,0.120545,0.163389,0.232815"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.004207,0.005259,0.006643,0.009410,0.014938,0.025991,0.048096", \ "0.004206,0.005260,0.006642,0.009409,0.014938,0.025990,0.048096", \ "0.006776,0.007622,0.008672,0.010564,0.015123,0.025991,0.048097", \ "0.011336,0.012463,0.013832,0.016294,0.020569,0.028306,0.048097", \ "0.017483,0.018919,0.020628,0.023685,0.028982,0.037832,0.053152", \ "0.025301,0.027042,0.029137,0.032844,0.039151,0.049672,0.066823", \ "0.034760,0.036884,0.039388,0.043821,0.051249,0.063399,0.083210"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011773,0.014582,0.018226,0.025438,0.039767,0.068341,0.125422", \ "0.011652,0.014508,0.018191,0.025429,0.039766,0.068347,0.125418", \ "0.012276,0.014595,0.017938,0.025301,0.039764,0.068330,0.125417", \ "0.017313,0.019661,0.022556,0.027750,0.040016,0.068354,0.125412", \ "0.022963,0.025718,0.029177,0.035531,0.046510,0.069710,0.125422", \ "0.029884,0.032917,0.036798,0.044108,0.057131,0.079013,0.126697", \ "0.038432,0.041645,0.045830,0.053828,0.068464,0.093621,0.136632"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007625,0.008881,0.010510,0.013742,0.020164,0.032965,0.058528", \ "0.008932,0.010207,0.011859,0.015125,0.021584,0.034417,0.060003", \ "0.012490,0.014291,0.016428,0.020182,0.026655,0.039452,0.065029", \ "0.014238,0.016856,0.019976,0.025487,0.034718,0.049427,0.074796", \ "0.013616,0.017066,0.021176,0.028437,0.040640,0.060216,0.090416", \ "0.010318,0.014624,0.019729,0.028761,0.043945,0.068334,0.106185", \ "0.004192,0.009288,0.015368,0.026165,0.044362,0.073584,0.119015"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.020479,0.023620,0.027679,0.035675,0.051452,0.082735,0.144989", \ "0.021287,0.024449,0.028547,0.036627,0.052534,0.083959,0.146341", \ "0.026561,0.029552,0.033504,0.041420,0.057213,0.088638,0.151122", \ "0.037229,0.040785,0.045130,0.053000,0.068175,0.099039,0.161122", \ "0.048961,0.053391,0.058840,0.068869,0.086491,0.116985,0.178081", \ "0.062331,0.067511,0.073907,0.085761,0.106883,0.142661,0.203447", \ "0.077635,0.083581,0.090844,0.104349,0.128546,0.170123,0.238163"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.005353,0.006555,0.008102,0.011068,0.016734,0.027827,0.049936", \ "0.005351,0.006556,0.008102,0.011067,0.016734,0.027825,0.049937", \ "0.008492,0.009270,0.010243,0.012185,0.016909,0.027827,0.049938", \ "0.014580,0.015410,0.016502,0.018610,0.022529,0.030108,0.049938", \ "0.022343,0.023272,0.024520,0.026981,0.031637,0.039916,0.054954", \ "0.031926,0.032954,0.034382,0.037231,0.042620,0.052327,0.068841", \ "0.043273,0.044481,0.046126,0.049424,0.055615,0.066685,0.085650"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.014643,0.017438,0.021083,0.028316,0.042708,0.071370,0.128493", \ "0.014605,0.017420,0.021073,0.028315,0.042702,0.071368,0.128488", \ "0.014382,0.017088,0.020901,0.028267,0.042710,0.071362,0.128505", \ "0.018853,0.021161,0.023783,0.029578,0.042636,0.071351,0.128497", \ "0.024649,0.027420,0.030848,0.037098,0.048034,0.072173,0.128476", \ "0.031409,0.034594,0.038565,0.045893,0.058786,0.080627,0.129370", \ "0.039577,0.043076,0.047458,0.055653,0.070330,0.095286,0.138606"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.008739,0.009986,0.011606,0.014824,0.021227,0.034000,0.059517", \ "0.010017,0.011299,0.012955,0.016218,0.022666,0.035473,0.061015", \ "0.012915,0.014492,0.016427,0.020032,0.026645,0.039542,0.065153", \ "0.015033,0.017296,0.019984,0.024730,0.032855,0.046976,0.072836", \ "0.015131,0.018215,0.021856,0.028211,0.038771,0.055835,0.084373", \ "0.012879,0.016820,0.021459,0.029542,0.042901,0.064040,0.097235", \ "0.008101,0.012904,0.018547,0.028397,0.044671,0.070311,0.109641"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.015338,0.017583,0.020499,0.026276,0.037733,0.060528,0.105967", \ "0.016470,0.018739,0.021685,0.027508,0.039026,0.061880,0.107361", \ "0.022541,0.024677,0.027508,0.033195,0.044591,0.067374,0.112844", \ "0.031974,0.034963,0.038611,0.045217,0.056655,0.078942,0.124026", \ "0.042350,0.046093,0.050695,0.059127,0.073871,0.098275,0.142483", \ "0.054283,0.058720,0.064141,0.074151,0.091881,0.121784,0.169653", \ "0.068079,0.073184,0.079393,0.090854,0.111233,0.146057,0.202770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.004209,0.005259,0.006644,0.009409,0.014939,0.025990,0.048097", \ "0.004208,0.005259,0.006643,0.009408,0.014938,0.025990,0.048098", \ "0.005456,0.006359,0.007570,0.009955,0.015055,0.025991,0.048099", \ "0.008672,0.009594,0.010759,0.013021,0.017611,0.027136,0.048129", \ "0.013340,0.014423,0.015758,0.018232,0.022810,0.031787,0.050564", \ "0.019187,0.020477,0.022066,0.024960,0.030051,0.039195,0.057151", \ "0.026061,0.027582,0.029484,0.032913,0.038827,0.048827,0.066816"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.010440,0.012482,0.015157,0.020493,0.031127,0.052358,0.094743", \ "0.010428,0.012475,0.015154,0.020495,0.031131,0.052365,0.094733", \ "0.011083,0.012799,0.015198,0.020462,0.031125,0.052371,0.094741", \ "0.016032,0.017838,0.020010,0.023853,0.032263,0.052354,0.094743", \ "0.021441,0.023787,0.026626,0.031694,0.040274,0.055977,0.094727", \ "0.027458,0.030271,0.033710,0.039929,0.050560,0.067769,0.099583", \ "0.034440,0.037664,0.041612,0.048800,0.061300,0.081865,0.114017"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.008749,0.009996,0.011616,0.014834,0.021237,0.034009,0.059525", \ "0.010070,0.011353,0.013009,0.016271,0.022717,0.035525,0.061065", \ "0.013051,0.014625,0.016558,0.020158,0.026764,0.039658,0.065264", \ "0.015167,0.017437,0.020134,0.024885,0.033008,0.047121,0.072974", \ "0.015008,0.018135,0.021820,0.028238,0.038861,0.055961,0.084508", \ "0.012092,0.016146,0.020900,0.029149,0.042709,0.064019,0.097310", \ "0.006205,0.011207,0.017047,0.027200,0.043869,0.069913,0.109531"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.019844,0.022918,0.026914,0.034831,0.050533,0.081770,0.144034", \ "0.020676,0.023787,0.027828,0.035817,0.051609,0.082936,0.145265", \ "0.026246,0.029195,0.033096,0.040931,0.056600,0.087872,0.150218", \ "0.037118,0.040635,0.044954,0.052795,0.067874,0.098585,0.160498", \ "0.049222,0.053603,0.059006,0.068946,0.086448,0.116821,0.177729", \ "0.063155,0.068284,0.074613,0.086348,0.107271,0.142806,0.203393", \ "0.079371,0.085208,0.092382,0.105704,0.129611,0.170804,0.238454"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.004208,0.005260,0.006645,0.009410,0.014936,0.025990,0.048095", \ "0.004209,0.005259,0.006643,0.009408,0.014937,0.025989,0.048098", \ "0.005421,0.006329,0.007543,0.009934,0.015048,0.025991,0.048098", \ "0.008598,0.009527,0.010693,0.012963,0.017569,0.027114,0.048126", \ "0.013255,0.014355,0.015700,0.018184,0.022765,0.031747,0.050540", \ "0.019128,0.020439,0.022049,0.024968,0.030076,0.039189,0.057130", \ "0.026068,0.027621,0.029562,0.033024,0.038966,0.048946,0.066856"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.014641,0.017450,0.021094,0.028342,0.042743,0.071418,0.128588", \ "0.014598,0.017422,0.021083,0.028333,0.042743,0.071421,0.128593", \ "0.014449,0.017134,0.020898,0.028281,0.042729,0.071423,0.128597", \ "0.018833,0.021155,0.023844,0.029652,0.042691,0.071401,0.128584", \ "0.024389,0.027224,0.030696,0.037008,0.048049,0.072268,0.128571", \ "0.030504,0.033851,0.037977,0.045500,0.058567,0.080609,0.129484", \ "0.037465,0.041262,0.045949,0.054548,0.069677,0.094966,0.138614"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.008929,0.010178,0.011800,0.015024,0.021439,0.034235,0.059796", \ "0.010255,0.011539,0.013195,0.016462,0.022919,0.035750,0.061336", \ "0.013287,0.014848,0.016771,0.020363,0.026968,0.039883,0.065536", \ "0.015533,0.017773,0.020438,0.025156,0.033251,0.047359,0.073246", \ "0.015555,0.018632,0.022270,0.028628,0.039190,0.056248,0.084798", \ "0.012877,0.016854,0.021538,0.029697,0.043164,0.064398,0.097651", \ "0.007287,0.012170,0.017932,0.027952,0.044491,0.070417,0.109957"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.025184,0.028263,0.032269,0.040205,0.055932,0.087202,0.149460", \ "0.026103,0.029208,0.033246,0.041234,0.057032,0.088364,0.150694", \ "0.031416,0.034442,0.038403,0.046296,0.062009,0.093297,0.155649", \ "0.043123,0.046354,0.050358,0.057875,0.073142,0.103941,0.165900", \ "0.056756,0.060808,0.065851,0.075217,0.091939,0.122049,0.183086", \ "0.072005,0.076766,0.082699,0.093827,0.113882,0.148367,0.208595", \ "0.089363,0.094823,0.101560,0.114217,0.137228,0.177286,0.243687"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.005352,0.006556,0.008102,0.011068,0.016734,0.027825,0.049935", \ "0.005353,0.006556,0.008102,0.011067,0.016734,0.027827,0.049936", \ "0.006834,0.007808,0.009083,0.011578,0.016837,0.027828,0.049936", \ "0.010915,0.011691,0.012741,0.014918,0.019447,0.028937,0.049966", \ "0.016759,0.017542,0.018586,0.020692,0.024935,0.033689,0.052364", \ "0.023928,0.024777,0.025934,0.028263,0.032752,0.041389,0.059050", \ "0.032311,0.033247,0.034557,0.037230,0.042294,0.051544,0.068954"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.017434,0.020250,0.023922,0.031207,0.045663,0.074423,0.131682", \ "0.017419,0.020242,0.023916,0.031207,0.045664,0.074423,0.131685", \ "0.017213,0.020113,0.023849,0.031190,0.045660,0.074405,0.131689", \ "0.020416,0.022544,0.025504,0.031795,0.045518,0.074393,0.131663", \ "0.026377,0.029100,0.032462,0.038617,0.049784,0.074869,0.131688", \ "0.032828,0.036069,0.040083,0.047430,0.060261,0.082377,0.132227", \ "0.039970,0.043674,0.048276,0.056762,0.071676,0.096651,0.140667"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.012557,0.013967,0.015768,0.019266,0.026030,0.039195,0.065075", \ "0.013801,0.015216,0.017024,0.020527,0.027298,0.040469,0.066353", \ "0.018868,0.020323,0.022089,0.025498,0.032223,0.045367,0.071235", \ "0.024368,0.026448,0.028997,0.033651,0.041754,0.055303,0.080954", \ "0.027756,0.030463,0.033784,0.039852,0.050503,0.068287,0.096778", \ "0.028971,0.032271,0.036339,0.043777,0.056876,0.078923,0.114455", \ "0.027885,0.031757,0.036545,0.045328,0.060827,0.087022,0.129452"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.021703,0.024139,0.027277,0.033459,0.045678,0.069954,0.118359", \ "0.023068,0.025544,0.028738,0.035012,0.047349,0.071735,0.120225", \ "0.028978,0.031408,0.034561,0.040808,0.053180,0.077689,0.126340", \ "0.037696,0.040756,0.044512,0.051405,0.063836,0.088276,0.136957", \ "0.046025,0.049998,0.054834,0.063603,0.078923,0.105003,0.153576", \ "0.055392,0.060246,0.066119,0.076711,0.095136,0.126099,0.177539", \ "0.066972,0.072621,0.079434,0.091735,0.113058,0.148783,0.207483"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007980,0.009056,0.010459,0.013248,0.018802,0.029894,0.052068", \ "0.007964,0.009047,0.010451,0.013246,0.018802,0.029895,0.052068", \ "0.008842,0.009666,0.010827,0.013322,0.018779,0.029893,0.052067", \ "0.013562,0.014615,0.015902,0.018250,0.022406,0.030995,0.052073", \ "0.019513,0.020887,0.022566,0.025609,0.030846,0.039592,0.055651", \ "0.026653,0.028369,0.030461,0.034244,0.040731,0.051374,0.068531", \ "0.034981,0.037119,0.039683,0.044264,0.052016,0.064657,0.084802"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.010493,0.012585,0.015343,0.020837,0.031805,0.053637,0.097204", \ "0.010501,0.012592,0.015340,0.020838,0.031791,0.053643,0.097205", \ "0.010638,0.012667,0.015382,0.020845,0.031796,0.053647,0.097197", \ "0.014304,0.015998,0.018118,0.022424,0.032130,0.053644,0.097204", \ "0.020178,0.022031,0.024414,0.029006,0.037648,0.055409,0.097201", \ "0.027595,0.029491,0.032029,0.036998,0.046405,0.063826,0.099702", \ "0.036230,0.038148,0.040783,0.046060,0.056222,0.075108,0.109406"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.010306,0.011808,0.013702,0.017329,0.024249,0.037555,0.063537", \ "0.011577,0.013065,0.014951,0.018571,0.025487,0.038793,0.064777", \ "0.016649,0.018239,0.020167,0.023638,0.030411,0.043658,0.069616", \ "0.021252,0.023527,0.026286,0.031241,0.039728,0.053627,0.079321", \ "0.023641,0.026593,0.030176,0.036636,0.047787,0.066138,0.095117", \ "0.023686,0.027294,0.031680,0.039597,0.053346,0.076124,0.112331", \ "0.021308,0.025523,0.030679,0.040030,0.056311,0.083424,0.126726"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.024743,0.027856,0.031877,0.039805,0.055492,0.086694,0.148950", \ "0.025972,0.029137,0.033225,0.041267,0.057106,0.088452,0.150818", \ "0.031630,0.034735,0.038765,0.046766,0.062652,0.094150,0.156711", \ "0.039948,0.043547,0.048019,0.056310,0.072084,0.103477,0.166075", \ "0.048068,0.052474,0.057869,0.067827,0.085753,0.117738,0.180153", \ "0.057528,0.062752,0.069091,0.080643,0.101187,0.137115,0.200537", \ "0.069364,0.075406,0.082657,0.095816,0.118905,0.158840,0.227741"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007455,0.008551,0.009965,0.012757,0.018276,0.029293,0.051381", \ "0.007311,0.008446,0.009894,0.012716,0.018259,0.029289,0.051380", \ "0.008748,0.009519,0.010550,0.012877,0.018145,0.029275,0.051380", \ "0.013584,0.014631,0.015893,0.018213,0.022308,0.030588,0.051378", \ "0.019724,0.021074,0.022717,0.025695,0.030842,0.039477,0.055257", \ "0.027146,0.028838,0.030884,0.034567,0.040891,0.051361,0.068383", \ "0.035851,0.037956,0.040472,0.044934,0.052482,0.064875,0.084771"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011994,0.014714,0.018291,0.025446,0.039767,0.068327,0.125428", \ "0.012022,0.014723,0.018295,0.025450,0.039769,0.068330,0.125413", \ "0.012126,0.014790,0.018327,0.025467,0.039763,0.068360,0.125429", \ "0.015018,0.017321,0.020230,0.026407,0.039850,0.068328,0.125419", \ "0.019803,0.022267,0.025469,0.031715,0.043625,0.069146,0.125408", \ "0.026270,0.028757,0.032039,0.038512,0.051081,0.075027,0.126369", \ "0.034239,0.036690,0.040027,0.046657,0.059640,0.084722,0.132728"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.010548,0.012043,0.013932,0.017556,0.024477,0.037801,0.063826", \ "0.011816,0.013298,0.015180,0.018797,0.025715,0.039038,0.065065", \ "0.016920,0.018494,0.020399,0.023855,0.030637,0.043903,0.069904", \ "0.021686,0.023925,0.026654,0.031568,0.040010,0.053869,0.079607", \ "0.024274,0.027179,0.030716,0.037117,0.048213,0.066498,0.095410", \ "0.024586,0.028127,0.032446,0.040281,0.053937,0.076628,0.112742", \ "0.022566,0.026680,0.031738,0.040966,0.057120,0.084098,0.127300"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.030089,0.033195,0.037221,0.045176,0.060918,0.092183,0.154471", \ "0.031459,0.034604,0.038678,0.046716,0.062574,0.093956,0.156344", \ "0.037031,0.040144,0.044199,0.052235,0.068152,0.099687,0.162251", \ "0.046178,0.049540,0.053740,0.061748,0.077553,0.109007,0.171629", \ "0.055729,0.059782,0.064810,0.074267,0.091617,0.123233,0.185689", \ "0.066574,0.071344,0.077213,0.088096,0.107872,0.143027,0.206045", \ "0.079659,0.085203,0.091908,0.104249,0.126392,0.165398,0.233446"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.009540,0.010598,0.011987,0.014748,0.020228,0.031193,0.053247", \ "0.009393,0.010492,0.011915,0.014708,0.020212,0.031189,0.053245", \ "0.010699,0.011426,0.012487,0.014840,0.020099,0.031178,0.053243", \ "0.016631,0.017435,0.018467,0.020477,0.024246,0.032466,0.053242", \ "0.024025,0.025018,0.026302,0.028795,0.033397,0.041515,0.057088", \ "0.032785,0.034003,0.035575,0.038610,0.044183,0.053924,0.070350", \ "0.042922,0.044439,0.046360,0.049992,0.056571,0.068030,0.087135"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.014724,0.017493,0.021120,0.028344,0.042746,0.071425,0.128589", \ "0.014728,0.017499,0.021122,0.028343,0.042740,0.071412,0.128600", \ "0.014763,0.017517,0.021128,0.028346,0.042746,0.071409,0.128601", \ "0.016789,0.019115,0.022251,0.028810,0.042761,0.071413,0.128606", \ "0.021454,0.024029,0.027317,0.033659,0.045733,0.071891,0.128591", \ "0.027513,0.030205,0.033653,0.040331,0.053084,0.077184,0.129284", \ "0.035041,0.037776,0.041330,0.048268,0.061561,0.086835,0.135195"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.013818,0.015229,0.017031,0.020528,0.027292,0.040457,0.066338", \ "0.015134,0.016548,0.018352,0.021853,0.028625,0.041797,0.067683", \ "0.018955,0.020430,0.022279,0.025798,0.032610,0.045827,0.071750", \ "0.023886,0.025694,0.027931,0.032097,0.039672,0.053420,0.079457", \ "0.027584,0.029957,0.032861,0.038155,0.047447,0.063389,0.091251", \ "0.029160,0.032167,0.035831,0.042467,0.054016,0.073288,0.105032", \ "0.028402,0.032066,0.036497,0.044530,0.058483,0.081644,0.118792"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.025204,0.027588,0.030691,0.036841,0.049041,0.073304,0.121671", \ "0.026791,0.029199,0.032326,0.038510,0.050751,0.075051,0.123446", \ "0.032926,0.035327,0.038451,0.044643,0.056912,0.081267,0.129723", \ "0.042744,0.045544,0.049033,0.055504,0.067765,0.092103,0.140582", \ "0.052713,0.056304,0.060747,0.068937,0.083556,0.108968,0.157378", \ "0.063827,0.068209,0.073568,0.083421,0.100897,0.130842,0.181482", \ "0.077534,0.082592,0.088777,0.100108,0.120195,0.154588,0.212063"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007977,0.009050,0.010456,0.013246,0.018803,0.029894,0.052069", \ "0.007967,0.009048,0.010453,0.013246,0.018802,0.029894,0.052067", \ "0.008342,0.009343,0.010665,0.013339,0.018804,0.029895,0.052066", \ "0.010782,0.011767,0.013028,0.015525,0.020451,0.030510,0.052080", \ "0.015077,0.016176,0.017545,0.020116,0.024964,0.034433,0.053870", \ "0.020511,0.021835,0.023464,0.026448,0.031742,0.041290,0.059874", \ "0.026848,0.028444,0.030401,0.033947,0.040073,0.050460,0.069087"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.012592,0.014705,0.017489,0.023013,0.034013,0.055881,0.099499", \ "0.012587,0.014706,0.017487,0.023013,0.034015,0.055889,0.099502", \ "0.012628,0.014737,0.017500,0.023019,0.034013,0.055898,0.099483", \ "0.015456,0.017157,0.019353,0.024026,0.034153,0.055885,0.099485", \ "0.021122,0.023071,0.025521,0.030186,0.038871,0.057262,0.099479", \ "0.027849,0.030014,0.032772,0.037967,0.047574,0.065095,0.101605", \ "0.035131,0.037516,0.040576,0.046396,0.057086,0.076291,0.110790"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011586,0.013082,0.014972,0.018595,0.025510,0.038815,0.064800", \ "0.012890,0.014383,0.016271,0.019893,0.026809,0.040116,0.066105", \ "0.016691,0.018248,0.020192,0.023838,0.030764,0.044109,0.070129", \ "0.021132,0.023106,0.025510,0.029892,0.037702,0.051675,0.077817", \ "0.023903,0.026528,0.029688,0.035353,0.045082,0.061410,0.089545", \ "0.024335,0.027663,0.031659,0.038791,0.050966,0.070882,0.103102", \ "0.022240,0.026304,0.031134,0.039780,0.054544,0.078580,0.116470"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.029326,0.032377,0.036348,0.044231,0.059894,0.091085,0.153286", \ "0.030837,0.033917,0.037919,0.045846,0.061560,0.092799,0.155037", \ "0.036762,0.039833,0.043832,0.051767,0.067518,0.098820,0.161142", \ "0.045983,0.049328,0.053529,0.061498,0.077196,0.108462,0.170809", \ "0.055533,0.059570,0.064603,0.074030,0.091333,0.122871,0.185101", \ "0.066596,0.071347,0.077193,0.088053,0.107730,0.142788,0.205628", \ "0.080444,0.085907,0.092523,0.104737,0.126685,0.165442,0.233247"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.007391,0.008500,0.009927,0.012730,0.018262,0.029287,0.051381", \ "0.007335,0.008455,0.009893,0.012710,0.018253,0.029286,0.051380", \ "0.007854,0.008858,0.010157,0.012816,0.018239,0.029288,0.051382", \ "0.010609,0.011548,0.012774,0.015194,0.020036,0.029973,0.051401", \ "0.015110,0.016179,0.017515,0.020014,0.024742,0.034044,0.053301", \ "0.020754,0.022039,0.023626,0.026533,0.031698,0.041071,0.059428", \ "0.027336,0.028879,0.030800,0.034256,0.040234,0.050425,0.068793"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.014723,0.017483,0.021103,0.028323,0.042710,0.071364,0.128491", \ "0.014729,0.017484,0.021106,0.028329,0.042710,0.071371,0.128487", \ "0.014757,0.017511,0.021117,0.028325,0.042711,0.071347,0.128491", \ "0.016805,0.019145,0.022280,0.028825,0.042736,0.071356,0.128489", \ "0.021415,0.023999,0.027292,0.033636,0.045752,0.071866,0.128479", \ "0.027142,0.029920,0.033447,0.040209,0.053022,0.077171,0.129218", \ "0.033718,0.036669,0.040458,0.047667,0.061249,0.086691,0.135137"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.011827,0.013317,0.015202,0.018821,0.025738,0.039060,0.065089", \ "0.013130,0.014618,0.016500,0.020118,0.027037,0.040362,0.066395", \ "0.016944,0.018493,0.020427,0.024062,0.030994,0.044355,0.070418", \ "0.021474,0.023426,0.025808,0.030162,0.037956,0.051923,0.078105", \ "0.024400,0.026984,0.030110,0.035727,0.045404,0.061704,0.089845", \ "0.025022,0.028298,0.032240,0.039307,0.051411,0.071257,0.103450", \ "0.023172,0.027159,0.031915,0.040471,0.055136,0.079078,0.116893"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.034639,0.037703,0.041692,0.049607,0.065312,0.096541,0.158810", \ "0.036204,0.039286,0.043297,0.051245,0.066990,0.098260,0.160568", \ "0.042124,0.045208,0.049222,0.057184,0.072966,0.104296,0.166686", \ "0.051828,0.054955,0.058966,0.066902,0.082640,0.113941,0.176337", \ "0.062615,0.066403,0.071161,0.080212,0.097039,0.128352,0.190621", \ "0.074869,0.079318,0.084792,0.095140,0.114208,0.148607,0.211124", \ "0.089779,0.094827,0.101060,0.112671,0.133890,0.171867,0.238894"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.009477,0.010547,0.011946,0.014721,0.020215,0.031189,0.053246", \ "0.009414,0.010500,0.011913,0.014702,0.020206,0.031187,0.053247", \ "0.009905,0.010851,0.012147,0.014799,0.020190,0.031190,0.053244", \ "0.013061,0.013889,0.015003,0.017306,0.022003,0.031868,0.053267", \ "0.018349,0.019181,0.020287,0.022499,0.026927,0.036007,0.055158", \ "0.024966,0.025915,0.027173,0.029626,0.034303,0.043246,0.061354", \ "0.032613,0.033746,0.035236,0.038098,0.043387,0.052947,0.070879"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.017467,0.020269,0.023930,0.031206,0.045661,0.074403,0.131677", \ "0.017465,0.020268,0.023931,0.031211,0.045658,0.074402,0.131673", \ "0.017475,0.020279,0.023932,0.031211,0.045664,0.074394,0.131691", \ "0.018729,0.021224,0.024557,0.031394,0.045676,0.074393,0.131687", \ "0.023354,0.025958,0.029272,0.035644,0.048009,0.074693,0.131646", \ "0.029018,0.031835,0.035378,0.042196,0.055090,0.079453,0.132150", \ "0.035519,0.038525,0.042349,0.049637,0.063339,0.088856,0.137641"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.491607,0.509050,0.518015,0.543791,0.555024,0.568981,0.577720", \ "0.398520,0.427399,0.475310,0.513617,0.551008,0.589208,0.609890", \ "0.458344,0.428356,0.435331,0.467310,0.510267,0.566404,0.609238", \ "1.080202,1.003718,0.917251,0.785650,0.662278,0.652059,0.658008", \ "2.185555,2.076785,1.929105,1.700779,1.383107,1.047877,0.902342", \ "3.717932,3.616692,3.479026,3.178977,2.703112,2.077132,1.511265", \ "5.660181,5.617525,5.486437,5.218556,4.655869,3.743536,2.730416"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("5.136867,5.166818,5.253511,5.235041,5.342490,5.205793,5.199217", \ "4.927542,5.015255,5.080717,5.115881,5.125335,5.318847,5.153658", \ "5.020087,5.016900,5.066812,5.037272,5.148556,5.135638,4.973416", \ "5.544420,5.630722,5.604333,5.504576,5.359619,5.251726,5.099017", \ "6.463907,6.418849,6.422584,6.444621,6.263370,5.900150,5.648174", \ "8.216950,8.078657,7.983035,7.807420,7.681752,7.309723,6.655647", \ "10.661180,10.517790,10.327600,10.084120,9.658549,9.148398,8.093151"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.529637,0.533320,0.542112,0.552590,0.564959,0.575297,0.581524", \ "0.471473,0.487996,0.517025,0.546954,0.586407,0.617358,0.643632", \ "0.543283,0.518666,0.520213,0.546451,0.593310,0.636529,0.682194", \ "1.095723,1.018482,0.960447,0.844583,0.730102,0.726125,0.737622", \ "2.038662,1.957352,1.847722,1.647682,1.382388,1.080865,0.960994", \ "3.366973,3.296409,3.182427,2.959250,2.546789,2.003125,1.502925", \ "5.054021,5.048696,4.950410,4.729658,4.285361,3.497860,2.593712"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("5.066626,5.121243,5.138614,5.181514,5.253005,5.248662,5.333763", \ "4.859877,4.908528,5.003361,5.089888,5.127728,5.250818,5.082250", \ "4.915401,4.926145,4.931766,5.000750,5.028194,5.009750,4.971647", \ "5.510469,5.462550,5.420328,5.362689,5.290864,5.088987,5.128443", \ "6.283133,6.250026,6.283257,6.292809,6.106969,5.759097,5.660411", \ "7.760175,7.704962,7.627854,7.513929,7.429756,6.918964,6.349773", \ "9.968637,9.841130,9.702252,9.427997,9.135217,8.603190,7.743173"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.514196,0.521966,0.538471,0.542519,0.564515,0.573556,0.577945", \ "0.443992,0.486525,0.513981,0.543785,0.589978,0.623626,0.641365", \ "0.494770,0.495743,0.510106,0.541105,0.592690,0.639431,0.676918", \ "1.047631,0.985593,0.914531,0.807468,0.729994,0.725843,0.734128", \ "2.033037,1.950906,1.822785,1.623278,1.357690,1.078223,0.962359", \ "3.454460,3.368853,3.217268,2.957760,2.533573,1.985225,1.501324", \ "5.300596,5.184044,5.050399,4.782869,4.279328,3.479850,2.583491"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("6.656877,6.749780,6.779049,6.825687,6.902676,6.936873,7.012584", \ "6.531077,6.576814,6.614630,6.666984,6.787350,6.923679,6.942237", \ "6.526830,6.551454,6.570138,6.592236,6.682378,6.792071,6.852377", \ "6.980355,7.003727,6.971945,6.956351,6.904661,6.921309,6.890309", \ "7.750615,7.812745,7.904834,7.742347,7.648447,7.351449,7.038126", \ "9.120885,9.115648,9.041633,9.036335,8.822674,8.539242,7.860430", \ "11.240710,11.153850,11.060150,10.884570,10.608110,10.158370,9.564274"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.492489,0.522690,0.530004,0.542328,0.559965,0.565788,0.576063", \ "0.397640,0.428594,0.462826,0.514297,0.558383,0.585974,0.610349", \ "0.289615,0.289648,0.316010,0.386478,0.461935,0.536035,0.589378", \ "0.661402,0.616653,0.571405,0.514817,0.450140,0.503203,0.569480", \ "1.388225,1.318489,1.252846,1.111810,0.916610,0.706412,0.668141", \ "2.533742,2.449347,2.354719,2.176106,1.848866,1.424952,1.029377", \ "3.998585,3.947551,3.856858,3.675979,3.289500,2.639825,1.906320"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("6.567970,6.580901,6.622249,6.626140,6.705965,6.721006,6.672391", \ "6.374017,6.464063,6.494905,6.501675,6.576495,6.615938,6.614693", \ "6.479192,6.516258,6.514818,6.500114,6.478232,6.582705,6.594659", \ "7.113539,7.098684,7.070651,7.021361,6.946355,6.730506,6.769550", \ "7.928158,7.949340,7.936307,8.021665,7.869616,7.560948,7.210619", \ "9.543597,9.558896,9.474207,9.352903,9.205502,8.885435,8.237745", \ "11.953960,11.872230,11.770020,11.610310,11.334720,10.772160,10.025850"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.534413,0.542340,0.543887,0.548872,0.568015,0.573116,0.584584", \ "0.455365,0.477919,0.514531,0.548182,0.588613,0.618851,0.645888", \ "0.369812,0.379141,0.409863,0.459689,0.534076,0.605232,0.661213", \ "0.697393,0.660303,0.634611,0.590992,0.532985,0.593739,0.654329", \ "1.313072,1.262760,1.226547,1.117470,0.957699,0.772991,0.748920", \ "2.279275,2.240905,2.161634,2.039301,1.781123,1.421192,1.070592", \ "3.559301,3.520119,3.449225,3.331238,3.042260,2.504571,1.867112"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("6.528113,6.553576,6.568606,6.625664,6.672415,6.704311,6.468496", \ "6.353264,6.387481,6.412195,6.505314,6.456499,6.640993,6.703236", \ "6.406491,6.421550,6.469547,6.458100,6.480587,6.601686,6.628072", \ "6.959277,6.943543,6.917595,6.898625,6.785637,6.794498,6.734143", \ "7.814349,7.830852,7.916588,7.824646,7.645848,7.171867,6.935318", \ "9.172827,9.174225,9.156746,9.131417,9.009877,8.522956,8.136860", \ "11.272230,11.237180,11.158030,11.019180,10.718810,10.288400,9.586451"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("0.518143,0.537617,0.543512,0.553826,0.567471,0.576461,0.579945", \ "0.444605,0.481932,0.513929,0.553523,0.590782,0.620646,0.639191", \ "0.335816,0.359717,0.399939,0.457795,0.535751,0.606306,0.658418", \ "0.655519,0.635991,0.605229,0.557547,0.526220,0.590988,0.651411", \ "1.336451,1.281407,1.215562,1.091073,0.940018,0.761343,0.743555", \ "2.356495,2.308906,2.192506,2.047351,1.770842,1.408423,1.066478", \ "3.734067,3.647438,3.570797,3.375476,3.047530,2.504386,1.858121"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("8.098352,8.126487,8.145980,8.229920,8.170983,8.317440,8.331794", \ "7.942969,8.031934,8.059884,8.125799,8.081821,8.258483,8.235974", \ "7.966444,8.004298,8.062130,8.090488,8.095328,8.126527,8.160821", \ "8.465207,8.465444,8.454792,8.449011,8.393458,8.300166,8.263372", \ "9.355282,9.383013,9.417991,9.337894,9.198092,8.931417,8.791909", \ "10.654480,10.643120,10.648120,10.596560,10.431890,10.012680,9.642421", \ "12.655470,12.657250,12.565880,12.445180,12.342550,11.775640,11.076280"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("2.325588,2.363560,2.386922,2.448696,2.527824,2.606406,2.688651", \ "2.220832,2.266096,2.322349,2.387936,2.457371,2.547411,2.625058", \ "2.165526,2.207274,2.239257,2.305483,2.399225,2.486874,2.580721", \ "2.557915,2.536450,2.508132,2.469930,2.499473,2.554025,2.623463", \ "3.455529,3.412488,3.343363,3.242560,3.083435,2.912095,2.864467", \ "4.854288,4.812959,4.724870,4.551159,4.260083,3.852265,3.450509", \ "6.759732,6.717169,6.640286,6.451418,6.062054,5.414054,4.615094"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("8.665829,8.740705,8.769662,8.813606,8.823621,8.762633,8.879734", \ "8.500038,8.586753,8.631167,8.686103,8.722689,8.842583,8.758202", \ "8.355594,8.385977,8.397441,8.478568,8.559444,8.558346,8.505835", \ "8.347483,8.349350,8.373755,8.383346,8.463691,8.550867,8.340963", \ "8.377800,8.440183,8.482397,8.610012,8.593787,8.602678,8.501350", \ "9.127014,9.114920,9.060905,8.991995,9.058098,8.924592,8.874822", \ "10.784200,10.670730,10.544620,10.327180,10.048840,9.907003,9.419752"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.985646,2.045644,2.087853,2.197880,2.295326,2.399309,2.504509", \ "1.885282,1.932226,2.011674,2.105984,2.203624,2.321404,2.419945", \ "1.817744,1.879914,1.925449,2.018658,2.114478,2.233016,2.347109", \ "2.267004,2.240244,2.234973,2.190494,2.225262,2.293165,2.361851", \ "3.173262,3.132041,3.075926,2.960684,2.801940,2.637221,2.588559", \ "4.551461,4.491761,4.401884,4.236766,3.936938,3.537260,3.143574", \ "6.380777,6.345258,6.261327,6.067022,5.655047,5.039469,4.253044"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("7.952751,7.985906,8.020861,8.038456,7.980667,8.043430,7.999516", \ "7.749065,7.833758,7.869663,7.939120,7.922869,7.960043,7.903176", \ "7.612026,7.634185,7.669992,7.662494,7.802063,7.910993,8.033161", \ "7.597605,7.568670,7.628773,7.657011,7.688480,7.657292,7.626568", \ "7.568958,7.688736,7.780509,7.844554,7.702693,7.820931,7.628211", \ "8.241350,8.247202,8.202918,8.282246,8.397887,8.109923,8.125465", \ "9.815596,9.705995,9.613853,9.456662,9.311997,9.153653,8.608323"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("2.086685,2.139649,2.160635,2.241154,2.329073,2.416909,2.506056", \ "2.006546,2.046773,2.080790,2.151033,2.240334,2.332218,2.427481", \ "1.915156,1.958191,1.986145,2.066663,2.149886,2.252053,2.349705", \ "2.299953,2.264309,2.247579,2.214189,2.246380,2.309417,2.375265", \ "3.221986,3.161330,3.089897,2.959875,2.794615,2.650397,2.595583", \ "4.634526,4.538637,4.432512,4.229522,3.943110,3.530776,3.141448", \ "6.536930,6.444774,6.328786,6.102484,5.676691,5.027578,4.242249"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("9.529075,9.592805,9.582453,9.637529,9.579865,9.774293,9.507054", \ "9.396940,9.437756,9.469898,9.580271,9.549804,9.741503,9.744178", \ "9.207688,9.227406,9.256191,9.318088,9.472955,9.589645,9.545698", \ "9.175451,9.200684,9.218884,9.243898,9.288751,9.423771,9.474061", \ "9.226622,9.307617,9.398325,9.433707,9.447898,9.481485,9.410623", \ "9.724669,9.744251,9.762350,9.808371,9.981060,9.861881,9.626168", \ "11.165410,11.093770,11.042810,10.897780,10.834450,10.752550,10.423660"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("2.313970,2.365164,2.404055,2.463337,2.531016,2.606316,2.692259", \ "2.249394,2.288837,2.330604,2.392912,2.461144,2.549734,2.631475", \ "2.108921,2.155426,2.220571,2.283802,2.379162,2.474285,2.569658", \ "2.307339,2.312713,2.297217,2.293030,2.361002,2.455611,2.561027", \ "2.862723,2.849268,2.810804,2.779415,2.697239,2.616343,2.659663", \ "3.799282,3.791811,3.733397,3.655944,3.484205,3.246985,3.001704", \ "5.187633,5.155667,5.118890,4.995097,4.739258,4.349700,3.828996"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("10.064250,10.128820,10.150720,10.101880,10.125260,10.217810,10.242350", \ "9.996488,10.029620,10.057610,10.077980,10.155390,9.989607,10.162220", \ "9.873670,9.874711,9.947211,9.950994,9.976695,10.052500,10.157170", \ "9.874499,9.874550,9.898411,9.872306,9.868432,9.899090,10.149380", \ "9.947315,10.036700,10.106590,10.118850,10.066050,10.136700,10.037830", \ "10.529950,10.560930,10.599320,10.623690,10.614590,10.596210,10.432640", \ "12.054710,12.006080,11.921800,11.836850,11.678830,11.669520,10.979930"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("1.993907,2.046429,2.106000,2.198802,2.291598,2.401231,2.505846", \ "1.898231,1.943669,2.015254,2.110411,2.207736,2.316366,2.421181", \ "1.753510,1.823917,1.894428,1.992020,2.109875,2.224999,2.339242", \ "2.015740,2.027943,2.020162,2.015084,2.099385,2.202529,2.315206", \ "2.583917,2.571620,2.531864,2.506893,2.447746,2.350639,2.406163", \ "3.514836,3.490361,3.453725,3.365153,3.209447,2.979005,2.731355", \ "4.851722,4.826136,4.770575,4.651772,4.416350,4.025257,3.530655"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("9.329554,9.393952,9.362449,9.393757,9.481560,9.514383,9.331259", \ "9.227437,9.278023,9.274817,9.356135,9.386204,9.472913,9.210187", \ "9.156740,9.177377,9.196787,9.219957,9.177821,9.371675,9.380696", \ "9.156101,9.125147,9.193380,9.184754,9.265094,9.318058,9.363504", \ "9.200657,9.280946,9.390081,9.333303,9.344228,9.408102,9.344906", \ "9.704819,9.730799,9.754081,9.850965,9.804136,9.737449,9.611456", \ "11.095710,11.042470,11.005160,10.985210,10.923290,10.814280,10.427290"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("2.077235,2.140802,2.160635,2.243302,2.330555,2.420073,2.507551", \ "1.998464,2.052202,2.073935,2.140755,2.243144,2.334345,2.431258", \ "1.851821,1.908978,1.973808,2.029309,2.139539,2.243318,2.347365", \ "2.035163,2.035330,2.034089,2.040097,2.119652,2.222815,2.323109", \ "2.614797,2.601957,2.559704,2.525510,2.444878,2.366717,2.421920", \ "3.589109,3.536722,3.482865,3.383175,3.215585,2.978805,2.732843", \ "4.974129,4.913344,4.853421,4.704483,4.442566,4.030527,3.522171"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.535420,3.070830,6.141660,12.283300,24.566700,49.133300"); values ("10.947780,10.974900,10.993120,11.041810,11.037880,11.157760,11.175070", \ "10.857140,10.888170,10.911240,10.948890,10.965960,11.034560,11.056680", \ "10.727080,10.757910,10.786600,10.844020,10.943200,10.890670,10.939710", \ "10.731990,10.759810,10.772370,10.824070,10.784940,10.957200,10.941540", \ "10.844810,10.950070,10.974110,10.992650,10.969480,10.920150,10.853440", \ "11.248820,11.300370,11.345690,11.473530,11.459650,11.469310,11.089670", \ "12.521740,12.537560,12.531390,12.452460,12.495380,12.219640,11.912670"); } } } } /****************************************************************************************** Module : AOI22_X4 Cell Description : Combinational cell (AOI22_X4) with drive strength X4 *******************************************************************************************/ cell (AOI22_X4) { drive_strength : 4; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 130.447551; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 27.859370; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 113.124000; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 30.269356; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 130.647330; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 113.124000; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 198.383020; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 115.533879; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 188.908280; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 30.269361; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 115.533883; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 32.680007; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 188.892990; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 149.449520; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 207.924640; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 207.906050; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 236.655133; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.418618; fall_capacitance : 5.676731; rise_capacitance : 6.418618; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.780931; fall_capacitance : 5.763700; rise_capacitance : 6.780931; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.090127; fall_capacitance : 5.982798; rise_capacitance : 6.090127; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.605098; fall_capacitance : 6.180211; rise_capacitance : 6.605098; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 97.961400; function : "!((A1 & A2) | (B1 & B2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007256,0.008706,0.010332,0.013553,0.019951,0.032703,0.058170", \ "0.008514,0.009987,0.011636,0.014891,0.021328,0.034112,0.059602", \ "0.011770,0.013901,0.016067,0.019859,0.026339,0.039088,0.064568", \ "0.013354,0.016403,0.019527,0.025044,0.034296,0.049041,0.074324", \ "0.012910,0.016889,0.020947,0.028127,0.040240,0.059762,0.089946", \ "0.010153,0.015069,0.020069,0.028924,0.043848,0.067986,0.105682", \ "0.004916,0.010703,0.016634,0.027163,0.044934,0.073644,0.118645"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.011709,0.014389,0.017366,0.023215,0.034755,0.057662,0.103341", \ "0.012762,0.015433,0.018436,0.024357,0.036013,0.059044,0.104818", \ "0.018599,0.021426,0.024210,0.029887,0.041367,0.064346,0.110168", \ "0.025972,0.029913,0.033980,0.041210,0.053333,0.075746,0.121157", \ "0.034473,0.039351,0.044425,0.053586,0.069323,0.094869,0.139459", \ "0.044396,0.050157,0.056157,0.067015,0.085887,0.117181,0.166453", \ "0.055837,0.062465,0.069389,0.081897,0.103634,0.140087,0.198604"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.004058,0.005270,0.006652,0.009415,0.014937,0.025978,0.048062", \ "0.004055,0.005270,0.006651,0.009414,0.014937,0.025978,0.048058", \ "0.006713,0.007692,0.008735,0.010614,0.015140,0.025980,0.048060", \ "0.011222,0.012518,0.013882,0.016347,0.020622,0.028337,0.048062", \ "0.017241,0.018872,0.020569,0.023629,0.028948,0.037836,0.053169", \ "0.024881,0.026838,0.028906,0.032593,0.038914,0.049515,0.066769", \ "0.034178,0.036499,0.038966,0.043334,0.050725,0.062954,0.082956"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.008147,0.010504,0.013178,0.018520,0.029174,0.050456,0.092983", \ "0.008105,0.010483,0.013175,0.018512,0.029166,0.050444,0.093001", \ "0.009910,0.011516,0.013621,0.018448,0.029165,0.050446,0.092987", \ "0.014802,0.016936,0.019174,0.023106,0.030885,0.050430,0.093007", \ "0.020381,0.022966,0.025766,0.030853,0.039535,0.054808,0.092983", \ "0.027356,0.030226,0.033408,0.039348,0.049848,0.067132,0.098453", \ "0.035989,0.039087,0.042575,0.049138,0.061034,0.081345,0.113559"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007266,0.008715,0.010341,0.013562,0.019961,0.032711,0.058177", \ "0.008569,0.010041,0.011690,0.014944,0.021381,0.034165,0.059653", \ "0.011922,0.014045,0.016203,0.019979,0.026451,0.039200,0.064678", \ "0.013361,0.016450,0.019603,0.025151,0.034419,0.049156,0.074445", \ "0.012358,0.016449,0.020609,0.027930,0.040188,0.059810,0.090029", \ "0.008595,0.013705,0.018890,0.028019,0.043292,0.067754,0.105637", \ "0.001875,0.007953,0.014155,0.025105,0.043445,0.072784,0.118275"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.014734,0.018402,0.022478,0.030486,0.046266,0.077572,0.139977", \ "0.015443,0.019102,0.023222,0.031341,0.047295,0.078777,0.141320", \ "0.021364,0.024580,0.028393,0.036217,0.051978,0.083439,0.146086", \ "0.030000,0.034610,0.039388,0.047947,0.063115,0.093919,0.156108", \ "0.040025,0.045677,0.051596,0.062343,0.080925,0.112016,0.173138", \ "0.051890,0.058479,0.065391,0.078012,0.100157,0.137180,0.198622", \ "0.065771,0.073273,0.081159,0.095528,0.120814,0.163705,0.233217"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.004058,0.005270,0.006652,0.009414,0.014938,0.025978,0.048060", \ "0.004056,0.005271,0.006652,0.009415,0.014937,0.025979,0.048058", \ "0.006642,0.007628,0.008675,0.010568,0.015125,0.025980,0.048060", \ "0.011166,0.012468,0.013832,0.016290,0.020564,0.028298,0.048064", \ "0.017272,0.018920,0.020625,0.023688,0.028969,0.037812,0.053138", \ "0.025024,0.027035,0.029132,0.032836,0.039138,0.049638,0.066789", \ "0.034443,0.036845,0.039367,0.043803,0.051223,0.063356,0.083155"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.011399,0.014643,0.018292,0.025514,0.039886,0.068520,0.125737", \ "0.011276,0.014583,0.018265,0.025510,0.039870,0.068532,0.125739", \ "0.011991,0.014674,0.018014,0.025402,0.039873,0.068510,0.125737", \ "0.016999,0.019716,0.022626,0.027827,0.040126,0.068524,0.125743", \ "0.022577,0.025764,0.029237,0.035607,0.046610,0.069879,0.125737", \ "0.029444,0.032943,0.036848,0.044179,0.057231,0.079170,0.127010", \ "0.037945,0.041655,0.045871,0.053886,0.068552,0.093764,0.136910"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007446,0.008898,0.010526,0.013753,0.020163,0.032939,0.058451", \ "0.008752,0.010226,0.011877,0.015136,0.021584,0.034392,0.059927", \ "0.012216,0.014310,0.016444,0.020190,0.026653,0.039426,0.064952", \ "0.013831,0.016874,0.019987,0.025489,0.034706,0.049397,0.074717", \ "0.013065,0.017078,0.021179,0.028430,0.040614,0.060165,0.090330", \ "0.009633,0.014615,0.019715,0.028737,0.043900,0.068257,0.106066", \ "0.003340,0.009248,0.015320,0.026111,0.044287,0.073479,0.118858"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.020179,0.023823,0.027892,0.035905,0.051716,0.083060,0.145464", \ "0.020980,0.024647,0.028755,0.036853,0.052795,0.084288,0.146812", \ "0.026267,0.029736,0.033701,0.041638,0.057467,0.088966,0.151587", \ "0.036838,0.040967,0.045319,0.053197,0.068424,0.099364,0.161584", \ "0.048464,0.053601,0.059057,0.069094,0.086738,0.117303,0.178540", \ "0.061750,0.067752,0.074147,0.086020,0.107164,0.142984,0.203914", \ "0.076998,0.083842,0.091125,0.104641,0.128867,0.170493,0.238624"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.005176,0.006567,0.008112,0.011076,0.016738,0.027820,0.049908", \ "0.005173,0.006567,0.008112,0.011076,0.016738,0.027821,0.049906", \ "0.008370,0.009274,0.010247,0.012191,0.016914,0.027821,0.049907", \ "0.014459,0.015413,0.016502,0.018612,0.022531,0.030108,0.049908", \ "0.022218,0.023279,0.024526,0.026985,0.031633,0.039906,0.054945", \ "0.031791,0.032962,0.034396,0.037237,0.042618,0.052306,0.068815", \ "0.043122,0.044484,0.046143,0.049437,0.055617,0.066660,0.085608"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.014283,0.017526,0.021172,0.028428,0.042848,0.071569,0.128865", \ "0.014247,0.017506,0.021163,0.028423,0.042851,0.071564,0.128869", \ "0.014061,0.017199,0.021011,0.028383,0.042844,0.071568,0.128862", \ "0.018562,0.021244,0.023864,0.029680,0.042789,0.071561,0.128854", \ "0.024278,0.027496,0.030930,0.037196,0.048151,0.072399,0.128852", \ "0.030971,0.034657,0.038641,0.045986,0.058898,0.080800,0.129750", \ "0.039097,0.043125,0.047526,0.055733,0.070438,0.095457,0.138917"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.008581,0.010022,0.011641,0.014853,0.021245,0.033992,0.059456", \ "0.009854,0.011336,0.012990,0.016248,0.022684,0.035466,0.060954", \ "0.012701,0.014531,0.016462,0.020059,0.026662,0.039533,0.065090", \ "0.014709,0.017339,0.020022,0.024756,0.032865,0.046961,0.072770", \ "0.014668,0.018261,0.021891,0.028233,0.038770,0.055807,0.084297", \ "0.012265,0.016860,0.021486,0.029552,0.042887,0.063989,0.097137", \ "0.007327,0.012926,0.018554,0.028383,0.044636,0.070238,0.109508"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.015156,0.017768,0.020699,0.026504,0.038018,0.060918,0.106575", \ "0.016276,0.018916,0.021877,0.027730,0.039304,0.062264,0.107967", \ "0.022355,0.024836,0.027685,0.033405,0.044859,0.067754,0.113443", \ "0.031678,0.035155,0.038810,0.045429,0.056905,0.079310,0.124608", \ "0.041982,0.046328,0.050933,0.059385,0.074161,0.098619,0.143057", \ "0.053855,0.058989,0.064425,0.074452,0.092222,0.122196,0.170209", \ "0.067631,0.073512,0.079739,0.091213,0.111630,0.146532,0.203380"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.004058,0.005271,0.006652,0.009415,0.014936,0.025980,0.048063", \ "0.004058,0.005269,0.006652,0.009415,0.014937,0.025979,0.048062", \ "0.005321,0.006369,0.007577,0.009959,0.015054,0.025979,0.048065", \ "0.008540,0.009607,0.010759,0.013027,0.017610,0.027127,0.048094", \ "0.013187,0.014438,0.015769,0.018233,0.022804,0.031776,0.050538", \ "0.019002,0.020488,0.022076,0.024959,0.030049,0.039177,0.057121", \ "0.025844,0.027593,0.029493,0.032928,0.038825,0.048805,0.066784"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.010213,0.012587,0.015274,0.020636,0.031328,0.052660,0.095262", \ "0.010207,0.012583,0.015271,0.020632,0.031325,0.052675,0.095262", \ "0.010895,0.012887,0.015307,0.020607,0.031325,0.052675,0.095263", \ "0.015817,0.017917,0.020100,0.023952,0.032425,0.052658,0.095277", \ "0.021145,0.023871,0.026716,0.031801,0.040416,0.056222,0.095259", \ "0.027091,0.030357,0.033805,0.040037,0.050705,0.067980,0.100026", \ "0.034012,0.037747,0.041709,0.048914,0.061451,0.082084,0.114391"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.008591,0.010032,0.011650,0.014863,0.021255,0.034001,0.059465", \ "0.009908,0.011390,0.013044,0.016301,0.022735,0.035518,0.061005", \ "0.012837,0.014664,0.016592,0.020186,0.026781,0.039649,0.065203", \ "0.014840,0.017482,0.020171,0.024910,0.033017,0.047105,0.072908", \ "0.014538,0.018179,0.021856,0.028260,0.038860,0.055931,0.084429", \ "0.011473,0.016191,0.020929,0.029162,0.042696,0.063972,0.097211", \ "0.005414,0.011236,0.017063,0.027196,0.043839,0.069840,0.109399"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.019571,0.023134,0.027138,0.035069,0.050800,0.082094,0.144475", \ "0.020386,0.023994,0.028043,0.036047,0.051869,0.083250,0.145695", \ "0.025965,0.029383,0.033297,0.041151,0.056850,0.088181,0.150643", \ "0.036735,0.040825,0.045145,0.052996,0.068117,0.098894,0.160914", \ "0.048733,0.053815,0.059221,0.069172,0.086688,0.117119,0.178149", \ "0.062585,0.068523,0.074855,0.086599,0.107537,0.143107,0.203812", \ "0.078725,0.085478,0.092654,0.105989,0.129914,0.171145,0.238866"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.004059,0.005271,0.006653,0.009414,0.014936,0.025978,0.048063", \ "0.004057,0.005270,0.006653,0.009414,0.014937,0.025978,0.048061", \ "0.005287,0.006336,0.007551,0.009939,0.015046,0.025980,0.048061", \ "0.008468,0.009538,0.010700,0.012971,0.017569,0.027107,0.048092", \ "0.013096,0.014368,0.015710,0.018186,0.022750,0.031737,0.050516", \ "0.018940,0.020451,0.022062,0.024972,0.030066,0.039172,0.057101", \ "0.025850,0.027640,0.029570,0.033036,0.038958,0.048925,0.066820"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.014289,0.017527,0.021179,0.028433,0.042859,0.071604,0.128902", \ "0.014243,0.017500,0.021165,0.028432,0.042858,0.071611,0.128912", \ "0.014135,0.017220,0.020999,0.028389,0.042852,0.071592,0.128908", \ "0.018541,0.021237,0.023926,0.029755,0.042837,0.071593,0.128901", \ "0.024008,0.027297,0.030776,0.037097,0.048159,0.072455,0.128900", \ "0.030048,0.033922,0.038057,0.045585,0.058672,0.080767,0.129810", \ "0.036955,0.041331,0.046024,0.054638,0.069784,0.095118,0.138894"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.008772,0.010214,0.011835,0.015054,0.021457,0.034228,0.059738", \ "0.010093,0.011576,0.013231,0.016492,0.022939,0.035745,0.061280", \ "0.013076,0.014887,0.016807,0.020391,0.026985,0.039876,0.065478", \ "0.015213,0.017816,0.020476,0.025181,0.033262,0.047345,0.073183", \ "0.015095,0.018675,0.022305,0.028650,0.039190,0.056221,0.084722", \ "0.012256,0.016891,0.021563,0.029712,0.043153,0.064352,0.097555", \ "0.006502,0.012206,0.017933,0.027944,0.044461,0.070346,0.109830"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.024943,0.028514,0.032529,0.040482,0.056244,0.087560,0.149952", \ "0.025847,0.029449,0.033497,0.041504,0.057336,0.088725,0.151201", \ "0.031159,0.034667,0.038640,0.046554,0.062304,0.093655,0.156142", \ "0.042827,0.046574,0.050581,0.058119,0.073428,0.104299,0.166368", \ "0.056362,0.061056,0.066100,0.075479,0.092218,0.122397,0.183573", \ "0.071556,0.077040,0.082985,0.094121,0.114200,0.148712,0.209085", \ "0.088838,0.095126,0.101872,0.114557,0.137582,0.177680,0.244165"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.005175,0.006567,0.008112,0.011076,0.016738,0.027820,0.049907", \ "0.005177,0.006567,0.008112,0.011076,0.016738,0.027822,0.049909", \ "0.006689,0.007817,0.009090,0.011586,0.016842,0.027822,0.049906", \ "0.010807,0.011697,0.012747,0.014929,0.019451,0.028934,0.049937", \ "0.016664,0.017554,0.018596,0.020703,0.024937,0.033685,0.052344", \ "0.023836,0.024794,0.025951,0.028272,0.032752,0.041374,0.059027", \ "0.032209,0.033249,0.034588,0.037254,0.042302,0.051513,0.068929"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.017097,0.020351,0.024031,0.031333,0.045823,0.074640,0.132039", \ "0.017077,0.020346,0.024027,0.031330,0.045819,0.074637,0.132060", \ "0.016875,0.020231,0.023968,0.031316,0.045818,0.074627,0.132055", \ "0.020183,0.022632,0.025604,0.031922,0.045691,0.074620,0.132035", \ "0.026035,0.029188,0.032562,0.038731,0.049921,0.075090,0.132063", \ "0.032417,0.036150,0.040184,0.047545,0.060393,0.082550,0.132604", \ "0.039482,0.043740,0.048370,0.056875,0.071810,0.096825,0.140980"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.012268,0.013900,0.015697,0.019182,0.025927,0.039057,0.064875", \ "0.013510,0.015148,0.016949,0.020440,0.027194,0.040330,0.066152", \ "0.018560,0.020249,0.022015,0.025413,0.032118,0.045229,0.071034", \ "0.023904,0.026329,0.028878,0.033525,0.041624,0.055162,0.080753", \ "0.027144,0.030313,0.033622,0.039677,0.050316,0.068101,0.096572", \ "0.028210,0.032080,0.036132,0.043558,0.056644,0.078675,0.114186", \ "0.026971,0.031501,0.036281,0.045058,0.060549,0.086709,0.129118"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.021235,0.024057,0.027203,0.033400,0.045649,0.069986,0.118512", \ "0.022597,0.025466,0.028667,0.034955,0.047321,0.071770,0.120382", \ "0.028489,0.031309,0.034470,0.040733,0.053136,0.077706,0.126478", \ "0.037028,0.040591,0.044362,0.051283,0.063748,0.088251,0.137053", \ "0.045187,0.049807,0.054658,0.063441,0.078787,0.104930,0.153624", \ "0.054460,0.060088,0.065958,0.076553,0.094989,0.125977,0.177525", \ "0.065970,0.072530,0.079341,0.091618,0.112917,0.148633,0.207386"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007791,0.009026,0.010426,0.013208,0.018752,0.029828,0.051973", \ "0.007775,0.009018,0.010420,0.013205,0.018752,0.029828,0.051974", \ "0.008717,0.009662,0.010813,0.013296,0.018729,0.029828,0.051974", \ "0.013380,0.014600,0.015888,0.018233,0.022396,0.030955,0.051978", \ "0.019275,0.020856,0.022539,0.025586,0.030817,0.039562,0.055595", \ "0.026352,0.028321,0.030428,0.034216,0.040687,0.051321,0.068481", \ "0.034636,0.037071,0.039645,0.044224,0.051970,0.064589,0.084721"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.010195,0.012624,0.015386,0.020902,0.031904,0.053800,0.097537", \ "0.010214,0.012630,0.015389,0.020902,0.031921,0.053816,0.097514", \ "0.010355,0.012705,0.015426,0.020911,0.031893,0.053798,0.097517", \ "0.014081,0.016061,0.018188,0.022508,0.032238,0.053810,0.097527", \ "0.019927,0.022070,0.024460,0.029068,0.037752,0.055592,0.097526", \ "0.027312,0.029503,0.032044,0.037019,0.046463,0.063974,0.100016", \ "0.035896,0.038083,0.040736,0.046026,0.056229,0.075195,0.109679"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.010044,0.011778,0.013665,0.017278,0.024170,0.037435,0.063350", \ "0.011315,0.013034,0.014911,0.018516,0.025406,0.038672,0.064587", \ "0.016338,0.018192,0.020116,0.023580,0.030329,0.043537,0.069427", \ "0.020789,0.023436,0.026190,0.031139,0.039619,0.053503,0.079134", \ "0.023016,0.026468,0.030035,0.036488,0.047629,0.065960,0.094921", \ "0.022909,0.027134,0.031498,0.039405,0.053136,0.075887,0.112068", \ "0.020373,0.025307,0.030450,0.039789,0.056053,0.083126,0.126408"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.024144,0.027755,0.031782,0.039723,0.055445,0.086710,0.149091", \ "0.025374,0.029039,0.033134,0.041193,0.057063,0.088472,0.150964", \ "0.031017,0.034607,0.038646,0.046666,0.062580,0.094142,0.156833", \ "0.039157,0.043339,0.047829,0.056150,0.071952,0.103414,0.166136", \ "0.047118,0.052226,0.057640,0.067614,0.085573,0.117627,0.180166", \ "0.056477,0.062532,0.068877,0.080430,0.100984,0.136972,0.200503", \ "0.068267,0.075249,0.082511,0.095648,0.118720,0.158653,0.227632"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007257,0.008517,0.009928,0.012710,0.018217,0.029217,0.051281", \ "0.007107,0.008417,0.009858,0.012671,0.018202,0.029214,0.051280", \ "0.008617,0.009511,0.010533,0.012847,0.018092,0.029202,0.051278", \ "0.013397,0.014600,0.015867,0.018174,0.022285,0.030540,0.051278", \ "0.019476,0.021026,0.022676,0.025646,0.030789,0.039437,0.055195", \ "0.026843,0.028768,0.030829,0.034521,0.040830,0.051290,0.068323", \ "0.035494,0.037887,0.040408,0.044880,0.052418,0.064781,0.084678"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.011612,0.014756,0.018350,0.025535,0.039888,0.068511,0.125738", \ "0.011635,0.014770,0.018352,0.025527,0.039878,0.068532,0.125739", \ "0.011752,0.014836,0.018384,0.025533,0.039878,0.068530,0.125743", \ "0.014709,0.017394,0.020312,0.026499,0.039971,0.068530,0.125746", \ "0.019470,0.022323,0.025529,0.031790,0.043753,0.069320,0.125747", \ "0.025929,0.028790,0.032076,0.038559,0.051170,0.075199,0.126704", \ "0.033835,0.036670,0.040013,0.046657,0.059678,0.084844,0.133044"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.010289,0.012013,0.013895,0.017503,0.024399,0.037681,0.063643", \ "0.011556,0.013267,0.015140,0.018742,0.025635,0.038918,0.064882", \ "0.016615,0.018446,0.020348,0.023797,0.030557,0.043784,0.069717", \ "0.021225,0.023835,0.026557,0.031466,0.039901,0.053746,0.079423", \ "0.023661,0.027051,0.030574,0.036967,0.048047,0.066318,0.095219", \ "0.023822,0.027959,0.032258,0.040084,0.053724,0.076391,0.112487", \ "0.021651,0.026448,0.031492,0.040720,0.056854,0.083803,0.126979"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.029521,0.033123,0.037155,0.045125,0.060894,0.092215,0.154619", \ "0.030890,0.034535,0.038616,0.046669,0.062553,0.093992,0.156493", \ "0.036440,0.040049,0.044110,0.052162,0.068108,0.099698,0.162378", \ "0.045465,0.049371,0.053588,0.061614,0.077449,0.108968,0.171692", \ "0.054870,0.059554,0.064601,0.074082,0.091458,0.123147,0.185709", \ "0.065621,0.071151,0.077011,0.087903,0.107686,0.142905,0.206028", \ "0.078681,0.085111,0.091767,0.104082,0.126209,0.165225,0.233361"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.009341,0.010561,0.011945,0.014701,0.020173,0.031123,0.053154", \ "0.009187,0.010457,0.011875,0.014663,0.020158,0.031121,0.053155", \ "0.010589,0.011411,0.012467,0.014810,0.020049,0.031111,0.053153", \ "0.016489,0.017405,0.018441,0.020448,0.024227,0.032425,0.053152", \ "0.023850,0.024974,0.026268,0.028756,0.033357,0.041481,0.057040", \ "0.032572,0.033946,0.035535,0.038565,0.044134,0.053867,0.070302", \ "0.042685,0.044400,0.046319,0.049948,0.056522,0.067945,0.087066"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.014364,0.017566,0.021199,0.028441,0.042862,0.071593,0.128906", \ "0.014367,0.017569,0.021202,0.028442,0.042857,0.071594,0.128905", \ "0.014401,0.017590,0.021209,0.028441,0.042863,0.071591,0.128907", \ "0.016514,0.019206,0.022347,0.028917,0.042888,0.071599,0.128907", \ "0.021122,0.024105,0.027399,0.033759,0.045875,0.072085,0.128901", \ "0.027145,0.030250,0.033704,0.040393,0.053185,0.077371,0.129619", \ "0.034602,0.037763,0.041336,0.048282,0.061606,0.086972,0.135515"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.013554,0.015182,0.016976,0.020462,0.027208,0.040338,0.066158", \ "0.014863,0.016495,0.018296,0.021786,0.028539,0.041676,0.067501", \ "0.018665,0.020371,0.022216,0.025728,0.032522,0.045705,0.071568", \ "0.023508,0.025608,0.027845,0.032002,0.039564,0.053291,0.079268", \ "0.027068,0.029836,0.032738,0.038031,0.047308,0.063226,0.091049", \ "0.028494,0.032016,0.035664,0.042292,0.053836,0.073085,0.104795", \ "0.027574,0.031873,0.036290,0.044310,0.058257,0.081394,0.118483"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.024794,0.027561,0.030672,0.036840,0.049075,0.073404,0.121906", \ "0.026376,0.029169,0.032304,0.038506,0.050782,0.075150,0.123678", \ "0.032489,0.035275,0.038408,0.044618,0.056922,0.081342,0.129933", \ "0.042171,0.045434,0.048936,0.055432,0.067735,0.092139,0.140747", \ "0.051984,0.056162,0.060610,0.068813,0.083469,0.108943,0.157496", \ "0.063039,0.068119,0.073463,0.083312,0.100785,0.130775,0.181531", \ "0.076694,0.082583,0.088731,0.100033,0.120092,0.154487,0.212024"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007784,0.009021,0.010422,0.013207,0.018752,0.029828,0.051974", \ "0.007780,0.009018,0.010420,0.013206,0.018752,0.029827,0.051972", \ "0.008178,0.009322,0.010641,0.013306,0.018754,0.029829,0.051975", \ "0.010629,0.011753,0.013014,0.015500,0.020419,0.030458,0.051984", \ "0.014901,0.016168,0.017536,0.020100,0.024926,0.034392,0.053795", \ "0.020310,0.021823,0.023457,0.026435,0.031714,0.041247,0.059805", \ "0.026606,0.028428,0.030390,0.033934,0.040045,0.050417,0.069009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.012309,0.014770,0.017557,0.023104,0.034143,0.056090,0.099866", \ "0.012310,0.014770,0.017561,0.023106,0.034144,0.056104,0.099848", \ "0.012356,0.014797,0.017570,0.023108,0.034150,0.056099,0.099849", \ "0.015250,0.017237,0.019444,0.024119,0.034272,0.056088,0.099852", \ "0.020873,0.023134,0.025593,0.030267,0.038994,0.057481,0.099850", \ "0.027521,0.030037,0.032790,0.038003,0.047650,0.065266,0.101978", \ "0.034719,0.037480,0.040554,0.046378,0.057116,0.076403,0.111116"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.011343,0.013072,0.014954,0.018561,0.025451,0.038715,0.064632", \ "0.012645,0.014369,0.016250,0.019856,0.026748,0.040014,0.065935", \ "0.016419,0.018221,0.020159,0.023796,0.030701,0.044005,0.069958", \ "0.020758,0.023050,0.025451,0.029822,0.037618,0.051564,0.077641", \ "0.023381,0.026440,0.029595,0.035247,0.044964,0.061266,0.089358", \ "0.023645,0.027545,0.031523,0.038643,0.050809,0.070697,0.102877", \ "0.021399,0.026145,0.030960,0.039588,0.054345,0.078348,0.116183"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.028797,0.032335,0.036316,0.044218,0.059916,0.091174,0.153519", \ "0.030302,0.033873,0.037884,0.045830,0.061579,0.092886,0.155269", \ "0.036200,0.039761,0.043768,0.051722,0.067509,0.098886,0.161342", \ "0.045289,0.049178,0.053400,0.061390,0.077131,0.108467,0.170944", \ "0.054692,0.059376,0.064417,0.073868,0.091209,0.122819,0.185187", \ "0.065701,0.071196,0.077030,0.087877,0.107587,0.142699,0.205670", \ "0.079537,0.085853,0.092436,0.104621,0.126542,0.165316,0.233209"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.007196,0.008468,0.009889,0.012683,0.018204,0.029213,0.051280", \ "0.007135,0.008424,0.009856,0.012665,0.018196,0.029211,0.051280", \ "0.007681,0.008835,0.010130,0.012779,0.018185,0.029215,0.051280", \ "0.010446,0.011527,0.012749,0.015162,0.019995,0.029915,0.051302", \ "0.014934,0.016162,0.017492,0.019986,0.024692,0.033992,0.053223", \ "0.020546,0.022015,0.023606,0.026508,0.031662,0.041018,0.059363", \ "0.027085,0.028853,0.030771,0.034230,0.040195,0.050375,0.068710"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.014364,0.017561,0.021191,0.028427,0.042845,0.071570,0.128857", \ "0.014369,0.017564,0.021193,0.028429,0.042841,0.071571,0.128861", \ "0.014400,0.017583,0.021206,0.028431,0.042845,0.071576,0.128868", \ "0.016532,0.019243,0.022383,0.028939,0.042874,0.071561,0.128864", \ "0.021081,0.024083,0.027381,0.033738,0.045906,0.072086,0.128853", \ "0.026748,0.029965,0.033499,0.040272,0.053126,0.077378,0.129596", \ "0.033242,0.036659,0.040452,0.047687,0.061308,0.086845,0.135502"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.011587,0.013306,0.015183,0.018787,0.025680,0.038962,0.064924", \ "0.012888,0.014604,0.016479,0.020082,0.026976,0.040261,0.066226", \ "0.016677,0.018465,0.020394,0.024021,0.030931,0.044254,0.070249", \ "0.021104,0.023371,0.025749,0.030094,0.037872,0.051815,0.077932", \ "0.023881,0.026894,0.030012,0.035624,0.045288,0.061561,0.089661", \ "0.024346,0.028175,0.032102,0.039160,0.051255,0.071073,0.103228", \ "0.022350,0.026991,0.031738,0.040278,0.054934,0.078849,0.116612"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.034137,0.037691,0.041690,0.049623,0.065363,0.096656,0.159039", \ "0.035698,0.039272,0.043293,0.051257,0.067038,0.098372,0.160789", \ "0.041594,0.045166,0.049188,0.057168,0.072986,0.104383,0.166880", \ "0.051197,0.054835,0.058867,0.066822,0.082599,0.113971,0.176471", \ "0.061842,0.066224,0.070995,0.080070,0.096938,0.128329,0.190730", \ "0.074028,0.079146,0.084630,0.094978,0.114083,0.148532,0.211183", \ "0.088936,0.094792,0.100960,0.112546,0.133753,0.171748,0.238871"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.009274,0.010509,0.011905,0.014674,0.020160,0.031120,0.053152", \ "0.009212,0.010465,0.011873,0.014656,0.020152,0.031119,0.053151", \ "0.009742,0.010823,0.012116,0.014761,0.020139,0.031122,0.053150", \ "0.012922,0.013867,0.014979,0.017268,0.021968,0.031815,0.053176", \ "0.018208,0.019162,0.020264,0.022470,0.026896,0.035964,0.055084", \ "0.024814,0.025891,0.027154,0.029611,0.034262,0.043206,0.061292", \ "0.032451,0.033724,0.035214,0.038079,0.043358,0.052906,0.070809"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.017119,0.020367,0.024037,0.031334,0.045820,0.074624,0.132033", \ "0.017122,0.020367,0.024037,0.031333,0.045819,0.074625,0.132036", \ "0.017136,0.020378,0.024045,0.031334,0.045817,0.074627,0.132039", \ "0.018452,0.021333,0.024674,0.031530,0.045837,0.074625,0.132032", \ "0.023039,0.026052,0.029375,0.035769,0.048171,0.074930,0.132038", \ "0.028636,0.031870,0.035433,0.042279,0.055215,0.079670,0.132536", \ "0.035063,0.038529,0.042343,0.049657,0.063402,0.089022,0.138020"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("0.988424,1.021934,1.056484,1.084044,1.117628,1.137906,1.147236", \ "0.788319,0.868723,0.954089,1.034930,1.114429,1.182463,1.221925", \ "0.916672,0.859201,0.865393,0.941662,1.031744,1.138499,1.219240", \ "2.201253,1.991854,1.832946,1.570327,1.322789,1.300293,1.316362", \ "4.406467,4.112854,3.844088,3.392216,2.768268,2.096610,1.810559", \ "7.375927,7.189301,6.909945,6.354388,5.391812,4.147676,3.021547", \ "11.315340,11.170890,10.934170,10.421830,9.282717,7.474757,5.442099"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("10.217290,10.346220,10.480430,10.629050,10.667090,10.766260,10.651070", \ "9.904237,10.012310,10.146510,10.340510,10.441050,10.521990,10.525770", \ "10.123510,10.147320,10.085660,10.197860,10.115720,10.133610,10.490140", \ "11.039960,11.322910,11.222600,11.059120,10.885610,10.514710,10.502120", \ "12.895550,12.892790,12.883990,12.826850,12.660270,12.138380,11.451970", \ "16.440630,16.213250,15.984870,15.681580,15.245530,14.641590,13.185300", \ "21.321450,20.955860,20.683950,20.054970,19.162980,18.141410,16.792390"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("1.067300,1.068071,1.069985,1.093096,1.129277,1.156054,1.167706", \ "0.933458,0.992182,1.037624,1.101202,1.176511,1.240891,1.289938", \ "1.098620,1.028655,1.048612,1.083432,1.184715,1.277833,1.362326", \ "2.226444,2.053241,1.914385,1.679959,1.466409,1.449552,1.470680", \ "4.078180,3.905848,3.682353,3.298951,2.751083,2.156308,1.922847", \ "6.733529,6.569497,6.346471,5.916063,5.086786,4.005529,3.000502", \ "10.180390,10.017640,9.875816,9.457286,8.552472,6.978588,5.175825"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("10.135430,10.259310,10.385860,10.492260,10.511310,10.455130,10.179340", \ "9.723408,9.927287,10.007190,10.129920,10.247110,10.177330,10.033940", \ "9.846332,9.955704,9.972098,10.004030,9.992051,9.904927,10.473190", \ "11.100700,10.918360,10.898920,10.703580,10.562270,10.537810,9.951858", \ "12.496140,12.590730,12.663050,12.532410,12.149930,11.713270,11.226370", \ "15.570390,15.377500,15.222620,15.042000,14.742890,14.017050,12.561140", \ "19.981620,19.631560,19.353670,18.886200,18.205640,17.386350,15.838300"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("1.002218,1.042281,1.070145,1.092501,1.129850,1.150084,1.170111", \ "0.886466,0.962678,1.028953,1.107020,1.183936,1.248654,1.292232", \ "0.989296,1.003645,1.035061,1.089346,1.188635,1.281543,1.366774", \ "2.132631,1.962170,1.816740,1.603661,1.461096,1.453603,1.476801", \ "4.131851,3.884726,3.626296,3.242440,2.707797,2.152369,1.923753", \ "6.971979,6.715996,6.417798,5.912024,5.049806,3.965614,3.000867", \ "10.610640,10.374730,10.060470,9.557427,8.525146,6.942122,5.154050"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("13.415310,13.496110,13.568820,13.681110,13.745560,13.952740,13.874050", \ "13.059970,13.161380,13.233540,13.482800,13.556510,13.769310,13.733230", \ "13.058240,13.101200,13.143580,13.183860,13.322670,13.509550,13.519980", \ "14.065580,13.930800,13.994390,13.930150,13.737880,13.725700,13.629960", \ "15.489690,15.690180,15.790360,15.629920,15.318840,14.932280,14.584380", \ "18.269800,18.194500,18.148100,18.029760,17.732550,17.010680,16.210810", \ "22.512210,22.249070,22.061220,21.769000,21.139210,20.267070,18.957970"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("1.019928,1.040034,1.068564,1.101940,1.115635,1.146183,1.154061", \ "0.783749,0.877066,0.953998,1.038572,1.115889,1.174765,1.223216", \ "0.593734,0.591042,0.649702,0.776484,0.918062,1.069812,1.188726", \ "1.328625,1.227498,1.159145,1.033399,0.900829,1.012029,1.141752", \ "2.824863,2.673261,2.506396,2.221427,1.840257,1.415208,1.333963", \ "5.071189,4.884293,4.694063,4.331475,3.682520,2.843189,2.055972", \ "8.019069,7.883280,7.702509,7.300726,6.531041,5.282643,3.808042"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("13.079690,13.219610,13.211250,13.313480,13.222450,13.304570,13.527120", \ "12.823890,12.927690,13.022450,13.035820,13.173960,13.285510,12.733840", \ "13.024780,13.018560,13.085730,13.064380,13.197690,13.022670,13.363490", \ "14.154600,14.191770,14.150430,14.017490,13.910870,13.394330,13.669450", \ "15.825390,15.923410,15.958620,15.941770,15.734230,14.839790,14.511130", \ "19.184230,19.077580,19.006060,18.760460,18.481490,17.853550,16.678010", \ "23.892330,23.732390,23.564290,23.252970,22.640210,21.802370,20.078780"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("1.075904,1.094221,1.095411,1.103274,1.141915,1.160248,1.161786", \ "0.894371,0.977510,1.028837,1.110336,1.186897,1.242151,1.292814", \ "0.748285,0.745256,0.816346,0.924273,1.066447,1.215020,1.323919", \ "1.404182,1.325164,1.268368,1.183345,1.074264,1.190009,1.311284", \ "2.688657,2.572784,2.430709,2.221221,1.909077,1.550854,1.497193", \ "4.610809,4.448153,4.364018,4.072540,3.563672,2.842277,2.137740", \ "7.106235,7.020692,6.935785,6.666894,6.060601,5.006122,3.728449"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("13.062580,13.108000,13.189880,13.243850,13.216580,13.286670,13.467750", \ "12.710430,12.875790,12.932680,13.020370,13.046180,12.973470,13.277400", \ "12.829790,12.938830,12.961600,12.990960,13.020960,13.188950,13.178950", \ "13.929810,13.877590,13.820590,13.814540,13.625320,13.523200,13.338830", \ "15.533540,15.647490,15.810450,15.655430,15.269710,14.838690,14.396620", \ "18.373080,18.313010,18.312070,18.196810,18.046780,16.939350,16.134460", \ "22.563250,22.421430,22.259200,21.961280,21.490680,20.499290,19.018580"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("1.052315,1.072179,1.086376,1.114398,1.140478,1.152570,1.169777", \ "0.857101,0.971798,1.030439,1.103998,1.188153,1.246900,1.292894", \ "0.653362,0.723028,0.810208,0.915034,1.077096,1.215431,1.329244", \ "1.325239,1.271023,1.210070,1.115199,1.060963,1.181527,1.313580", \ "2.668956,2.562494,2.427400,2.182265,1.869541,1.523928,1.497625", \ "4.778552,4.590656,4.431888,4.060091,3.533705,2.806014,2.137111", \ "7.456027,7.294334,7.134509,6.732026,6.095547,4.997080,3.709832"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("16.195030,16.354540,16.392860,16.398030,16.386190,16.758760,16.508280", \ "15.989200,16.086060,16.108500,16.194490,16.214840,16.557110,16.726850", \ "16.037500,16.080700,16.110800,16.171370,16.286130,16.175230,16.172020", \ "16.949490,16.928800,16.887520,16.945500,16.882700,16.588790,16.564500", \ "18.655170,18.854520,18.802780,18.615220,18.215090,17.813250,17.429190", \ "21.322440,21.240570,21.289210,21.295530,20.963190,20.120380,19.486110", \ "25.322710,25.248620,25.163700,24.975540,24.639180,23.838610,22.369680"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("4.622203,4.699553,4.785240,4.903919,5.069364,5.223091,5.386647", \ "4.450157,4.560794,4.654737,4.755287,4.935869,5.095985,5.262500", \ "4.270507,4.427018,4.514772,4.636899,4.800703,4.977790,5.164607", \ "5.141305,5.076300,5.021473,4.945091,5.004317,5.119659,5.243506", \ "6.962732,6.798677,6.701187,6.493948,6.169937,5.831219,5.733639", \ "9.763743,9.617800,9.445100,9.088329,8.525679,7.704235,6.901286", \ "13.565770,13.469120,13.295610,12.893430,12.113140,10.824240,9.231949"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("17.091550,17.251430,17.304780,17.290080,17.414130,17.293470,17.365410", \ "16.743650,16.867140,17.030790,17.140830,17.252480,17.378890,17.122250", \ "16.462170,16.550550,16.569990,16.692750,16.870090,16.872600,17.130270", \ "16.461330,16.488170,16.533490,16.578360,16.463500,16.695980,16.776530", \ "16.547080,16.691140,16.773380,16.989710,16.950430,16.937020,16.771180", \ "18.114700,18.042030,17.956240,17.855700,17.922040,17.767890,17.418910", \ "21.373150,21.092330,20.942140,20.547390,20.016710,19.944280,19.029470"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("3.904620,4.105188,4.193656,4.404544,4.594293,4.808298,5.007523", \ "3.776201,3.904073,4.034992,4.201563,4.422116,4.639669,4.843084", \ "3.646961,3.775807,3.879500,4.046073,4.246983,4.480408,4.696000", \ "4.559501,4.497074,4.471537,4.385917,4.463832,4.596851,4.743251", \ "6.367796,6.235166,6.153445,5.939276,5.614399,5.261151,5.185718", \ "9.143997,8.972260,8.824628,8.477299,7.877455,7.074936,6.291681", \ "12.813560,12.708040,12.530530,12.101380,11.341280,10.072100,8.514171"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("15.672610,15.746260,15.805980,15.881880,15.847900,15.946100,15.989630", \ "15.244040,15.437640,15.504830,15.645730,15.650160,15.561120,15.849540", \ "14.981700,15.043930,15.089380,15.235670,15.415860,15.594570,15.707990", \ "14.883480,14.945110,15.003980,15.088770,15.184160,14.946940,15.430850", \ "14.946640,15.175240,15.337320,15.454510,15.413730,15.154910,15.491110", \ "16.354730,16.281110,16.236580,16.295520,16.495400,15.866250,15.948090", \ "19.459240,19.265210,18.982610,18.682270,18.348240,18.162910,17.396710"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("4.124332,4.294935,4.359868,4.473694,4.645782,4.847481,5.023605", \ "3.956313,4.085236,4.175132,4.318775,4.469489,4.675055,4.855672", \ "3.863854,3.931215,3.997743,4.144381,4.315736,4.511529,4.705153", \ "4.607339,4.560790,4.486598,4.446419,4.511321,4.630781,4.754999", \ "6.468075,6.299986,6.195707,5.946749,5.611098,5.297373,5.200170", \ "9.290904,9.096937,8.897275,8.479746,7.896103,7.068343,6.303790", \ "13.088590,12.918370,12.680010,12.188970,11.361260,10.058370,8.491100"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("18.821620,18.884250,18.974780,19.097320,19.122310,19.088530,19.311550", \ "18.557630,18.640740,18.700140,18.858420,18.990020,19.183260,19.125420", \ "18.156740,18.226430,18.355760,18.509360,18.554410,18.736500,18.991110", \ "18.031950,18.181620,18.223370,18.272360,18.317200,18.570630,18.601950", \ "18.245070,18.412760,18.618870,18.600350,18.516400,18.563030,18.495990", \ "19.303090,19.317460,19.428120,19.586170,19.580150,19.428340,19.037410", \ "22.133980,22.041180,21.934600,21.593660,21.517340,21.296670,20.564860"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("4.627216,4.739456,4.788462,4.922117,5.074358,5.224724,5.387939", \ "4.496837,4.554211,4.665975,4.786615,4.943837,5.105084,5.266217", \ "4.238150,4.320328,4.417673,4.581531,4.756851,4.956954,5.151583", \ "4.626583,4.617539,4.612510,4.584858,4.713388,4.912227,5.124170", \ "5.706015,5.694047,5.643149,5.561725,5.409987,5.230951,5.326881", \ "7.692541,7.610260,7.496696,7.329153,6.983579,6.505336,6.000082", \ "10.428980,10.324100,10.206910,9.978076,9.523696,8.699995,7.654434"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("19.869650,20.022730,20.060860,20.068640,20.039430,19.964720,20.199470", \ "19.711870,19.825260,19.875810,19.822850,19.811320,20.142140,20.253930", \ "19.563050,19.521060,19.569290,19.723830,19.670310,19.752980,19.488980", \ "19.532790,19.551500,19.614130,19.672850,19.739800,19.538640,19.718560", \ "19.665890,19.725270,20.006610,20.061100,19.885250,19.986520,19.697620", \ "20.899410,20.954900,20.922380,20.976730,21.150900,21.058150,20.510130", \ "23.887440,23.841590,23.688970,23.544370,23.173840,23.139100,22.421000"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("3.921835,4.106043,4.205714,4.387084,4.602630,4.824156,5.011938", \ "3.766817,3.926226,4.047285,4.211655,4.442307,4.643175,4.848915", \ "3.550813,3.677372,3.782471,3.982048,4.234934,4.458789,4.684738", \ "4.000602,4.054497,4.070010,4.044684,4.191101,4.418530,4.639863", \ "5.153137,5.128282,5.103200,5.021321,4.906696,4.716288,4.839348", \ "7.089843,6.992830,6.928190,6.722121,6.421429,5.972589,5.468008", \ "9.693239,9.628214,9.569042,9.304147,8.851305,8.063285,7.063130"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("18.427890,18.477740,18.594290,18.643620,18.571190,18.621470,18.788440", \ "18.222340,18.300840,18.416310,18.505260,18.549210,18.499930,18.703690", \ "18.070650,18.122360,18.156460,18.284130,18.325470,18.443640,18.386410", \ "18.061610,18.080200,18.159980,18.198380,18.314610,18.408340,18.364510", \ "18.071730,18.357210,18.510250,18.503040,18.486750,18.468900,18.345410", \ "19.259890,19.289610,19.328840,19.549700,19.699920,19.305060,18.861170", \ "21.998920,21.937740,21.898840,21.723930,21.426700,21.475860,20.554240"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("4.198583,4.291290,4.363248,4.503637,4.667047,4.840110,5.020070", \ "3.946935,4.116146,4.190076,4.327397,4.489391,4.678373,4.857676", \ "3.745283,3.838132,3.959255,4.097264,4.272789,4.496718,4.694642", \ "4.133359,4.107521,4.087987,4.088300,4.259461,4.449209,4.650236", \ "5.254572,5.224660,5.150240,5.068809,4.906628,4.751398,4.850768", \ "7.196676,7.098264,7.004750,6.790079,6.446156,5.968606,5.481639", \ "9.963197,9.853352,9.720978,9.402561,8.890844,8.059166,7.047710"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.061290,6.122590,12.245200,24.490300,48.980700,97.961400"); values ("21.656190,21.705430,21.738110,21.744120,21.847060,22.004970,21.963720", \ "21.474100,21.547200,21.574520,21.726050,21.614000,21.759260,21.726930", \ "21.220290,21.277780,21.378040,21.532460,21.535790,21.607930,21.855890", \ "21.237160,21.276470,21.312120,21.433440,21.499380,21.462790,21.773070", \ "21.433970,21.694800,21.655310,21.686390,21.622670,21.547460,21.908790", \ "22.331040,22.411520,22.498700,22.711080,22.725070,22.724590,22.516750", \ "24.923340,24.921130,24.894790,24.833510,24.544090,24.516960,23.768430"); } } } } /****************************************************************************************** Module : AOI211_X1 Cell Description : Combinational cell (AOI211_X1) with drive strength X1 *******************************************************************************************/ cell (AOI211_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 34.565711; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 23.680690; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 44.991650; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 24.283052; } leakage_power () { when : "!A & !B & C1 & C2"; value : 37.357782; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 16.506589; } leakage_power () { when : "!A & B & !C1 & C2"; value : 31.158391; } leakage_power () { when : "!A & B & C1 & !C2"; value : 31.157280; } leakage_power () { when : "!A & B & C1 & C2"; value : 44.409159; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 16.205805; } leakage_power () { when : "A & !B & !C1 & C2"; value : 30.927226; } leakage_power () { when : "A & !B & C1 & !C2"; value : 30.926126; } leakage_power () { when : "A & !B & C1 & C2"; value : 44.335944; } leakage_power () { when : "A & B & !C1 & !C2"; value : 29.556779; } leakage_power () { when : "A & B & !C1 & C2"; value : 44.304954; } leakage_power () { when : "A & B & C1 & !C2"; value : 44.304075; } leakage_power () { when : "A & B & C1 & C2"; value : 58.945878; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.620338; fall_capacitance : 1.562448; rise_capacitance : 1.620338; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.658423; fall_capacitance : 1.470553; rise_capacitance : 1.658423; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.655202; fall_capacitance : 1.402821; rise_capacitance : 1.655202; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.679479; fall_capacitance : 1.372605; rise_capacitance : 1.679479; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 14.495900; function : "!(((C1 & C2) | B) | A)"; timing () { related_pin : "A"; when : "!B & !C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.007642,0.008121,0.008987,0.010531,0.013260,0.018064,0.026579", \ "0.009185,0.009650,0.010496,0.012018,0.014726,0.019516,0.028023", \ "0.014418,0.014977,0.015968,0.017663,0.020458,0.025066,0.033468", \ "0.018485,0.019297,0.020753,0.023248,0.027382,0.033964,0.044046", \ "0.019911,0.020994,0.022942,0.026271,0.031808,0.040657,0.054265", \ "0.018279,0.019644,0.022085,0.026284,0.033255,0.044435,0.061656", \ "0.013409,0.015043,0.017946,0.022963,0.031397,0.044946,0.065858"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.041896,0.044058,0.048021,0.055276,0.068570,0.092966,0.137817", \ "0.043049,0.045227,0.049220,0.056541,0.069937,0.094461,0.139458", \ "0.048140,0.050289,0.054237,0.061500,0.074844,0.099377,0.144468", \ "0.056305,0.058475,0.062435,0.069664,0.082942,0.107376,0.152372", \ "0.064912,0.067450,0.071997,0.080102,0.094349,0.118968,0.163836", \ "0.074205,0.077079,0.082207,0.091265,0.107060,0.134192,0.180252", \ "0.086742,0.089935,0.095576,0.105478,0.122618,0.151902,0.201467"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.005701,0.006059,0.006709,0.007880,0.009992,0.013818,0.020819", \ "0.005472,0.005859,0.006548,0.007769,0.009926,0.013787,0.020807", \ "0.007589,0.007851,0.008311,0.009097,0.010587,0.013849,0.020752", \ "0.012253,0.012634,0.013301,0.014431,0.016321,0.019306,0.024029", \ "0.018184,0.018692,0.019561,0.021063,0.023546,0.027473,0.033572", \ "0.025532,0.026189,0.027291,0.029166,0.032259,0.037149,0.044675", \ "0.034300,0.035116,0.036524,0.038872,0.042634,0.048501,0.057454"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.022104,0.023978,0.027421,0.033781,0.045488,0.067028,0.106616", \ "0.022109,0.023979,0.027422,0.033783,0.045488,0.067010,0.106629", \ "0.022117,0.023985,0.027430,0.033784,0.045484,0.067012,0.106611", \ "0.022875,0.024586,0.027795,0.033911,0.045495,0.067019,0.106629", \ "0.027242,0.028945,0.032050,0.037656,0.047777,0.067669,0.106596", \ "0.033142,0.034815,0.037929,0.043690,0.054190,0.072920,0.108483", \ "0.041142,0.042714,0.045641,0.051255,0.061740,0.081027,0.115543"); } } timing () { related_pin : "A"; when : "!B & !C1 & C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.006100,0.006579,0.007449,0.009012,0.011788,0.016686,0.025333", \ "0.007791,0.008240,0.009067,0.010579,0.013304,0.018161,0.026782", \ "0.012598,0.013216,0.014303,0.016136,0.019112,0.023824,0.032253", \ "0.015859,0.016759,0.018348,0.021046,0.025449,0.032347,0.042757", \ "0.016398,0.017583,0.019713,0.023313,0.029214,0.038493,0.052546", \ "0.013747,0.015244,0.017919,0.022452,0.029883,0.041614,0.059427", \ "0.007742,0.009538,0.012699,0.018111,0.027086,0.041324,0.062990"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.045156,0.047668,0.052262,0.060679,0.076093,0.104395,0.156461", \ "0.046196,0.048726,0.053356,0.061841,0.077374,0.105833,0.158054", \ "0.051208,0.053697,0.058277,0.066694,0.082168,0.110622,0.162951", \ "0.059158,0.061661,0.066238,0.074612,0.090007,0.118344,0.170570", \ "0.067517,0.070355,0.075467,0.084603,0.100731,0.129040,0.181108", \ "0.076569,0.079700,0.085306,0.095294,0.112832,0.143296,0.195851", \ "0.088989,0.092420,0.098477,0.109180,0.127878,0.160208,0.215770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.004833,0.005234,0.005952,0.007231,0.009479,0.013440,0.020524", \ "0.004646,0.005026,0.005759,0.007071,0.009362,0.013366,0.020487", \ "0.007555,0.007822,0.008283,0.009076,0.010450,0.013542,0.020350", \ "0.012434,0.012815,0.013462,0.014578,0.016431,0.019400,0.024037", \ "0.018689,0.019186,0.020021,0.021467,0.023869,0.027706,0.033689", \ "0.026471,0.027104,0.028153,0.029949,0.032913,0.037603,0.044933", \ "0.035803,0.036603,0.037936,0.040166,0.043734,0.049321,0.057969"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.023049,0.025255,0.029312,0.036810,0.050616,0.076043,0.122847", \ "0.023056,0.025262,0.029317,0.036810,0.050625,0.076045,0.122853", \ "0.023072,0.025270,0.029321,0.036811,0.050624,0.076023,0.122850", \ "0.023671,0.025716,0.029583,0.036908,0.050636,0.076011,0.122861", \ "0.027540,0.029579,0.033321,0.039997,0.052365,0.076361,0.122838", \ "0.032645,0.034670,0.038441,0.045381,0.058028,0.080578,0.123964", \ "0.039932,0.041856,0.045443,0.052207,0.064810,0.087962,0.129552"); } } timing () { related_pin : "A"; when : "!B & C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.006238,0.006716,0.007584,0.009145,0.011919,0.016816,0.025467", \ "0.007920,0.008370,0.009197,0.010709,0.013433,0.018290,0.026916", \ "0.012796,0.013406,0.014478,0.016294,0.019253,0.023947,0.032385", \ "0.016180,0.017067,0.018632,0.021303,0.025675,0.032544,0.042923", \ "0.016892,0.018065,0.020144,0.023710,0.029560,0.038785,0.052790", \ "0.014460,0.015925,0.018555,0.023022,0.030379,0.042036,0.059778", \ "0.008782,0.010518,0.013605,0.018926,0.027794,0.041911,0.063474"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.053603,0.056114,0.060721,0.069162,0.084631,0.113005,0.165179", \ "0.054761,0.057285,0.061920,0.070418,0.085980,0.114478,0.166815", \ "0.059679,0.062183,0.066786,0.075241,0.090772,0.119297,0.171742", \ "0.067639,0.070130,0.074706,0.083111,0.098565,0.126995,0.179334", \ "0.077069,0.079804,0.084750,0.093645,0.109330,0.137642,0.189809", \ "0.087095,0.090094,0.095490,0.105150,0.122272,0.152262,0.204483", \ "0.100336,0.103560,0.109399,0.119713,0.137889,0.169688,0.224709"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.005739,0.006155,0.006901,0.008226,0.010541,0.014558,0.021650", \ "0.005500,0.005908,0.006682,0.008050,0.010416,0.014482,0.021612", \ "0.008683,0.008907,0.009312,0.010030,0.011425,0.014635,0.021475", \ "0.014681,0.014955,0.015455,0.016360,0.017975,0.020681,0.025118", \ "0.022019,0.022362,0.022975,0.024093,0.026097,0.029504,0.035106", \ "0.030929,0.031367,0.032102,0.033456,0.035873,0.039989,0.046781", \ "0.041460,0.041983,0.042959,0.044628,0.047498,0.052340,0.060283"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.027422,0.029660,0.033777,0.041346,0.055268,0.080821,0.127845", \ "0.027421,0.029661,0.033777,0.041348,0.055264,0.080832,0.127861", \ "0.027430,0.029664,0.033777,0.041348,0.055264,0.080834,0.127869", \ "0.027629,0.029813,0.033865,0.041377,0.055253,0.080837,0.127840", \ "0.031077,0.033167,0.036842,0.043586,0.056387,0.080931,0.127800", \ "0.035861,0.037994,0.041896,0.048983,0.061739,0.084504,0.128558", \ "0.042430,0.044522,0.048389,0.055461,0.068397,0.091784,0.133651"); } } timing () { related_pin : "B"; when : "!A & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.007562,0.007999,0.008789,0.010213,0.012763,0.017334,0.025590", \ "0.009127,0.009559,0.010346,0.011768,0.014319,0.018893,0.027151", \ "0.013855,0.014417,0.015409,0.017105,0.019904,0.024445,0.032649", \ "0.017035,0.017875,0.019352,0.021899,0.026106,0.032795,0.042990", \ "0.017355,0.018484,0.020505,0.023955,0.029655,0.038728,0.052588", \ "0.014300,0.015736,0.018302,0.022716,0.029989,0.041568,0.059242", \ "0.007516,0.009268,0.012381,0.017745,0.026665,0.040844,0.062477"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.038360,0.040521,0.044482,0.051743,0.065034,0.089422,0.134280", \ "0.038992,0.041172,0.045164,0.052483,0.065881,0.090405,0.135409", \ "0.043932,0.046085,0.050030,0.057291,0.070642,0.095174,0.140261", \ "0.053561,0.055772,0.059752,0.066991,0.080264,0.104690,0.149688", \ "0.064654,0.067436,0.072374,0.080960,0.095627,0.120216,0.165040", \ "0.078272,0.081535,0.087301,0.097282,0.114206,0.142220,0.188050", \ "0.096128,0.099704,0.106184,0.117444,0.136487,0.167913,0.218710"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.004899,0.005229,0.005836,0.006952,0.009004,0.012789,0.019788", \ "0.004824,0.005168,0.005794,0.006929,0.008995,0.012787,0.019787", \ "0.006970,0.007239,0.007708,0.008518,0.009942,0.013055,0.019784", \ "0.011409,0.011801,0.012490,0.013658,0.015592,0.018675,0.023449", \ "0.017172,0.017705,0.018613,0.020161,0.022710,0.026753,0.032942", \ "0.024382,0.025074,0.026240,0.028192,0.031384,0.036395,0.044011", \ "0.033026,0.033892,0.035372,0.037821,0.041738,0.047774,0.056861"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.022105,0.023974,0.027422,0.033778,0.045483,0.066999,0.106624", \ "0.022110,0.023980,0.027423,0.033780,0.045490,0.067011,0.106610", \ "0.022123,0.023990,0.027426,0.033781,0.045479,0.067022,0.106613", \ "0.023651,0.025226,0.028234,0.034039,0.045506,0.067013,0.106608", \ "0.030215,0.031757,0.034571,0.039568,0.048756,0.067791,0.106614", \ "0.037830,0.039468,0.042396,0.047805,0.057459,0.074359,0.108464", \ "0.046097,0.047786,0.050888,0.056632,0.066984,0.085148,0.116706"); } } timing () { related_pin : "B"; when : "!A & !C1 & C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.006366,0.006820,0.007640,0.009109,0.011721,0.016366,0.024686", \ "0.008000,0.008437,0.009234,0.010679,0.013271,0.017904,0.026220", \ "0.012373,0.012984,0.014062,0.015878,0.018830,0.023478,0.031699", \ "0.014856,0.015768,0.017372,0.020091,0.024523,0.031470,0.041928", \ "0.014370,0.015586,0.017772,0.021462,0.027481,0.036907,0.051126", \ "0.010363,0.011920,0.014699,0.019409,0.027103,0.039154,0.057319", \ "0.002549,0.004445,0.007799,0.013518,0.022928,0.037712,0.059979"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.041693,0.044203,0.048799,0.057215,0.072633,0.100928,0.152994", \ "0.042218,0.044749,0.049377,0.057865,0.073399,0.101854,0.154074", \ "0.047059,0.049552,0.054129,0.062547,0.078020,0.106475,0.158805", \ "0.056043,0.058572,0.063157,0.071537,0.086919,0.115258,0.167465", \ "0.066377,0.069416,0.074850,0.084372,0.100837,0.129126,0.181124", \ "0.079695,0.083122,0.089264,0.099993,0.118350,0.149402,0.201753", \ "0.097354,0.101208,0.108029,0.119911,0.140139,0.174000,0.230276"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.004497,0.004847,0.005479,0.006627,0.008703,0.012485,0.019449", \ "0.004342,0.004704,0.005364,0.006544,0.008654,0.012463,0.019442", \ "0.006963,0.007233,0.007699,0.008502,0.009861,0.012816,0.019415", \ "0.011500,0.011880,0.012557,0.013706,0.015618,0.018661,0.023389", \ "0.017425,0.017951,0.018823,0.020337,0.022826,0.026790,0.032921", \ "0.024884,0.025553,0.026669,0.028569,0.031683,0.036560,0.044070", \ "0.033832,0.034665,0.036094,0.038475,0.042281,0.048150,0.057049"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.023047,0.025248,0.029313,0.036807,0.050614,0.076040,0.122860", \ "0.023055,0.025261,0.029317,0.036811,0.050619,0.076042,0.122868", \ "0.023079,0.025278,0.029323,0.036811,0.050625,0.076031,0.122866", \ "0.024397,0.026323,0.029966,0.037026,0.050648,0.076031,0.122848", \ "0.029915,0.031845,0.035381,0.041589,0.053181,0.076483,0.122842", \ "0.036429,0.038377,0.041945,0.048527,0.060473,0.081628,0.123982", \ "0.043883,0.045878,0.049526,0.056289,0.068611,0.090763,0.130231"); } } timing () { related_pin : "B"; when : "!A & C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.006497,0.006949,0.007767,0.009233,0.011843,0.016488,0.024814", \ "0.008125,0.008562,0.009358,0.010802,0.013393,0.018026,0.026348", \ "0.012564,0.013170,0.014231,0.016031,0.018964,0.023598,0.031828", \ "0.015168,0.016067,0.017649,0.020343,0.024746,0.031659,0.042084", \ "0.014841,0.016050,0.018199,0.021847,0.027821,0.037202,0.051370", \ "0.011060,0.012589,0.015325,0.019980,0.027597,0.039583,0.057662", \ "0.003553,0.005405,0.008697,0.014330,0.023632,0.038298,0.060461"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.050138,0.052646,0.057254,0.065696,0.081165,0.109542,0.161728", \ "0.050784,0.053307,0.057943,0.066440,0.082000,0.110504,0.162822", \ "0.055534,0.058035,0.062637,0.071092,0.086621,0.115147,0.167578", \ "0.064566,0.067057,0.071626,0.080006,0.095460,0.123875,0.176205", \ "0.076511,0.079397,0.084557,0.093656,0.109425,0.137683,0.189785", \ "0.091159,0.094380,0.100192,0.110392,0.128065,0.158384,0.210313", \ "0.109994,0.113556,0.119980,0.131342,0.150776,0.183728,0.239154"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.005220,0.005585,0.006248,0.007452,0.009623,0.013515,0.020531", \ "0.005045,0.005429,0.006123,0.007364,0.009573,0.013493,0.020525", \ "0.007964,0.008199,0.008621,0.009373,0.010735,0.013833,0.020499", \ "0.013624,0.013918,0.014453,0.015417,0.017109,0.019919,0.024439", \ "0.020716,0.021084,0.021734,0.022929,0.025023,0.028584,0.034338", \ "0.029395,0.029850,0.030644,0.032090,0.034643,0.038948,0.045923", \ "0.039635,0.040222,0.041229,0.043025,0.046085,0.051187,0.059384"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.027419,0.029660,0.033779,0.041345,0.055264,0.080813,0.127865", \ "0.027423,0.029661,0.033780,0.041347,0.055258,0.080830,0.127855", \ "0.027430,0.029667,0.033775,0.041350,0.055253,0.080833,0.127849", \ "0.027888,0.029998,0.033968,0.041408,0.055257,0.080799,0.127827", \ "0.032947,0.034953,0.038393,0.044674,0.056906,0.080944,0.127799", \ "0.039130,0.041167,0.044893,0.051644,0.063765,0.085283,0.128513", \ "0.046332,0.048452,0.052294,0.059284,0.071865,0.094180,0.134105"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.00852938,0.00918945,0.0103979,0.0126179,0.0166961,0.0241945,0.0380126", \ "0.00989017,0.0105597,0.0117848,0.0140289,0.0181361,0.0256675,0.0395108", \ "0.0139571,0.0148590,0.0164280,0.0190628,0.0233045,0.0307890,0.0446096", \ "0.0162188,0.0175545,0.0198819,0.0237894,0.0300948,0.0398835,0.0545770", \ "0.0154816,0.0172987,0.0204330,0.0256934,0.0341596,0.0472905,0.0669357", \ "0.0113229,0.0136540,0.0176261,0.0242745,0.0350142,0.0515900,0.0763627", \ "0.00351922,0.00627000,0.0111016,0.0191797,0.0322162,0.0523783,0.0824146"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0249252,0.0274722,0.0321279,0.0406335,0.0561377,0.0844980,0.136598", \ "0.0250608,0.0276317,0.0323548,0.0409889,0.0566966,0.0852998,0.137639", \ "0.0298366,0.0322004,0.0366518,0.0449997,0.0604815,0.0890088,0.141440", \ "0.0416470,0.0441740,0.0486231,0.0562170,0.0708957,0.0986826,0.150443", \ "0.0553734,0.0585013,0.0639483,0.0733984,0.0892877,0.116082,0.166694", \ "0.0712534,0.0748881,0.0811765,0.0921706,0.110837,0.141449,0.191463", \ "0.0897518,0.0937914,0.100911,0.113315,0.134377,0.169259,0.225080"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.00516843,0.00572268,0.00675190,0.00865307,0.0121598,0.0186366,0.0305960", \ "0.00516545,0.00572396,0.00675076,0.00865303,0.0121608,0.0186347,0.0305965", \ "0.00749288,0.00792817,0.00869861,0.00998844,0.0126809,0.0186364,0.0305947", \ "0.0122926,0.0128685,0.0138669,0.0155853,0.0184373,0.0231079,0.0319468", \ "0.0187991,0.0195196,0.0207748,0.0229140,0.0264539,0.0321429,0.0411002", \ "0.0270714,0.0279374,0.0294864,0.0321099,0.0363707,0.0431380,0.0537052", \ "0.0370303,0.0381463,0.0400298,0.0431828,0.0482632,0.0561784,0.0683798"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0227015,0.0250003,0.0291706,0.0367681,0.0506089,0.0760570,0.122840", \ "0.0225200,0.0248658,0.0291029,0.0367415,0.0506179,0.0760462,0.122846", \ "0.0215269,0.0239972,0.0285448,0.0365165,0.0505763,0.0760496,0.122836", \ "0.0249233,0.0266168,0.0299778,0.0366465,0.0499871,0.0759995,0.122860", \ "0.0309701,0.0329472,0.0365279,0.0429768,0.0539009,0.0763456,0.122815", \ "0.0380525,0.0401825,0.0440786,0.0510645,0.0632172,0.0835521,0.124027", \ "0.0464814,0.0487868,0.0529260,0.0604326,0.0736477,0.0960094,0.133214"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.00986118,0.0105151,0.0117205,0.0139349,0.0180071,0.0255014,0.0393154", \ "0.0112555,0.0119271,0.0131552,0.0154010,0.0195073,0.0270354,0.0408759", \ "0.0146162,0.0154118,0.0168291,0.0193160,0.0236023,0.0311983,0.0451050", \ "0.0174429,0.0185732,0.0205376,0.0238503,0.0292778,0.0381088,0.0527703", \ "0.0178214,0.0193882,0.0221057,0.0266420,0.0339170,0.0451696,0.0624687", \ "0.0149583,0.0170087,0.0205749,0.0264932,0.0359355,0.0503452,0.0716933", \ "0.00843962,0.0110177,0.0154930,0.0228930,0.0346511,0.0525415,0.0787486"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0323966,0.0348867,0.0394675,0.0478725,0.0632943,0.0916184,0.143760", \ "0.0328076,0.0353310,0.0399652,0.0484546,0.0639858,0.0924435,0.144684", \ "0.0375319,0.0399745,0.0444958,0.0528473,0.0682565,0.0966345,0.148886", \ "0.0496893,0.0519478,0.0560409,0.0639271,0.0788262,0.106620,0.158299", \ "0.0657075,0.0685264,0.0735492,0.0823581,0.0974118,0.124144,0.174790", \ "0.0837126,0.0869815,0.0928737,0.103170,0.120838,0.150199,0.199730", \ "0.104312,0.108002,0.114637,0.126299,0.146304,0.179795,0.233991"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.00516526,0.00572077,0.00675040,0.00865349,0.0121608,0.0186375,0.0305944", \ "0.00516696,0.00572347,0.00675318,0.00865230,0.0121624,0.0186378,0.0305934", \ "0.00622226,0.00670716,0.00761942,0.00925381,0.0124203,0.0186395,0.0305942", \ "0.00936040,0.00984136,0.0107086,0.0122752,0.0151832,0.0206925,0.0312958", \ "0.0141305,0.0147015,0.0157019,0.0174369,0.0204420,0.0257009,0.0355369", \ "0.0202098,0.0209060,0.0220953,0.0241539,0.0275847,0.0332111,0.0428898", \ "0.0275224,0.0283223,0.0297351,0.0321883,0.0362224,0.0426178,0.0529110"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.0272922,0.0295670,0.0337264,0.0413310,0.0552541,0.0808087,0.127836", \ "0.0272309,0.0295209,0.0337029,0.0413236,0.0552490,0.0808301,0.127841", \ "0.0267822,0.0291726,0.0334882,0.0412384,0.0552290,0.0808160,0.127837", \ "0.0278289,0.0298282,0.0335970,0.0407908,0.0548817,0.0807971,0.127827", \ "0.0337260,0.0357430,0.0393877,0.0455968,0.0572724,0.0807092,0.127767", \ "0.0404575,0.0427200,0.0467256,0.0538237,0.0659977,0.0867236,0.128421", \ "0.0479232,0.0504413,0.0549172,0.0627895,0.0762751,0.0987187,0.136567"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("1.168741,1.198886,1.213287,1.275678,1.330993,1.414006,1.489827", \ "1.132425,1.128588,1.170922,1.226157,1.279901,1.366450,1.438518", \ "1.147606,1.154861,1.174809,1.216138,1.257446,1.337488,1.406550", \ "1.417117,1.429162,1.421103,1.414347,1.414091,1.397826,1.446412", \ "1.848196,1.845047,1.843269,1.821252,1.783672,1.736990,1.661818", \ "2.492356,2.484629,2.465833,2.438940,2.380405,2.281677,2.135923", \ "3.354653,3.349250,3.320635,3.301242,3.237654,3.095904,2.870942"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("5.121826,5.132640,5.125428,5.136465,5.166651,5.138989,5.140376", \ "5.054095,5.046135,5.061887,5.065622,5.079456,5.064681,5.083948", \ "4.984311,4.994261,5.007002,5.023321,4.996849,5.057658,5.005354", \ "4.976553,4.971723,4.982891,4.976207,4.957498,4.998538,5.014040", \ "5.001628,5.010796,4.999788,4.991196,5.017265,4.940419,4.928364", \ "5.098081,5.110935,5.132501,5.188235,5.156506,5.105048,5.107720", \ "5.648200,5.632974,5.604860,5.560136,5.552232,5.535381,5.441342"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.873442,0.897872,0.958229,1.018385,1.115225,1.232307,1.341706", \ "0.832638,0.856570,0.893013,0.973065,1.061074,1.185775,1.289086", \ "0.914547,0.923351,0.943743,0.992944,1.054993,1.154904,1.248618", \ "1.226749,1.237945,1.235079,1.230502,1.232287,1.233508,1.293964", \ "1.671590,1.667487,1.656886,1.634124,1.606392,1.554717,1.499122", \ "2.297066,2.289481,2.272627,2.248017,2.184741,2.086950,1.961299", \ "3.123799,3.105354,3.104605,3.066433,3.010256,2.872293,2.660072"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("4.744050,4.739944,4.760703,4.766218,4.777480,4.749671,4.753271", \ "4.659550,4.673985,4.679502,4.703641,4.730883,4.681071,4.701670", \ "4.610948,4.618720,4.625662,4.634813,4.635212,4.668641,4.627540", \ "4.593702,4.587628,4.588261,4.610062,4.604916,4.642002,4.633830", \ "4.627573,4.621396,4.620517,4.624060,4.620938,4.590022,4.578102", \ "4.714432,4.714617,4.758369,4.813221,4.766770,4.682266,4.665959", \ "5.223609,5.204424,5.174214,5.144528,5.148602,5.143228,5.039795"); } } internal_power () { related_pin : "A"; when : "!B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.974540,1.003559,1.028612,1.077131,1.161189,1.259825,1.356387", \ "0.938253,0.935148,0.993284,1.041700,1.106123,1.201453,1.305706", \ "0.967679,0.985424,1.009122,1.033054,1.097833,1.166137,1.263819", \ "1.260541,1.265289,1.251298,1.260207,1.245332,1.240928,1.298501", \ "1.696817,1.690239,1.683632,1.663417,1.614918,1.562719,1.501064", \ "2.344068,2.335071,2.305588,2.275948,2.202032,2.102920,1.963027", \ "3.209748,3.192198,3.166750,3.119977,3.035399,2.889774,2.662834"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("5.545064,5.561324,5.562781,5.581283,5.585049,5.602254,5.551233", \ "5.485869,5.482842,5.506778,5.521427,5.522408,5.538529,5.629621", \ "5.414126,5.427280,5.431362,5.460645,5.465643,5.439898,5.537949", \ "5.394468,5.406721,5.409201,5.429401,5.438419,5.407043,5.496202", \ "5.427639,5.421758,5.421458,5.441139,5.453522,5.403006,5.483950", \ "5.524569,5.550871,5.578215,5.599454,5.567217,5.577990,5.567636", \ "5.947538,5.951774,5.952059,5.943387,5.982466,5.936337,5.828515"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.999312,1.019019,1.023893,1.049606,1.075104,1.113129,1.147869", \ "1.009903,1.007636,1.030391,1.050403,1.081545,1.122833,1.160643", \ "1.034464,1.030435,1.029346,1.046298,1.086739,1.119492,1.159066", \ "1.258157,1.257512,1.244825,1.241973,1.216907,1.183282,1.201696", \ "1.628676,1.629649,1.615649,1.595440,1.552722,1.488549,1.408094", \ "2.185584,2.182267,2.164331,2.136143,2.087881,1.986805,1.839101", \ "2.916890,2.907671,2.906357,2.881438,2.832106,2.716169,2.516967"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("4.372546,4.383250,4.396562,4.413182,4.384879,4.435833,4.408877", \ "4.295304,4.309406,4.322965,4.350540,4.376830,4.346292,4.319673", \ "4.247305,4.257256,4.269947,4.269674,4.285314,4.326783,4.274712", \ "4.243508,4.231850,4.241563,4.252562,4.248700,4.271606,4.215681", \ "4.337029,4.341989,4.345748,4.331006,4.287985,4.281170,4.313708", \ "4.617583,4.616960,4.637591,4.666425,4.610856,4.559342,4.412282", \ "5.260794,5.231962,5.202168,5.173744,5.158179,5.069248,4.906512"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.811676,0.839313,0.871215,0.897207,0.948306,1.000666,1.049408", \ "0.803526,0.829623,0.867140,0.903744,0.952838,1.002341,1.050377", \ "0.874954,0.873784,0.885292,0.894816,0.939960,0.985654,1.032566", \ "1.129339,1.116402,1.107457,1.102593,1.080379,1.055388,1.071564", \ "1.491683,1.491734,1.479580,1.460556,1.418299,1.359139,1.275841", \ "2.031129,2.028323,2.018552,1.989121,1.940129,1.840650,1.699931", \ "2.731759,2.736864,2.734988,2.713463,2.654924,2.539462,2.344605"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("4.007427,4.014954,4.018038,4.032092,4.023510,4.066748,4.020920", \ "3.933600,3.940451,3.945943,3.970622,3.968084,4.007179,3.946121", \ "3.879515,3.874383,3.894274,3.899845,3.924446,3.889551,3.983069", \ "3.869838,3.862719,3.861587,3.866137,3.856211,3.895149,3.860293", \ "3.963926,3.970246,3.949422,3.945506,3.938193,3.899000,3.870969", \ "4.205141,4.212177,4.230086,4.252310,4.217012,4.156837,4.019269", \ "4.810295,4.791569,4.779415,4.746352,4.741755,4.656447,4.436596"); } } internal_power () { related_pin : "B"; when : "!A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.882303,0.882068,0.899369,0.942889,0.971432,1.013988,1.056229", \ "0.877361,0.883208,0.894306,0.932925,0.971048,1.010062,1.054359", \ "0.897884,0.902328,0.913247,0.923130,0.961469,0.994958,1.039187", \ "1.135784,1.121884,1.125053,1.110529,1.089784,1.059068,1.077018", \ "1.515749,1.495622,1.486860,1.459880,1.417303,1.355036,1.272995", \ "2.078823,2.062550,2.045450,2.008209,1.944526,1.842382,1.694127", \ "2.806151,2.809441,2.786133,2.748465,2.682978,2.549136,2.337421"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("4.820156,4.816447,4.838011,4.857713,4.874006,4.866825,4.893682", \ "4.752670,4.749431,4.773506,4.779250,4.819365,4.775213,4.854599", \ "4.683124,4.696172,4.700226,4.710912,4.743228,4.761399,4.831113", \ "4.668598,4.680521,4.681918,4.696310,4.710717,4.695500,4.771172", \ "4.754398,4.747016,4.761939,4.746705,4.734625,4.718677,4.775219", \ "5.000645,5.017113,5.060650,5.030015,5.014108,4.968409,4.917333", \ "5.533001,5.523345,5.528842,5.524303,5.529804,5.463677,5.325617"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.314023,0.313535,0.304540,0.298427,0.293978,0.289857,0.294246", \ "0.298029,0.298313,0.302028,0.303924,0.312285,0.321412,0.332464", \ "0.326646,0.326682,0.325452,0.325233,0.335746,0.349663,0.365739", \ "0.546462,0.540079,0.517738,0.483660,0.433427,0.414382,0.412953", \ "0.914087,0.890323,0.872631,0.819011,0.749968,0.646529,0.552341", \ "1.442776,1.427864,1.403031,1.353597,1.253851,1.097482,0.900675", \ "2.123775,2.118429,2.098343,2.058940,1.965768,1.774165,1.485756"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("2.901615,2.914717,2.939029,2.942116,2.930787,2.925955,2.963295", \ "2.806635,2.824643,2.836349,2.862880,2.887088,2.924470,2.910359", \ "2.794837,2.805425,2.806197,2.805523,2.847391,2.822158,2.902441", \ "3.033623,3.018318,3.004687,2.996164,2.945873,2.912809,2.919225", \ "3.474837,3.454692,3.425944,3.379338,3.312412,3.235346,3.082705", \ "3.998046,3.999841,3.992304,4.006001,3.914241,3.741472,3.532096", \ "4.859950,4.836215,4.785015,4.741062,4.680982,4.521658,4.227964"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("0.316436,0.311452,0.306137,0.299477,0.295595,0.294762,0.296152", \ "0.286480,0.294561,0.293549,0.301765,0.306696,0.322665,0.332494", \ "0.260176,0.260564,0.264898,0.278809,0.300806,0.324889,0.352538", \ "0.380122,0.379910,0.372588,0.359609,0.337695,0.333479,0.358977", \ "0.621749,0.611745,0.603089,0.579511,0.545071,0.489073,0.425604", \ "0.992465,0.974655,0.976723,0.950336,0.890205,0.804445,0.678263", \ "1.485106,1.492649,1.476905,1.453827,1.399130,1.288693,1.105105"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.675142,1.246710,2.302160,4.251140,7.850100,14.495900"); values ("3.634227,3.646333,3.648409,3.649303,3.679653,3.692559,3.700720", \ "3.561062,3.557789,3.581340,3.593951,3.623432,3.636820,3.606508", \ "3.559095,3.569710,3.569964,3.573988,3.599566,3.600500,3.581761", \ "3.774623,3.779234,3.769948,3.761056,3.726223,3.696723,3.664684", \ "4.225438,4.206165,4.183956,4.158228,4.111757,4.011384,3.953010", \ "4.765071,4.776557,4.789368,4.788174,4.689973,4.573231,4.415899", \ "5.576947,5.566245,5.555122,5.529549,5.484004,5.360209,5.129766"); } } } } /****************************************************************************************** Module : AOI211_X2 Cell Description : Combinational cell (AOI211_X2) with drive strength X2 *******************************************************************************************/ cell (AOI211_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 69.131362; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 47.361380; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 89.983410; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 48.566103; } leakage_power () { when : "!A & !B & C1 & C2"; value : 74.715300; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 33.013288; } leakage_power () { when : "!A & B & !C1 & C2"; value : 62.316892; } leakage_power () { when : "!A & B & C1 & !C2"; value : 62.314461; } leakage_power () { when : "!A & B & C1 & C2"; value : 88.818118; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 32.411423; } leakage_power () { when : "A & !B & !C1 & C2"; value : 61.854595; } leakage_power () { when : "A & !B & C1 & !C2"; value : 61.852175; } leakage_power () { when : "A & !B & C1 & C2"; value : 88.671772; } leakage_power () { when : "A & B & !C1 & !C2"; value : 59.113559; } leakage_power () { when : "A & B & !C1 & C2"; value : 88.609689; } leakage_power () { when : "A & B & C1 & !C2"; value : 88.608039; } leakage_power () { when : "A & B & C1 & C2"; value : 117.891591; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.114220; fall_capacitance : 2.989180; rise_capacitance : 3.114220; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.425452; fall_capacitance : 3.050151; rise_capacitance : 3.425452; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.165257; fall_capacitance : 2.649523; rise_capacitance : 3.165257; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.454374; fall_capacitance : 2.815764; rise_capacitance : 3.454374; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 28.991700; function : "!(((C1 & C2) | B) | A)"; timing () { related_pin : "A"; when : "!B & !C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.007417,0.007842,0.008539,0.009887,0.012463,0.017347,0.026663", \ "0.008966,0.009376,0.010055,0.011380,0.013932,0.018798,0.028104", \ "0.014132,0.014637,0.015448,0.016960,0.019661,0.024366,0.033547", \ "0.018052,0.018782,0.019969,0.022189,0.026178,0.033008,0.044127", \ "0.019326,0.020292,0.021898,0.024858,0.030190,0.039361,0.054358", \ "0.017534,0.018754,0.020777,0.024505,0.031215,0.042790,0.061767", \ "0.012495,0.013957,0.016332,0.020810,0.028921,0.042938,0.065989"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.040701,0.042592,0.045745,0.052004,0.064407,0.089008,0.137971", \ "0.041846,0.043750,0.046927,0.053238,0.065742,0.090487,0.139608", \ "0.046950,0.048830,0.051968,0.058221,0.070658,0.095401,0.144622", \ "0.055091,0.057002,0.060165,0.066398,0.078773,0.103408,0.152526", \ "0.063474,0.065705,0.069363,0.076457,0.089938,0.115005,0.163982", \ "0.072578,0.075089,0.079221,0.087177,0.102154,0.129887,0.180389", \ "0.084960,0.087756,0.092301,0.101026,0.117329,0.147262,0.201593"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.005502,0.005818,0.006338,0.007355,0.009336,0.013205,0.020854", \ "0.005257,0.005600,0.006157,0.007227,0.009260,0.013171,0.020843", \ "0.007444,0.007680,0.008052,0.008757,0.010092,0.013293,0.020789", \ "0.012040,0.012383,0.012921,0.013930,0.015746,0.018855,0.024054", \ "0.017905,0.018354,0.019056,0.020392,0.022789,0.026875,0.033590", \ "0.025176,0.025751,0.026627,0.028311,0.031315,0.036395,0.044687", \ "0.033860,0.034586,0.035720,0.037804,0.041476,0.047605,0.057471"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.021038,0.022669,0.025404,0.030870,0.041772,0.063478,0.106699", \ "0.021040,0.022670,0.025410,0.030874,0.041771,0.063474,0.106698", \ "0.021050,0.022680,0.025414,0.030874,0.041765,0.063467,0.106698", \ "0.021902,0.023390,0.025914,0.031087,0.041800,0.063466,0.106717", \ "0.026263,0.027746,0.030224,0.035127,0.044506,0.064335,0.106696", \ "0.032160,0.033626,0.036085,0.041035,0.050864,0.069905,0.108578", \ "0.040204,0.041549,0.043867,0.048631,0.058361,0.077854,0.115612"); } } timing () { related_pin : "A"; when : "!B & !C1 & C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.005882,0.006304,0.007002,0.008363,0.010981,0.015959,0.025420", \ "0.007587,0.007980,0.008640,0.009948,0.012507,0.017436,0.026866", \ "0.012283,0.012842,0.013733,0.015377,0.018268,0.023129,0.032335", \ "0.015382,0.016187,0.017498,0.019909,0.024174,0.031360,0.042844", \ "0.015763,0.016832,0.018577,0.021793,0.027499,0.037144,0.052641", \ "0.012926,0.014295,0.016493,0.020527,0.027709,0.039902,0.059545", \ "0.006741,0.008355,0.010950,0.015795,0.024463,0.039237,0.063123"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.043772,0.045972,0.049628,0.056886,0.071272,0.099821,0.156664", \ "0.044807,0.047016,0.050701,0.058017,0.072516,0.101232,0.158253", \ "0.049834,0.052014,0.055650,0.062898,0.077321,0.106031,0.163160", \ "0.057764,0.059965,0.063610,0.070832,0.085176,0.113763,0.170779", \ "0.065912,0.068399,0.072505,0.080485,0.095752,0.124457,0.181300", \ "0.074775,0.077524,0.082035,0.090773,0.107359,0.138441,0.196027", \ "0.087055,0.090048,0.094950,0.104360,0.122078,0.155059,0.215947"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.004611,0.004967,0.005546,0.006664,0.008787,0.012812,0.020559", \ "0.004440,0.004771,0.005343,0.006493,0.008661,0.012733,0.020522", \ "0.007410,0.007645,0.008021,0.008730,0.010003,0.013006,0.020387", \ "0.012230,0.012565,0.013097,0.014086,0.015871,0.018939,0.024066", \ "0.018412,0.018849,0.019530,0.020822,0.023130,0.027106,0.033709", \ "0.026141,0.026686,0.027527,0.029138,0.031998,0.036877,0.044946", \ "0.035395,0.036108,0.037193,0.039165,0.042644,0.048458,0.057976"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.021798,0.023716,0.026939,0.033389,0.046255,0.071880,0.122970", \ "0.021809,0.023726,0.026946,0.033391,0.046251,0.071870,0.122987", \ "0.021829,0.023736,0.026955,0.033395,0.046256,0.071879,0.122991", \ "0.022520,0.024296,0.027311,0.033548,0.046275,0.071882,0.122973", \ "0.026362,0.028142,0.031130,0.036993,0.048384,0.072366,0.122978", \ "0.031456,0.033218,0.036211,0.042200,0.054032,0.076897,0.124102", \ "0.038786,0.040437,0.043266,0.049053,0.060781,0.084168,0.129677"); } } timing () { related_pin : "A"; when : "!B & C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.006019,0.006441,0.007138,0.008496,0.011111,0.016089,0.025555", \ "0.007715,0.008109,0.008769,0.010077,0.012635,0.017565,0.027002", \ "0.012487,0.013034,0.013914,0.015540,0.018413,0.023253,0.032468", \ "0.015706,0.016501,0.017790,0.020174,0.024409,0.031553,0.043007", \ "0.016248,0.017303,0.019023,0.022196,0.027851,0.037439,0.052887", \ "0.013650,0.014981,0.017143,0.021114,0.028220,0.040324,0.059888", \ "0.007809,0.009351,0.011878,0.016629,0.025181,0.039832,0.063605"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.052196,0.054395,0.058059,0.065334,0.079752,0.108362,0.165291", \ "0.053343,0.055555,0.059241,0.066562,0.081072,0.109829,0.166894", \ "0.058276,0.060464,0.064123,0.071403,0.085874,0.114639,0.171827", \ "0.066232,0.068415,0.072052,0.079288,0.093681,0.122344,0.179427", \ "0.075501,0.077905,0.081865,0.089608,0.104429,0.132994,0.189923", \ "0.085373,0.088023,0.092328,0.100748,0.116881,0.147416,0.204583", \ "0.098521,0.101374,0.106028,0.115034,0.132206,0.164566,0.224798"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.005500,0.005869,0.006471,0.007632,0.009828,0.013925,0.021686", \ "0.005271,0.005626,0.006236,0.007439,0.009691,0.013844,0.021649", \ "0.008553,0.008748,0.009070,0.009704,0.010946,0.014087,0.021514", \ "0.014526,0.014768,0.015163,0.015946,0.017472,0.020255,0.025148", \ "0.021837,0.022135,0.022608,0.023579,0.025464,0.028972,0.035136", \ "0.030731,0.031079,0.031652,0.032825,0.035113,0.039338,0.046799", \ "0.041181,0.041686,0.042438,0.043868,0.046598,0.051571,0.060306"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.026145,0.028099,0.031365,0.037884,0.050836,0.076592,0.127870", \ "0.026144,0.028104,0.031370,0.037880,0.050839,0.076595,0.127868", \ "0.026150,0.028107,0.031371,0.037886,0.050832,0.076594,0.127873", \ "0.026388,0.028288,0.031487,0.037930,0.050841,0.076591,0.127861", \ "0.029885,0.031710,0.034706,0.040485,0.052268,0.076785,0.127846", \ "0.034640,0.036511,0.039610,0.045736,0.057714,0.080676,0.128620", \ "0.041211,0.043028,0.046089,0.052178,0.064268,0.087916,0.133702"); } } timing () { related_pin : "B"; when : "!A & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.007307,0.007692,0.008326,0.009563,0.011955,0.016583,0.025600", \ "0.008873,0.009254,0.009885,0.011119,0.013512,0.018141,0.027160", \ "0.013511,0.014010,0.014824,0.016337,0.019045,0.023702,0.032657", \ "0.016518,0.017263,0.018482,0.020748,0.024812,0.031750,0.042997", \ "0.016648,0.017661,0.019321,0.022396,0.027906,0.037320,0.052588", \ "0.013401,0.014681,0.016805,0.020730,0.027762,0.039770,0.059242", \ "0.006412,0.007984,0.010533,0.015320,0.023918,0.038630,0.062482"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.037104,0.038997,0.042148,0.048407,0.060806,0.085407,0.134370", \ "0.037738,0.039638,0.042813,0.049127,0.061626,0.086374,0.135496", \ "0.042693,0.044569,0.047706,0.053958,0.066395,0.091133,0.140358", \ "0.052250,0.054214,0.057409,0.063666,0.076041,0.100670,0.149785", \ "0.062979,0.065455,0.069454,0.077055,0.091062,0.116203,0.165127", \ "0.076319,0.079218,0.083914,0.092761,0.108976,0.137804,0.188125", \ "0.093959,0.097246,0.102467,0.112387,0.130624,0.162964,0.218774"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.004706,0.004995,0.005477,0.006437,0.008348,0.012163,0.019802", \ "0.004622,0.004924,0.005425,0.006407,0.008337,0.012161,0.019803", \ "0.006819,0.007053,0.007441,0.008153,0.009471,0.012510,0.019800", \ "0.011177,0.011527,0.012085,0.013132,0.015007,0.018196,0.023468", \ "0.016869,0.017337,0.018077,0.019457,0.021923,0.026117,0.032953", \ "0.023993,0.024601,0.025530,0.027289,0.030405,0.035599,0.044013", \ "0.032534,0.033304,0.034493,0.036684,0.040517,0.046828,0.056854"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.021032,0.022666,0.025408,0.030873,0.041767,0.063468,0.106698", \ "0.021042,0.022670,0.025410,0.030874,0.041766,0.063485,0.106696", \ "0.021056,0.022679,0.025413,0.030874,0.041765,0.063482,0.106697", \ "0.022769,0.024119,0.026466,0.031347,0.041827,0.063464,0.106703", \ "0.029312,0.030655,0.032902,0.037338,0.045716,0.064530,0.106697", \ "0.036848,0.038268,0.040620,0.045296,0.054391,0.071584,0.108555", \ "0.045063,0.046486,0.048961,0.053926,0.063660,0.082174,0.116786"); } } timing () { related_pin : "B"; when : "!A & !C1 & C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.006110,0.006510,0.007168,0.008447,0.010904,0.015611,0.024698", \ "0.007752,0.008135,0.008773,0.010025,0.012458,0.017149,0.026232", \ "0.012005,0.012555,0.013438,0.015064,0.017933,0.022739,0.031711", \ "0.014303,0.015118,0.016436,0.018867,0.023170,0.030393,0.041934", \ "0.013606,0.014705,0.016509,0.019803,0.025640,0.035451,0.051133", \ "0.009393,0.010800,0.013099,0.017303,0.024747,0.037296,0.057325", \ "0.001359,0.003064,0.005826,0.010947,0.020050,0.035420,0.059997"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.040247,0.042444,0.046103,0.053361,0.067740,0.096290,0.153130", \ "0.040773,0.042981,0.046665,0.053982,0.068480,0.097197,0.154217", \ "0.045632,0.047809,0.051445,0.058695,0.073115,0.101817,0.158956", \ "0.054545,0.056781,0.060453,0.067688,0.082032,0.110602,0.167608", \ "0.064541,0.067243,0.071638,0.080012,0.095724,0.124482,0.181252", \ "0.077595,0.080694,0.085655,0.095099,0.112621,0.144442,0.201861", \ "0.095160,0.098608,0.104119,0.114566,0.133848,0.168601,0.230364"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.004293,0.004600,0.005108,0.006102,0.008042,0.011859,0.019461", \ "0.004145,0.004448,0.004978,0.006005,0.007985,0.011835,0.019456", \ "0.006806,0.007045,0.007426,0.008146,0.009429,0.012293,0.019430", \ "0.011265,0.011615,0.012162,0.013186,0.015028,0.018204,0.023403", \ "0.017128,0.017589,0.018299,0.019649,0.022052,0.026181,0.032933", \ "0.024494,0.025088,0.025982,0.027688,0.030711,0.035778,0.044073", \ "0.033341,0.034095,0.035247,0.037378,0.041088,0.047216,0.057051"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.021796,0.023715,0.026939,0.033387,0.046253,0.071880,0.122992", \ "0.021807,0.023728,0.026944,0.033388,0.046247,0.071888,0.122977", \ "0.021838,0.023748,0.026956,0.033396,0.046255,0.071887,0.122998", \ "0.023328,0.024991,0.027838,0.033748,0.046304,0.071867,0.122979", \ "0.028780,0.030458,0.033285,0.038828,0.049411,0.072559,0.122976", \ "0.035234,0.036906,0.039763,0.045472,0.056686,0.078151,0.124109", \ "0.042669,0.044358,0.047258,0.053099,0.064666,0.087122,0.130345"); } } timing () { related_pin : "B"; when : "!A & C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.006240,0.006638,0.007295,0.008571,0.011026,0.015733,0.024827", \ "0.007877,0.008259,0.008896,0.010147,0.012579,0.017271,0.026361", \ "0.012197,0.012740,0.013613,0.015225,0.018072,0.022858,0.031840", \ "0.014613,0.015421,0.016722,0.019128,0.023398,0.030587,0.042088", \ "0.014082,0.015170,0.016944,0.020201,0.025988,0.035744,0.051370", \ "0.010096,0.011476,0.013738,0.017885,0.025260,0.037716,0.057664", \ "0.002374,0.004038,0.006743,0.011778,0.020772,0.036019,0.060474"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.048664,0.050866,0.054530,0.061804,0.076223,0.104840,0.161751", \ "0.049310,0.051520,0.055206,0.062528,0.077038,0.105787,0.162860", \ "0.054074,0.056264,0.059919,0.067199,0.081669,0.110431,0.167623", \ "0.063110,0.065289,0.068919,0.076147,0.090535,0.119179,0.176265", \ "0.074825,0.077365,0.081513,0.089468,0.104487,0.133005,0.189865", \ "0.089253,0.092117,0.096788,0.105730,0.122502,0.153480,0.210398", \ "0.107939,0.111123,0.116334,0.126244,0.144679,0.178423,0.239182"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.004993,0.005315,0.005845,0.006889,0.008927,0.012875,0.020545", \ "0.004821,0.005149,0.005706,0.006788,0.008868,0.012851,0.020540", \ "0.007812,0.008022,0.008363,0.009021,0.010266,0.013291,0.020515", \ "0.013446,0.013698,0.014123,0.014968,0.016571,0.019485,0.024454", \ "0.020503,0.020824,0.021328,0.022368,0.024366,0.028027,0.034355", \ "0.029120,0.029519,0.030128,0.031398,0.033831,0.038245,0.045927", \ "0.039326,0.039828,0.040615,0.042171,0.045116,0.050367,0.059385"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.026143,0.028099,0.031367,0.037884,0.050836,0.076596,0.127868", \ "0.026145,0.028104,0.031368,0.037883,0.050840,0.076590,0.127885", \ "0.026157,0.028108,0.031370,0.037884,0.050840,0.076590,0.127875", \ "0.026718,0.028525,0.031634,0.037988,0.050850,0.076583,0.127873", \ "0.031792,0.033544,0.036387,0.041754,0.052932,0.076857,0.127844", \ "0.037910,0.039708,0.042688,0.048535,0.059908,0.081593,0.128561", \ "0.045064,0.046899,0.049962,0.056019,0.067827,0.090481,0.134148"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.00819161,0.00876983,0.00973168,0.0116432,0.0154400,0.0229940,0.0380628", \ "0.00954720,0.0101326,0.0111094,0.0130440,0.0168726,0.0244615,0.0395589", \ "0.0134692,0.0142800,0.0155684,0.0179325,0.0220564,0.0295870,0.0446563", \ "0.0154767,0.0166766,0.0185908,0.0220945,0.0282320,0.0384231,0.0546234", \ "0.0144877,0.0161035,0.0186867,0.0234088,0.0316432,0.0453180,0.0669849", \ "0.0100324,0.0121115,0.0153903,0.0213730,0.0318181,0.0490963,0.0764165", \ "0.00190825,0.00439988,0.00836961,0.0156240,0.0283207,0.0493399,0.0824767"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0236271,0.0258600,0.0295729,0.0369127,0.0513972,0.0800162,0.136912", \ "0.0237559,0.0260036,0.0297606,0.0372115,0.0518993,0.0807997,0.137946", \ "0.0286503,0.0306913,0.0341876,0.0413233,0.0557290,0.0845007,0.141752", \ "0.0403171,0.0425703,0.0461797,0.0528997,0.0663171,0.0942632,0.150763", \ "0.0536908,0.0565104,0.0609349,0.0692988,0.0845561,0.111796,0.167005", \ "0.0693514,0.0725626,0.0776722,0.0873770,0.105262,0.136828,0.191770", \ "0.0875936,0.0912314,0.0969718,0.107878,0.128033,0.163977,0.225376"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.00485252,0.00533632,0.00615344,0.00778455,0.0110475,0.0175734,0.0306188", \ "0.00484945,0.00533650,0.00615264,0.00778587,0.0110475,0.0175735,0.0306175", \ "0.00724125,0.00762501,0.00825246,0.00940765,0.0117720,0.0175857,0.0306162", \ "0.0119643,0.0124717,0.0132845,0.0148109,0.0175609,0.0223744,0.0319661", \ "0.0183403,0.0190020,0.0200326,0.0219477,0.0253670,0.0312557,0.0411077", \ "0.0264967,0.0272985,0.0285699,0.0309196,0.0350609,0.0420675,0.0536985", \ "0.0363820,0.0373589,0.0389042,0.0417373,0.0466948,0.0549286,0.0683644"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0214012,0.0234193,0.0267477,0.0333128,0.0462472,0.0718754,0.122978", \ "0.0211896,0.0232636,0.0266516,0.0332722,0.0462438,0.0718907,0.122984", \ "0.0202422,0.0222923,0.0259553,0.0329437,0.0461814,0.0718655,0.122979", \ "0.0240240,0.0254227,0.0279911,0.0335599,0.0456721,0.0717956,0.122983", \ "0.0297956,0.0315133,0.0344078,0.0400554,0.0503399,0.0724975,0.122959", \ "0.0367348,0.0386156,0.0417249,0.0478631,0.0594447,0.0802527,0.124156", \ "0.0451526,0.0471227,0.0504136,0.0569640,0.0695070,0.0924755,0.133343"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.00949250,0.0100666,0.0110253,0.0129298,0.0167194,0.0242674,0.0393331", \ "0.0108757,0.0114658,0.0124432,0.0143800,0.0182086,0.0257961,0.0408923", \ "0.0141486,0.0148558,0.0160040,0.0181902,0.0222766,0.0299453,0.0451157", \ "0.0167682,0.0177841,0.0193990,0.0223690,0.0276132,0.0367024,0.0527754", \ "0.0168781,0.0182922,0.0205327,0.0246192,0.0317096,0.0434219,0.0624636", \ "0.0137167,0.0155765,0.0185252,0.0238677,0.0330862,0.0481378,0.0716830", \ "0.00689180,0.00925109,0.0129367,0.0196142,0.0311144,0.0498183,0.0787518"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0310725,0.0332553,0.0368955,0.0441343,0.0585066,0.0870770,0.143938", \ "0.0314644,0.0336742,0.0373629,0.0446792,0.0591689,0.0878817,0.144867", \ "0.0362348,0.0383640,0.0419433,0.0491165,0.0634576,0.0920626,0.149077", \ "0.0484421,0.0504834,0.0537091,0.0603758,0.0741622,0.102125,0.158488", \ "0.0641518,0.0666505,0.0707113,0.0784747,0.0928443,0.119761,0.174979", \ "0.0818852,0.0848146,0.0895418,0.0985993,0.115452,0.145646,0.199907", \ "0.102260,0.105547,0.110926,0.121104,0.140171,0.174592,0.234145"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.00485352,0.00533924,0.00615362,0.00778403,0.0110481,0.0175724,0.0306153", \ "0.00485617,0.00533909,0.00615213,0.00778580,0.0110472,0.0175736,0.0306162", \ "0.00594640,0.00637117,0.00708588,0.00851099,0.0113935,0.0175828,0.0306162", \ "0.00908145,0.00950549,0.0101978,0.0115544,0.0142545,0.0197766,0.0313189", \ "0.0138004,0.0143078,0.0151177,0.0166543,0.0195008,0.0248213,0.0355533", \ "0.0198063,0.0204183,0.0213879,0.0232181,0.0265244,0.0323166,0.0428863", \ "0.0270316,0.0277315,0.0288883,0.0310705,0.0349714,0.0415998,0.0529008"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.0259999,0.0279915,0.0312955,0.0378505,0.0508352,0.0766058,0.127868", \ "0.0259264,0.0279361,0.0312623,0.0378353,0.0508281,0.0766097,0.127866", \ "0.0254264,0.0275328,0.0309849,0.0377121,0.0508020,0.0765826,0.127875", \ "0.0267297,0.0284508,0.0313947,0.0374679,0.0502631,0.0765592,0.127869", \ "0.0325568,0.0343339,0.0372645,0.0428567,0.0534714,0.0766787,0.127829", \ "0.0391235,0.0410944,0.0443465,0.0506006,0.0622200,0.0832306,0.128482", \ "0.0464485,0.0486530,0.0522661,0.0592011,0.0720895,0.0951793,0.136602"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("2.251342,2.275623,2.318022,2.417652,2.550845,2.708430,2.872654", \ "2.148887,2.169997,2.219695,2.332655,2.453686,2.617985,2.773753", \ "2.203992,2.215973,2.228982,2.311016,2.405706,2.544878,2.716847", \ "2.756562,2.767233,2.761596,2.729871,2.734333,2.698557,2.795595", \ "3.628163,3.619996,3.598600,3.565116,3.487893,3.368857,3.220919", \ "4.904683,4.889487,4.857186,4.803254,4.690432,4.477859,4.159320", \ "6.618797,6.570554,6.571679,6.528171,6.398823,6.128982,5.627810"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("10.350980,10.355710,10.389630,10.411170,10.395810,10.435360,10.471570", \ "10.215040,10.222130,10.242990,10.294910,10.310130,10.268280,10.383000", \ "10.117980,10.121660,10.137320,10.166330,10.170760,10.168210,10.232050", \ "10.076400,10.078010,10.091130,10.092230,10.075270,10.126670,10.136560", \ "10.153440,10.154380,10.143890,10.112180,10.085170,10.027110,10.163590", \ "10.306260,10.351250,10.375120,10.431750,10.455600,10.287760,10.325610", \ "11.442010,11.395660,11.336340,11.288130,11.200670,11.152040,11.015910"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.683319,1.725895,1.801859,1.938979,2.108563,2.365098,2.597500", \ "1.569280,1.646208,1.724501,1.844201,2.024454,2.265344,2.487017", \ "1.785432,1.792921,1.804353,1.861836,2.002814,2.181679,2.414972", \ "2.398190,2.387313,2.402546,2.380333,2.390342,2.375352,2.489147", \ "3.260629,3.248182,3.238555,3.193267,3.145237,3.048725,2.914537", \ "4.492343,4.474520,4.483603,4.430491,4.318312,4.113392,3.816536", \ "6.181060,6.166889,6.143843,6.089482,5.973927,5.696422,5.207721"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("9.576151,9.591294,9.636798,9.653866,9.662363,9.668901,9.657687", \ "9.441248,9.462284,9.471364,9.510078,9.575826,9.597592,9.503297", \ "9.347020,9.360507,9.368097,9.375713,9.389823,9.431927,9.356080", \ "9.279895,9.290908,9.294780,9.316979,9.364834,9.359363,9.420309", \ "9.383861,9.356750,9.359867,9.374513,9.387333,9.304858,9.273774", \ "9.510163,9.531562,9.599888,9.671694,9.715883,9.659986,9.436140", \ "10.579100,10.550810,10.499340,10.470790,10.459660,10.416880,10.185840"); } } internal_power () { related_pin : "A"; when : "!B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.887173,1.907077,1.945190,2.070320,2.197064,2.420551,2.631308", \ "1.760862,1.798054,1.861369,1.970379,2.123555,2.296911,2.521227", \ "1.867986,1.892711,1.910004,1.961805,2.086163,2.247592,2.439498", \ "2.438324,2.447985,2.434567,2.446891,2.421785,2.397460,2.515441", \ "3.335547,3.327287,3.271297,3.243822,3.163251,3.058777,2.906667", \ "4.616609,4.574842,4.542181,4.478324,4.349703,4.133272,3.809035", \ "6.313956,6.308635,6.282812,6.180621,6.030378,5.711662,5.220857"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("11.214970,11.231020,11.241510,11.279830,11.332360,11.204190,11.426460", \ "11.095990,11.078400,11.127870,11.151340,11.230750,11.246640,11.370400", \ "10.954860,10.969670,10.979850,11.031370,11.084600,10.985570,11.253360", \ "10.915840,10.929800,10.946890,10.964570,11.004420,11.029200,11.115810", \ "10.982250,10.958780,10.974580,10.982340,11.016690,10.982770,11.108970", \ "11.164250,11.199380,11.241360,11.353830,11.291260,11.250840,11.247780", \ "12.042380,12.032350,12.004040,12.017770,12.046070,12.006550,11.768580"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.896521,1.923378,1.946842,1.998005,2.052400,2.119865,2.191113", \ "1.897776,1.929961,1.958695,2.003645,2.061247,2.139081,2.206777", \ "1.971828,1.956970,1.972583,2.001902,2.051329,2.123598,2.210644", \ "2.431038,2.416558,2.415027,2.381665,2.350257,2.280137,2.299339", \ "3.166725,3.161845,3.136750,3.104284,3.026220,2.895339,2.700793", \ "4.275696,4.248619,4.237748,4.205571,4.104262,3.894663,3.565966", \ "5.715178,5.739632,5.710631,5.689571,5.604752,5.358289,4.908194"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("8.893265,8.898327,8.915757,8.934406,8.934996,9.005870,8.949940", \ "8.738651,8.745602,8.766479,8.802073,8.864998,8.860040,8.902866", \ "8.606490,8.609162,8.623794,8.642127,8.647865,8.738141,8.773260", \ "8.600696,8.601012,8.611468,8.606331,8.601622,8.665833,8.541745", \ "8.830207,8.823792,8.813128,8.801741,8.749968,8.756664,8.738366", \ "9.362225,9.383797,9.369670,9.445870,9.374621,9.122492,9.037418", \ "10.681560,10.615920,10.563460,10.503560,10.466130,10.328760,9.924421"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.559780,1.572534,1.632302,1.712717,1.785840,1.908854,2.001290", \ "1.562193,1.575549,1.624135,1.677229,1.783355,1.888366,1.996631", \ "1.672635,1.682303,1.689493,1.703568,1.762921,1.857525,1.963937", \ "2.162588,2.155435,2.142920,2.138292,2.091808,2.027667,2.046096", \ "2.908779,2.884181,2.869619,2.844228,2.766336,2.633387,2.441974", \ "3.970842,3.978953,3.939092,3.902859,3.803475,3.604517,3.288435", \ "5.356809,5.394778,5.378303,5.344757,5.256333,5.020041,4.569131"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("8.127224,8.142543,8.156833,8.198879,8.192465,8.175680,8.128105", \ "7.975640,7.992659,8.005574,8.030240,8.101675,8.105180,8.210307", \ "7.851297,7.864132,7.906628,7.919447,7.900791,7.921595,8.069986", \ "7.832740,7.842682,7.844711,7.873467,7.902326,7.928546,7.847617", \ "8.057148,8.063809,8.023512,8.029175,8.031345,7.978327,7.846697", \ "8.545119,8.546792,8.579188,8.638940,8.516274,8.440607,8.303738", \ "9.758082,9.746625,9.701962,9.638579,9.630022,9.396263,8.979433"); } } internal_power () { related_pin : "B"; when : "!A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("1.680625,1.673385,1.733680,1.769902,1.835427,1.926693,2.005595", \ "1.636368,1.680081,1.690266,1.764280,1.824545,1.913628,2.006169", \ "1.707240,1.716450,1.714367,1.759278,1.808408,1.884104,1.981566", \ "2.184251,2.174916,2.154833,2.147231,2.096171,2.018342,2.056150", \ "2.946043,2.916328,2.906661,2.842131,2.774320,2.621306,2.436469", \ "4.069366,4.057807,4.013861,3.956017,3.823779,3.615887,3.285407", \ "5.547525,5.525942,5.500251,5.422690,5.304656,5.032845,4.568936"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("9.730447,9.753405,9.793096,9.819360,9.828913,9.911501,9.961055", \ "9.630419,9.612692,9.662234,9.703853,9.760029,9.785381,9.818171", \ "9.493926,9.509063,9.519015,9.567565,9.615653,9.691154,9.774782", \ "9.466794,9.479460,9.484508,9.509515,9.550730,9.609733,9.649823", \ "9.640762,9.614494,9.648042,9.619576,9.604532,9.658709,9.664833", \ "10.131500,10.148320,10.204620,10.222880,10.173230,10.065500,10.008130", \ "11.192430,11.200580,11.205740,11.161820,11.209490,11.056610,10.765430"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.635903,0.635286,0.621806,0.608056,0.589444,0.584992,0.593537", \ "0.603394,0.599419,0.612301,0.611869,0.617748,0.643318,0.663672", \ "0.659394,0.645943,0.653690,0.652066,0.663988,0.691917,0.730304", \ "1.115044,1.090034,1.056707,0.992024,0.896532,0.828680,0.829640", \ "1.820067,1.817408,1.763542,1.685501,1.537076,1.320925,1.102039", \ "2.883658,2.872247,2.827674,2.732191,2.557835,2.222867,1.794270", \ "4.242732,4.222474,4.223469,4.143105,3.974663,3.611566,2.963221"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("5.800483,5.811631,5.863004,5.896195,5.940515,5.867659,5.860827", \ "5.604704,5.629084,5.652358,5.692390,5.811129,5.840408,5.794398", \ "5.590611,5.600927,5.604491,5.623843,5.617368,5.657184,5.698114", \ "6.042106,6.036297,6.014782,5.972438,5.903614,5.846789,5.899021", \ "6.966715,6.928251,6.870367,6.810669,6.671463,6.501832,6.268118", \ "7.992142,7.984612,7.979032,7.993986,7.859842,7.523661,7.195663", \ "9.742651,9.692834,9.617565,9.529151,9.370970,9.102759,8.494587"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("0.639312,0.630310,0.625690,0.609445,0.586369,0.584943,0.595461", \ "0.579099,0.588998,0.591909,0.606045,0.616217,0.641853,0.669107", \ "0.517039,0.522730,0.532716,0.549609,0.589559,0.645920,0.706083", \ "0.765008,0.756572,0.750048,0.728687,0.692006,0.670087,0.720262", \ "1.252942,1.244718,1.225336,1.181720,1.106524,0.995298,0.852626", \ "1.966859,1.982367,1.950675,1.920190,1.822567,1.624860,1.357133", \ "2.982773,2.972491,2.967776,2.910102,2.839886,2.602272,2.208807"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.905991,1.811980,3.623960,7.247930,14.495900,28.991700"); values ("7.270131,7.283741,7.290727,7.304024,7.361733,7.332799,7.276427", \ "7.121795,7.139419,7.153446,7.185417,7.176066,7.204780,7.196147", \ "7.122041,7.132499,7.135379,7.168184,7.165562,7.216746,7.148180", \ "7.560144,7.559918,7.546270,7.520457,7.447796,7.439301,7.313589", \ "8.466870,8.419375,8.385385,8.357292,8.194543,8.073498,7.888283", \ "9.553085,9.530594,9.581663,9.604272,9.462268,9.200789,8.813944", \ "11.165670,11.140230,11.141550,11.087460,10.995620,10.687460,10.239970"); } } } } /****************************************************************************************** Module : AOI211_X4 Cell Description : Combinational cell (AOI211_X4) with drive strength X4 *******************************************************************************************/ cell (AOI211_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 126.001026; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 101.298890; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 122.607430; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 101.902462; } leakage_power () { when : "!A & !B & C1 & C2"; value : 131.987350; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 111.129810; } leakage_power () { when : "!A & B & !C1 & C2"; value : 125.781590; } leakage_power () { when : "!A & B & C1 & !C2"; value : 125.782690; } leakage_power () { when : "!A & B & C1 & C2"; value : 139.032960; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 110.828850; } leakage_power () { when : "A & !B & !C1 & C2"; value : 125.550370; } leakage_power () { when : "A & !B & C1 & !C2"; value : 125.551580; } leakage_power () { when : "A & !B & C1 & C2"; value : 138.959700; } leakage_power () { when : "A & B & !C1 & !C2"; value : 124.179110; } leakage_power () { when : "A & B & !C1 & C2"; value : 138.927140; } leakage_power () { when : "A & B & C1 & !C2"; value : 138.927690; } leakage_power () { when : "A & B & C1 & C2"; value : 153.568800; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.668945; fall_capacitance : 1.612621; rise_capacitance : 1.668945; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.696344; fall_capacitance : 1.508759; rise_capacitance : 1.696344; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.632665; fall_capacitance : 1.388524; rise_capacitance : 1.632665; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.749982; fall_capacitance : 1.436850; rise_capacitance : 1.749982; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "!(!(!(((C1 & C2) | B) | A)))"; timing () { related_pin : "A"; when : "!B & !C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.034256,0.038181,0.041419,0.046939,0.056756,0.075370,0.112187", \ "0.035711,0.039636,0.042874,0.048394,0.058211,0.076823,0.113642", \ "0.041292,0.045216,0.048453,0.053971,0.063787,0.082399,0.119219", \ "0.049369,0.053291,0.056532,0.062055,0.071875,0.090489,0.127309", \ "0.055741,0.059686,0.062943,0.068495,0.078314,0.096933,0.133752", \ "0.059672,0.063697,0.066999,0.072572,0.082404,0.101025,0.137832", \ "0.060697,0.064871,0.068264,0.073915,0.083679,0.102298,0.139091"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.088431,0.094175,0.098997,0.108144,0.126374,0.162865,0.235765", \ "0.089753,0.095497,0.100319,0.109466,0.127695,0.164186,0.237088", \ "0.094695,0.100439,0.105261,0.114407,0.132639,0.169130,0.242031", \ "0.102840,0.108583,0.113405,0.122551,0.140781,0.177271,0.250170", \ "0.114178,0.119948,0.124775,0.133911,0.152125,0.188609,0.261505", \ "0.127158,0.133096,0.137981,0.147110,0.165277,0.201732,0.274621", \ "0.143109,0.149226,0.154191,0.163347,0.181473,0.217878,0.290734"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003967,0.005775,0.007567,0.011136,0.018528,0.034055,0.065918", \ "0.003967,0.005774,0.007567,0.011136,0.018527,0.034055,0.065918", \ "0.003959,0.005769,0.007563,0.011132,0.018526,0.034055,0.065915", \ "0.004013,0.005813,0.007598,0.011154,0.018534,0.034056,0.065916", \ "0.004180,0.005940,0.007698,0.011220,0.018567,0.034065,0.065920", \ "0.004511,0.006203,0.007911,0.011363,0.018637,0.034083,0.065919", \ "0.005015,0.006641,0.008281,0.011630,0.018777,0.034122,0.065925"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005882,0.008894,0.012414,0.020526,0.037670,0.072265,0.141513", \ "0.005882,0.008894,0.012414,0.020526,0.037672,0.072271,0.141518", \ "0.005880,0.008894,0.012416,0.020524,0.037671,0.072262,0.141525", \ "0.005881,0.008894,0.012414,0.020523,0.037669,0.072264,0.141522", \ "0.005950,0.008952,0.012447,0.020533,0.037671,0.072262,0.141529", \ "0.006241,0.009253,0.012636,0.020602,0.037694,0.072272,0.141515", \ "0.006558,0.009605,0.012877,0.020695,0.037720,0.072280,0.141529"); } } timing () { related_pin : "A"; when : "!B & !C1 & C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.032749,0.036683,0.039926,0.045452,0.055273,0.073889,0.110706", \ "0.034236,0.038171,0.041414,0.046939,0.056760,0.075375,0.112193", \ "0.039880,0.043810,0.047050,0.052572,0.062391,0.081005,0.117823", \ "0.047512,0.051439,0.054683,0.060209,0.070033,0.088648,0.125469", \ "0.053333,0.057286,0.060546,0.066096,0.075936,0.094554,0.131374", \ "0.056613,0.060657,0.063969,0.069548,0.079396,0.098018,0.134823", \ "0.056840,0.061049,0.064464,0.070140,0.079934,0.098562,0.135354"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.095912,0.101805,0.106678,0.115822,0.134022,0.170492,0.243381", \ "0.097146,0.103037,0.107909,0.117052,0.135251,0.171728,0.244613", \ "0.101975,0.107869,0.112742,0.121885,0.140087,0.176556,0.249449", \ "0.109871,0.115765,0.120637,0.129781,0.147983,0.184453,0.257343", \ "0.120533,0.126447,0.131323,0.140462,0.158652,0.195116,0.267999", \ "0.132887,0.138944,0.143881,0.153010,0.171150,0.207590,0.280461", \ "0.148301,0.154516,0.159534,0.168701,0.186835,0.223205,0.296038"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003987,0.005793,0.007585,0.011150,0.018536,0.034059,0.065919", \ "0.003984,0.005790,0.007582,0.011148,0.018535,0.034059,0.065917", \ "0.003971,0.005780,0.007574,0.011141,0.018532,0.034057,0.065917", \ "0.004031,0.005827,0.007610,0.011164,0.018541,0.034058,0.065917", \ "0.004213,0.005966,0.007719,0.011236,0.018575,0.034068,0.065922", \ "0.004578,0.006258,0.007955,0.011395,0.018653,0.034088,0.065922", \ "0.005123,0.006737,0.008366,0.011691,0.018811,0.034131,0.065925"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006137,0.009158,0.012579,0.020582,0.037684,0.072273,0.141529", \ "0.006137,0.009158,0.012580,0.020581,0.037685,0.072263,0.141525", \ "0.006138,0.009159,0.012580,0.020583,0.037685,0.072269,0.141518", \ "0.006136,0.009159,0.012579,0.020585,0.037692,0.072277,0.141529", \ "0.006179,0.009196,0.012602,0.020589,0.037687,0.072266,0.141530", \ "0.006441,0.009477,0.012789,0.020660,0.037706,0.072275,0.141520", \ "0.006728,0.009803,0.013020,0.020756,0.037736,0.072286,0.141545"); } } timing () { related_pin : "A"; when : "!B & C1 & !C2"; sdf_cond : "(B == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033934,0.037878,0.041129,0.046661,0.056488,0.075105,0.111922", \ "0.035419,0.039362,0.042612,0.048144,0.057971,0.076588,0.113404", \ "0.041059,0.044999,0.048245,0.053774,0.063599,0.082214,0.119032", \ "0.049110,0.053053,0.056305,0.061841,0.071670,0.090285,0.127105", \ "0.055511,0.059500,0.062778,0.068346,0.078184,0.096804,0.133618", \ "0.059392,0.063494,0.066838,0.072450,0.082302,0.100923,0.137723", \ "0.060249,0.064533,0.067992,0.073717,0.083537,0.102180,0.138960"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.104712,0.110676,0.115573,0.124709,0.142893,0.179350,0.252231", \ "0.106019,0.111981,0.116878,0.126016,0.144200,0.180654,0.253538", \ "0.110836,0.116804,0.121695,0.130835,0.149018,0.185474,0.258355", \ "0.118682,0.124645,0.129542,0.138680,0.156864,0.193321,0.266201", \ "0.129456,0.135426,0.140325,0.149461,0.167633,0.204083,0.276962", \ "0.142541,0.148636,0.153589,0.162727,0.180860,0.217297,0.290152", \ "0.158492,0.164738,0.169771,0.178948,0.197086,0.233460,0.306294"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004043,0.005838,0.007619,0.011173,0.018548,0.034063,0.065918", \ "0.004039,0.005835,0.007617,0.011171,0.018547,0.034061,0.065919", \ "0.004030,0.005825,0.007609,0.011165,0.018544,0.034060,0.065918", \ "0.004111,0.005889,0.007659,0.011195,0.018555,0.034063,0.065918", \ "0.004348,0.006074,0.007807,0.011294,0.018604,0.034075,0.065923", \ "0.004781,0.006429,0.008099,0.011496,0.018705,0.034102,0.065921", \ "0.005365,0.006958,0.008561,0.011841,0.018895,0.034159,0.065927"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006272,0.009296,0.012665,0.020613,0.037695,0.072268,0.141524", \ "0.006274,0.009295,0.012666,0.020614,0.037700,0.072271,0.141526", \ "0.006272,0.009295,0.012666,0.020614,0.037702,0.072277,0.141525", \ "0.006274,0.009295,0.012667,0.020614,0.037697,0.072268,0.141519", \ "0.006288,0.009309,0.012676,0.020618,0.037697,0.072283,0.141527", \ "0.006524,0.009561,0.012843,0.020681,0.037713,0.072284,0.141524", \ "0.006795,0.009872,0.013070,0.020774,0.037743,0.072305,0.141537"); } } timing () { related_pin : "B"; when : "!A & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033282,0.037194,0.040422,0.045931,0.055739,0.074350,0.111167", \ "0.034833,0.038745,0.041973,0.047481,0.057290,0.075901,0.112717", \ "0.040342,0.044252,0.047480,0.052988,0.062796,0.081407,0.118224", \ "0.047784,0.051696,0.054932,0.060448,0.070263,0.088875,0.125695", \ "0.053308,0.057245,0.060497,0.066032,0.075866,0.094481,0.131302", \ "0.056161,0.060185,0.063486,0.069064,0.078897,0.097514,0.134322", \ "0.055718,0.059905,0.063306,0.068963,0.078792,0.097410,0.134199"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.084890,0.090634,0.095456,0.104605,0.122833,0.159323,0.232226", \ "0.085695,0.091438,0.096261,0.105409,0.123638,0.160129,0.233029", \ "0.090486,0.096229,0.101051,0.110197,0.128428,0.164922,0.237818", \ "0.100165,0.105908,0.110730,0.119876,0.138106,0.174597,0.247495", \ "0.115375,0.121153,0.125980,0.135092,0.153296,0.189771,0.262664", \ "0.134209,0.140203,0.145106,0.154240,0.172357,0.208800,0.281678", \ "0.156647,0.162870,0.167886,0.177055,0.195193,0.231600,0.304451"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003915,0.005729,0.007528,0.011106,0.018510,0.034048,0.065915", \ "0.003913,0.005729,0.007528,0.011106,0.018511,0.034048,0.065915", \ "0.003914,0.005730,0.007529,0.011106,0.018511,0.034048,0.065914", \ "0.003984,0.005786,0.007574,0.011137,0.018523,0.034052,0.065915", \ "0.004160,0.005921,0.007681,0.011207,0.018558,0.034061,0.065918", \ "0.004515,0.006204,0.007909,0.011361,0.018633,0.034080,0.065919", \ "0.005051,0.006675,0.008311,0.011651,0.018787,0.034123,0.065923"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005882,0.008896,0.012416,0.020521,0.037669,0.072272,0.141526", \ "0.005882,0.008895,0.012415,0.020524,0.037671,0.072271,0.141528", \ "0.005880,0.008894,0.012414,0.020524,0.037670,0.072262,0.141529", \ "0.005883,0.008894,0.012416,0.020525,0.037671,0.072269,0.141527", \ "0.005964,0.008967,0.012455,0.020535,0.037672,0.072260,0.141528", \ "0.006353,0.009365,0.012707,0.020625,0.037703,0.072280,0.141533", \ "0.006765,0.009828,0.013032,0.020756,0.037735,0.072285,0.141532"); } } timing () { related_pin : "B"; when : "!A & !C1 & C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.032175,0.036090,0.039320,0.044830,0.054640,0.073250,0.110070", \ "0.033716,0.037630,0.040861,0.046370,0.056180,0.074791,0.111608", \ "0.039201,0.043114,0.046342,0.051850,0.061660,0.080270,0.117089", \ "0.046208,0.050122,0.053357,0.058875,0.068693,0.087304,0.124123", \ "0.051206,0.055149,0.058402,0.063944,0.073771,0.092386,0.129206", \ "0.053422,0.057460,0.060768,0.066347,0.076188,0.094805,0.131612", \ "0.052233,0.056445,0.059861,0.065536,0.075378,0.094003,0.130792"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.092444,0.098336,0.103209,0.112352,0.130553,0.167024,0.239918", \ "0.093163,0.099056,0.103929,0.113074,0.131274,0.167748,0.240637", \ "0.097823,0.103716,0.108588,0.117732,0.135934,0.172402,0.245298", \ "0.106797,0.112690,0.117562,0.126705,0.144907,0.181379,0.254267", \ "0.120583,0.126504,0.131382,0.140522,0.158693,0.195148,0.268030", \ "0.138283,0.144381,0.149336,0.158472,0.176561,0.212975,0.285853", \ "0.160123,0.166408,0.171464,0.180628,0.198761,0.235132,0.307972"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003920,0.005734,0.007532,0.011110,0.018512,0.034050,0.065916", \ "0.003918,0.005733,0.007532,0.011109,0.018513,0.034049,0.065915", \ "0.003918,0.005733,0.007531,0.011109,0.018511,0.034049,0.065914", \ "0.003991,0.005791,0.007580,0.011141,0.018526,0.034052,0.065914", \ "0.004179,0.005936,0.007692,0.011214,0.018562,0.034061,0.065919", \ "0.004562,0.006242,0.007940,0.011382,0.018644,0.034083,0.065920", \ "0.005127,0.006745,0.008373,0.011696,0.018812,0.034129,0.065924"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006138,0.009158,0.012580,0.020581,0.037684,0.072265,0.141519", \ "0.006137,0.009159,0.012578,0.020584,0.037691,0.072270,0.141522", \ "0.006137,0.009158,0.012580,0.020582,0.037685,0.072279,0.141521", \ "0.006138,0.009158,0.012580,0.020581,0.037685,0.072261,0.141522", \ "0.006196,0.009213,0.012611,0.020593,0.037687,0.072266,0.141530", \ "0.006525,0.009565,0.012847,0.020682,0.037712,0.072275,0.141532", \ "0.006868,0.009958,0.013135,0.020796,0.037744,0.072298,0.141538"); } } timing () { related_pin : "B"; when : "!A & C1 & !C2"; sdf_cond : "(A == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033317,0.037242,0.040479,0.045996,0.055811,0.074424,0.111242", \ "0.034857,0.038781,0.042018,0.047535,0.057350,0.075961,0.112779", \ "0.040354,0.044277,0.047512,0.053028,0.062843,0.081455,0.118273", \ "0.047803,0.051733,0.054976,0.060504,0.070328,0.088941,0.125760", \ "0.053386,0.057365,0.060637,0.066195,0.076038,0.094654,0.131470", \ "0.056229,0.060329,0.063670,0.069285,0.079141,0.097760,0.134557", \ "0.055698,0.059989,0.063454,0.069181,0.079060,0.097686,0.134464"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.101238,0.107202,0.112099,0.121237,0.139421,0.175879,0.248759", \ "0.102040,0.108002,0.112899,0.122038,0.140219,0.176678,0.249559", \ "0.106687,0.112650,0.117548,0.126687,0.144870,0.181327,0.254208", \ "0.115616,0.121579,0.126476,0.135614,0.153798,0.190253,0.263138", \ "0.129611,0.135582,0.140481,0.149603,0.167771,0.204217,0.277099", \ "0.148262,0.154386,0.159352,0.168508,0.186628,0.223011,0.295873", \ "0.170934,0.177237,0.182302,0.191482,0.209669,0.246030,0.318842"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003976,0.005777,0.007566,0.011132,0.018523,0.034052,0.065915", \ "0.003975,0.005777,0.007566,0.011132,0.018523,0.034052,0.065915", \ "0.003973,0.005777,0.007566,0.011132,0.018523,0.034051,0.065917", \ "0.004073,0.005855,0.007629,0.011173,0.018541,0.034056,0.065916", \ "0.004319,0.006047,0.007783,0.011275,0.018591,0.034069,0.065920", \ "0.004773,0.006420,0.008089,0.011488,0.018699,0.034098,0.065920", \ "0.005381,0.006977,0.008579,0.011854,0.018903,0.034159,0.065925"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006273,0.009295,0.012667,0.020613,0.037702,0.072281,0.141534", \ "0.006274,0.009295,0.012667,0.020614,0.037695,0.072276,0.141526", \ "0.006272,0.009296,0.012667,0.020613,0.037701,0.072273,0.141526", \ "0.006275,0.009295,0.012667,0.020614,0.037702,0.072270,0.141535", \ "0.006293,0.009316,0.012679,0.020618,0.037696,0.072276,0.141535", \ "0.006589,0.009626,0.012888,0.020695,0.037715,0.072280,0.141534", \ "0.006916,0.010003,0.013167,0.020810,0.037749,0.072299,0.141531"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0384389,0.0424216,0.0456987,0.0512630,0.0611136,0.0797387,0.116551", \ "0.0398551,0.0438391,0.0471165,0.0526804,0.0625311,0.0811562,0.117969", \ "0.0450085,0.0489926,0.0522711,0.0578346,0.0676851,0.0863113,0.123122", \ "0.0526074,0.0566134,0.0599064,0.0654888,0.0753510,0.0939778,0.130790", \ "0.0585354,0.0625869,0.0659104,0.0715043,0.0813923,0.100026,0.136839", \ "0.0619814,0.0661642,0.0695654,0.0752552,0.0851450,0.103791,0.140583", \ "0.0622876,0.0666837,0.0702287,0.0760484,0.0859373,0.104601,0.141375"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0757717,0.0816638,0.0865362,0.0956801,0.113881,0.150351,0.223240", \ "0.0762511,0.0821426,0.0870150,0.0961592,0.114360,0.150830,0.223719", \ "0.0801947,0.0860889,0.0909612,0.100104,0.118306,0.154781,0.227668", \ "0.0909388,0.0968293,0.101701,0.110842,0.129045,0.165513,0.238405", \ "0.109188,0.115097,0.119968,0.129101,0.147253,0.183712,0.256595", \ "0.131015,0.137141,0.142103,0.151253,0.169322,0.205732,0.278603", \ "0.155034,0.161431,0.166549,0.175687,0.193731,0.230079,0.302902"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00417356,0.00595204,0.00772039,0.0112511,0.0185965,0.0340781,0.0659171", \ "0.00417332,0.00595173,0.00772032,0.0112515,0.0185965,0.0340788,0.0659156", \ "0.00417655,0.00595434,0.00772257,0.0112527,0.0185971,0.0340792,0.0659155", \ "0.00429097,0.00605262,0.00780301,0.0113074,0.0186231,0.0340850,0.0659192", \ "0.00452052,0.00623935,0.00796002,0.0114198,0.0186856,0.0341094,0.0659235", \ "0.00499767,0.00664359,0.00830005,0.0116636,0.0188168,0.0341480,0.0659283", \ "0.00566608,0.00727205,0.00886299,0.0120979,0.0190705,0.0342379,0.0659433"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00613593,0.00915869,0.0125793,0.0205827,0.0376834,0.0722683,0.141530", \ "0.00613608,0.00915862,0.0125796,0.0205856,0.0376853,0.0722668,0.141531", \ "0.00613742,0.00915594,0.0125775,0.0205818,0.0376864,0.0722688,0.141525", \ "0.00612891,0.00915168,0.0125755,0.0205807,0.0376881,0.0722789,0.141532", \ "0.00617446,0.00918791,0.0125969,0.0205907,0.0376889,0.0722690,0.141525", \ "0.00659778,0.00962528,0.0128835,0.0206875,0.0377111,0.0722885,0.141528", \ "0.00710295,0.0102061,0.0133118,0.0208596,0.0377612,0.0722980,0.141534"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0397542,0.0437376,0.0470148,0.0525785,0.0624291,0.0810542,0.117867", \ "0.0412320,0.0452148,0.0484925,0.0540562,0.0639068,0.0825324,0.119344", \ "0.0452531,0.0492357,0.0525139,0.0580777,0.0679281,0.0865529,0.123364", \ "0.0512612,0.0552590,0.0585477,0.0641246,0.0739823,0.0926081,0.129417", \ "0.0567995,0.0608310,0.0641414,0.0697434,0.0796239,0.0982554,0.135068", \ "0.0603696,0.0644683,0.0678238,0.0734732,0.0833837,0.102026,0.138830", \ "0.0609969,0.0652265,0.0686628,0.0743829,0.0843498,0.103020,0.139812"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0832897,0.0892527,0.0941497,0.103291,0.121472,0.157927,0.230818", \ "0.0839301,0.0898935,0.0947900,0.103927,0.122111,0.158569,0.231458", \ "0.0882919,0.0942543,0.0991511,0.108289,0.126472,0.162926,0.235811", \ "0.0991105,0.105073,0.109970,0.119108,0.137290,0.173743,0.246626", \ "0.117623,0.123588,0.128483,0.137610,0.155791,0.192236,0.265117", \ "0.141177,0.147311,0.152275,0.161446,0.179467,0.215866,0.288727", \ "0.167026,0.173413,0.178522,0.187684,0.205713,0.241995,0.314808"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00417172,0.00595165,0.00772073,0.0112515,0.0185966,0.0340788,0.0659156", \ "0.00417282,0.00595143,0.00772056,0.0112511,0.0185965,0.0340788,0.0659171", \ "0.00417439,0.00595351,0.00772135,0.0112518,0.0185968,0.0340785,0.0659177", \ "0.00424758,0.00601624,0.00777418,0.0112871,0.0186142,0.0340835,0.0659157", \ "0.00439646,0.00613939,0.00787847,0.0113644,0.0186575,0.0340992,0.0659224", \ "0.00467885,0.00637890,0.00808271,0.0115129,0.0187411,0.0341269,0.0659246", \ "0.00512547,0.00677220,0.00842326,0.0117677,0.0188878,0.0341827,0.0659359"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00627407,0.00929438,0.0126671,0.0206132,0.0376963,0.0722747,0.141521", \ "0.00627409,0.00929472,0.0126657,0.0206144,0.0376975,0.0722751,0.141521", \ "0.00627375,0.00929427,0.0126653,0.0206136,0.0376947,0.0722699,0.141520", \ "0.00626972,0.00929215,0.0126646,0.0206142,0.0377001,0.0722763,0.141520", \ "0.00627052,0.00929437,0.0126656,0.0206130,0.0376942,0.0722656,0.141519", \ "0.00661851,0.00964500,0.0128949,0.0206943,0.0377163,0.0722872,0.141519", \ "0.00709886,0.0101926,0.0132990,0.0208541,0.0377543,0.0723047,0.141538"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.790520,11.682100,12.273530,12.754940,13.090980,13.254930,13.373810", \ "10.750210,11.656070,12.200520,12.715660,13.026900,13.208710,13.321110", \ "10.729720,11.627650,12.196910,12.675570,13.005340,13.179550,13.292740", \ "10.985080,11.804030,12.349500,12.861700,13.181490,13.359300,13.484620", \ "11.564930,12.333090,12.881650,13.336320,13.646320,13.842620,13.962240", \ "12.505220,13.209940,13.787060,14.258190,14.581030,14.726440,14.837390", \ "13.758480,14.439130,15.014720,15.534160,15.905670,16.097890,16.175590"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.373490,16.266540,16.751060,17.348040,17.877960,18.010180,18.232420", \ "15.357270,16.158920,16.582990,17.285710,17.502730,17.636850,17.247790", \ "15.325780,16.179600,16.625790,16.995640,17.603850,17.382040,18.015960", \ "15.319140,16.142650,16.532240,16.968170,17.767730,17.899920,17.568070", \ "15.376960,16.259600,16.743760,17.312430,17.848870,17.893120,18.311180", \ "15.639600,16.565650,17.074810,17.690870,18.295070,18.410790,18.036780", \ "16.251040,17.167200,17.599760,18.300870,19.070290,18.804640,19.660410"); } } internal_power () { related_pin : "A"; when : "!B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.638800,11.538070,12.109240,12.624490,12.959340,13.154380,13.277330", \ "10.613880,11.490410,12.072360,12.562290,12.916290,13.101560,13.219600", \ "10.561050,11.427180,12.022000,12.533440,12.874920,13.066160,13.187780", \ "10.802370,11.641500,12.192020,12.714920,13.047370,13.245570,13.371890", \ "11.432800,12.209270,12.724770,13.202180,13.512450,13.718610,13.850330", \ "12.348130,13.047300,13.614920,14.115250,14.450150,14.591940,14.712320", \ "13.513380,14.294960,14.818920,15.388650,15.765250,15.968040,16.055550"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.258690,16.247870,16.605920,16.941660,17.656040,18.025110,17.916290", \ "15.296880,16.184430,16.485670,16.897370,17.600190,17.880100,17.676850", \ "15.215470,16.110410,16.416120,16.905600,17.514860,17.587540,17.517910", \ "15.194000,15.984070,16.407560,16.956270,17.466830,17.282690,17.337810", \ "15.318210,16.148820,16.586920,17.099490,17.387330,17.473990,17.653180", \ "15.618270,16.482240,16.901340,17.455330,17.987670,18.181970,18.305850", \ "16.195030,17.087670,17.550600,18.160630,18.741510,18.940890,19.256760"); } } internal_power () { related_pin : "A"; when : "!B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.639060,11.557650,12.123860,12.628830,12.978670,13.158420,13.284400", \ "10.581500,11.494350,12.039870,12.568280,12.905040,13.100070,13.228680", \ "10.557190,11.461600,12.043580,12.541920,12.892840,13.072940,13.191300", \ "10.827080,11.645350,12.217650,12.736770,13.070980,13.269740,13.398360", \ "11.492250,12.230240,12.768910,13.256720,13.553820,13.763660,13.896130", \ "12.466210,13.148880,13.715670,14.224790,14.538980,14.700740,14.811980", \ "13.666320,14.409550,14.986940,15.551430,15.933160,16.127250,16.206670"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.305150,17.144380,17.459200,18.128780,18.452270,18.800610,17.937600", \ "16.230920,17.059830,17.520580,18.090330,18.688730,18.984970,19.033840", \ "16.159090,17.053350,17.446740,17.970450,18.313190,18.805840,18.854710", \ "16.147210,16.987300,17.376160,17.917870,18.463200,18.781730,18.114640", \ "16.247870,17.098730,17.467850,17.931760,18.658410,18.853120,18.964470", \ "16.452350,17.431610,17.924460,18.341660,19.073520,19.273740,19.095200", \ "16.968060,17.985600,18.361150,18.968700,19.692310,20.062650,20.169540"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.452100,11.323440,11.922710,12.397750,12.686420,12.830470,12.913580", \ "10.468300,11.343300,11.907270,12.378170,12.675140,12.826260,12.915140", \ "10.464140,11.323480,11.920510,12.396990,12.669920,12.821130,12.912570", \ "10.679830,11.556800,12.052660,12.537250,12.838860,13.006800,13.095630", \ "11.280460,12.081010,12.553130,13.012990,13.297480,13.456160,13.559670", \ "12.124130,12.901520,13.411050,13.895330,14.204040,14.316920,14.402640", \ "13.204900,13.978640,14.562310,15.082350,15.442090,15.615680,15.666150"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.666560,15.582560,15.945520,16.409500,16.944580,17.080320,16.375630", \ "14.563270,15.485060,15.822810,16.490270,17.148720,17.312020,17.599400", \ "14.511580,15.372170,15.857120,16.265720,17.044460,16.565140,17.427540", \ "14.489250,15.418540,15.717150,16.388930,17.048490,16.743640,16.686390", \ "14.855320,15.625700,16.071650,16.576340,17.247170,17.642540,17.374690", \ "15.328850,16.213650,16.756400,17.378070,17.952910,18.105860,18.322910", \ "16.036000,17.106940,17.597480,18.146330,18.898790,19.225650,18.872720"); } } internal_power () { related_pin : "B"; when : "!A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.349510,11.224910,11.809940,12.304400,12.590700,12.749990,12.831020", \ "10.348180,11.215350,11.804280,12.277430,12.576060,12.740010,12.822140", \ "10.334390,11.203640,11.799400,12.268770,12.566030,12.724950,12.807390", \ "10.550740,11.405830,11.939900,12.421460,12.739700,12.889290,12.987310", \ "11.183060,11.937530,12.432850,12.896360,13.178410,13.350400,13.452280", \ "11.980100,12.759660,13.295960,13.785410,14.074640,14.200310,14.285700", \ "13.157600,13.851100,14.413610,14.946760,15.307180,15.493390,15.545650"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.664190,15.498360,15.818620,16.234680,16.827490,17.103700,16.771880", \ "14.583850,15.379750,15.816030,16.337870,16.876460,17.223060,16.668820", \ "14.481520,15.305260,15.683210,16.076400,16.578820,16.718870,16.530430", \ "14.465440,15.273880,15.658050,16.207650,16.812170,16.955970,16.845910", \ "14.716960,15.566180,15.970070,16.376720,16.890680,16.453180,17.015420", \ "15.089860,16.149680,16.577050,16.956980,17.624320,17.912660,17.506290", \ "15.930920,16.922870,17.288020,17.765530,18.444330,18.757740,18.508340"); } } internal_power () { related_pin : "B"; when : "!A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.351400,11.251770,11.819810,12.279970,12.600890,12.741920,12.835720", \ "10.349570,11.241540,11.813540,12.270150,12.591050,12.732530,12.826950", \ "10.355010,11.230020,11.798540,12.257070,12.577420,12.725550,12.819720", \ "10.621380,11.429090,11.938260,12.433120,12.746200,12.910820,13.008290", \ "11.243050,11.952930,12.485630,12.955440,13.213860,13.394630,13.505710", \ "12.147300,12.821430,13.380850,13.877050,14.168200,14.291090,14.374140", \ "13.329170,13.972150,14.548860,15.110240,15.467890,15.645920,15.693650"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.615500,16.383650,16.806710,17.356080,17.719990,18.255400,18.145570", \ "15.509350,16.331530,16.743910,17.320770,17.836450,18.032460,18.028420", \ "15.425400,16.247700,16.671280,17.236280,17.595090,18.071450,17.892910", \ "15.409950,16.229080,16.645520,17.132220,17.577870,18.182570,18.212060", \ "15.517590,16.482090,16.823380,17.306670,18.024110,18.219440,18.279840", \ "16.008580,17.058660,17.403570,18.029360,18.370880,18.614240,18.272620", \ "16.846830,17.759080,18.226140,18.843410,19.560010,19.628220,19.686900"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.563326,10.432520,10.957860,11.436060,11.732940,11.867030,11.933250", \ "9.597934,10.397890,10.979250,11.448570,11.745090,11.884730,11.948400", \ "9.646369,10.448010,10.999510,11.493690,11.778670,11.913710,11.982260", \ "9.928781,10.689940,11.229620,11.702300,12.017460,12.155390,12.224000", \ "10.532510,11.216360,11.766660,12.234060,12.519610,12.677380,12.749010", \ "11.461390,12.129580,12.658510,13.181410,13.509620,13.618870,13.670990", \ "12.565830,13.239200,13.790580,14.409280,14.845630,15.050480,15.074710"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.504910,14.324120,14.716610,15.125890,15.815220,15.915620,16.124720", \ "13.426150,14.239540,14.647090,15.035230,15.776880,15.984310,16.076440", \ "13.385840,14.201240,14.650630,15.102490,15.763760,15.973460,16.138110", \ "13.557830,14.376030,14.738650,15.336220,15.554710,15.757510,16.140930", \ "14.221900,15.010810,15.421080,15.936010,16.155120,16.715330,16.844780", \ "15.124400,16.061760,16.493250,17.033670,17.532380,17.599490,17.377060", \ "16.364800,17.386950,17.724240,18.291190,18.978180,19.242300,18.849900"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.586475,10.389290,10.959290,11.441610,11.737560,11.876920,11.940830", \ "9.594341,10.394410,10.973830,11.450190,11.747440,11.880350,11.946880", \ "9.592659,10.367040,10.949790,11.432050,11.733360,11.862470,11.929850", \ "9.705382,10.502280,11.008180,11.510150,11.802070,11.946060,12.004020", \ "10.084090,10.796850,11.356990,11.834290,12.125670,12.259290,12.326060", \ "10.633130,11.409280,11.953800,12.509090,12.821130,12.927980,12.973200", \ "11.499280,12.236990,12.778580,13.387910,13.796940,14.004710,14.021220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.397280,15.184150,15.598440,16.177480,16.811420,16.793840,16.389450", \ "14.317230,15.127410,15.516020,16.126810,16.328340,16.806960,16.315550", \ "14.307320,15.087560,15.580920,16.133910,16.406460,17.037800,16.300560", \ "14.420890,15.304650,15.684160,16.251240,16.793020,17.213080,16.296230", \ "14.973420,15.872860,16.221510,16.753710,17.209690,17.455800,17.812090", \ "15.927100,16.966360,17.233020,17.723630,18.428130,18.767480,18.682100", \ "17.226510,18.264100,18.623190,19.032630,19.627660,19.875370,19.632150"); } } } } /****************************************************************************************** Module : AOI221_X1 Cell Description : Combinational cell (AOI221_X1) with drive strength X1 *******************************************************************************************/ cell (AOI221_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 41.741212; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 17.064630; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 38.378010; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 17.666991; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 37.438192; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 38.377900; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 59.688860; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 38.980261; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 52.056961; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 17.666991; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 38.980261; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 18.269901; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 52.052352; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 32.227316; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 46.926935; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 46.923305; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 59.170189; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 16.585503; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 31.237305; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 31.236194; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 44.487758; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 31.205779; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 45.857581; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 45.856470; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 59.108099; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 31.204668; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 45.856470; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 45.854050; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 59.106889; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 44.364437; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 59.110382; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 59.108952; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 73.669192; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.677530; fall_capacitance : 1.497393; rise_capacitance : 1.677530; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.581620; fall_capacitance : 1.574055; rise_capacitance : 1.581620; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.628297; fall_capacitance : 1.551475; rise_capacitance : 1.628297; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.632251; fall_capacitance : 1.383493; rise_capacitance : 1.632251; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.706745; fall_capacitance : 1.401918; rise_capacitance : 1.706745; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 13.809200; function : "!(((C1 & C2) | A) | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.007450,0.007874,0.008639,0.010006,0.012441,0.016780,0.024563", \ "0.008984,0.009405,0.010167,0.011533,0.013968,0.018309,0.026094", \ "0.013606,0.014161,0.015137,0.016794,0.019513,0.023857,0.031586", \ "0.016705,0.017527,0.018977,0.021456,0.025529,0.031980,0.041782", \ "0.017123,0.018226,0.020187,0.023521,0.029017,0.037720,0.050987", \ "0.014461,0.015849,0.018333,0.022563,0.029512,0.040542,0.057390", \ "0.008426,0.010110,0.013100,0.018197,0.026650,0.040070,0.060574"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.029578,0.031254,0.034294,0.039823,0.049868,0.068162,0.101536", \ "0.030615,0.032300,0.035365,0.040939,0.051063,0.069462,0.102944", \ "0.036080,0.037741,0.040772,0.046302,0.056380,0.074776,0.108320", \ "0.045597,0.047483,0.050819,0.056517,0.066577,0.084885,0.118346", \ "0.055932,0.058299,0.062453,0.069590,0.081573,0.101213,0.134578", \ "0.068722,0.071507,0.076388,0.084766,0.098801,0.121697,0.158329", \ "0.085106,0.088228,0.093731,0.103220,0.119121,0.145070,0.186396"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.004795,0.005118,0.005709,0.006786,0.008754,0.012356,0.018961", \ "0.004724,0.005062,0.005670,0.006767,0.008747,0.012355,0.018961", \ "0.006952,0.007214,0.007675,0.008460,0.009813,0.012706,0.018959", \ "0.011372,0.011760,0.012435,0.013585,0.015453,0.018415,0.022973", \ "0.017093,0.017621,0.018506,0.020009,0.022478,0.026378,0.032331", \ "0.024199,0.024881,0.026014,0.027919,0.031013,0.035844,0.043186", \ "0.032695,0.033542,0.034984,0.037370,0.041163,0.046991,0.055730"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.016793,0.018251,0.020920,0.025795,0.034705,0.050990,0.080690", \ "0.016794,0.018258,0.020919,0.025800,0.034718,0.050959,0.080667", \ "0.016828,0.018275,0.020929,0.025805,0.034705,0.050966,0.080686", \ "0.019515,0.020618,0.022736,0.026850,0.034940,0.051002,0.080679", \ "0.025808,0.027025,0.029244,0.033216,0.040174,0.053319,0.080771", \ "0.032976,0.034293,0.036689,0.040988,0.048592,0.061798,0.085414", \ "0.040816,0.042207,0.044752,0.049361,0.057627,0.071961,0.096340"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006310,0.006751,0.007543,0.008952,0.011442,0.015844,0.023681", \ "0.007906,0.008330,0.009101,0.010487,0.012959,0.017351,0.025184", \ "0.012156,0.012765,0.013817,0.015589,0.018456,0.022919,0.030660", \ "0.014555,0.015451,0.017022,0.019669,0.023958,0.030652,0.040710", \ "0.014166,0.015370,0.017483,0.021047,0.026829,0.035893,0.049526", \ "0.010550,0.012060,0.014741,0.019254,0.026593,0.038104,0.055427", \ "0.003462,0.005286,0.008498,0.013940,0.022869,0.036879,0.058002"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.032903,0.034924,0.038590,0.045258,0.057389,0.079467,0.119788", \ "0.033832,0.035863,0.039558,0.046281,0.058500,0.080707,0.121153", \ "0.039114,0.041119,0.044772,0.051433,0.063603,0.085804,0.126331", \ "0.047923,0.050115,0.053947,0.060666,0.072761,0.094856,0.135271", \ "0.057664,0.060245,0.064822,0.072791,0.086408,0.109215,0.149437", \ "0.070291,0.073283,0.078479,0.087522,0.102839,0.128420,0.170602", \ "0.086715,0.090070,0.095901,0.105973,0.122933,0.151063,0.197179"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.004412,0.004752,0.005365,0.006468,0.008452,0.012046,0.018617", \ "0.004270,0.004616,0.005254,0.006389,0.008406,0.012026,0.018612", \ "0.006940,0.007207,0.007664,0.008442,0.009742,0.012481,0.018588", \ "0.011467,0.011842,0.012500,0.013623,0.015471,0.018401,0.022939", \ "0.017365,0.017872,0.018730,0.020193,0.022595,0.026415,0.032310", \ "0.024754,0.025407,0.026489,0.028332,0.031341,0.036029,0.043256", \ "0.033604,0.034422,0.035803,0.038106,0.041782,0.047431,0.055976"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.018398,0.020185,0.023444,0.029425,0.040367,0.060374,0.096925", \ "0.018402,0.020188,0.023451,0.029428,0.040362,0.060360,0.096905", \ "0.018435,0.020210,0.023466,0.029429,0.040364,0.060358,0.096911", \ "0.020611,0.022073,0.024858,0.030166,0.040480,0.060351,0.096912", \ "0.025821,0.027399,0.030254,0.035426,0.044463,0.061895,0.096920", \ "0.032042,0.033661,0.036613,0.041954,0.051594,0.068639,0.099958", \ "0.039255,0.040891,0.043930,0.049428,0.059452,0.077268,0.108466"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006441,0.006880,0.007670,0.009076,0.011564,0.015966,0.023808", \ "0.008030,0.008454,0.009224,0.010609,0.013080,0.017472,0.025312", \ "0.012351,0.012950,0.013992,0.015747,0.018591,0.023039,0.030786", \ "0.014872,0.015758,0.017305,0.019925,0.024187,0.030850,0.040873", \ "0.014646,0.015820,0.017906,0.021437,0.027183,0.036187,0.049771", \ "0.011240,0.012725,0.015364,0.019822,0.027100,0.038525,0.055780", \ "0.004443,0.006235,0.009386,0.014743,0.023568,0.037465,0.058492"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.039732,0.041753,0.045432,0.052124,0.064287,0.086429,0.126814", \ "0.040755,0.042788,0.046490,0.053226,0.065465,0.087704,0.128203", \ "0.045962,0.047977,0.051650,0.058352,0.070560,0.092816,0.133396", \ "0.055199,0.057234,0.060895,0.067553,0.079685,0.101836,0.142326", \ "0.066368,0.068805,0.073130,0.080710,0.093847,0.116154,0.156439", \ "0.080234,0.082993,0.087915,0.096471,0.111206,0.136068,0.177541", \ "0.097733,0.100799,0.106303,0.115905,0.132150,0.159484,0.204765"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005111,0.005467,0.006111,0.007269,0.009351,0.013061,0.019694", \ "0.004948,0.005321,0.005992,0.007187,0.009304,0.013041,0.019689", \ "0.007936,0.008167,0.008584,0.009309,0.010597,0.013480,0.019667", \ "0.013594,0.013882,0.014401,0.015347,0.016974,0.019681,0.023985", \ "0.020647,0.021012,0.021650,0.022797,0.024831,0.028252,0.033756", \ "0.029242,0.029694,0.030467,0.031865,0.034327,0.038457,0.045146", \ "0.039376,0.039941,0.040928,0.042667,0.045611,0.050511,0.058354"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.021944,0.023756,0.027058,0.033093,0.044113,0.064200,0.100898", \ "0.021943,0.023754,0.027060,0.033093,0.044113,0.064193,0.100894", \ "0.021955,0.023762,0.027062,0.033095,0.044098,0.064197,0.100879", \ "0.023111,0.024721,0.027716,0.033338,0.044143,0.064191,0.100868", \ "0.028160,0.029794,0.032715,0.037934,0.047113,0.065178,0.100839", \ "0.034122,0.035824,0.038917,0.044417,0.054179,0.071229,0.103264", \ "0.041061,0.042858,0.046024,0.051758,0.062003,0.079964,0.111202"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.007458,0.007883,0.008648,0.010015,0.012450,0.016788,0.024570", \ "0.009022,0.009443,0.010205,0.011571,0.014006,0.018348,0.026132", \ "0.013698,0.014252,0.015226,0.016878,0.019587,0.023926,0.031656", \ "0.016785,0.017611,0.019061,0.021545,0.025616,0.032067,0.041860", \ "0.016991,0.018104,0.020078,0.023452,0.028985,0.037733,0.051039", \ "0.013804,0.015227,0.017752,0.022059,0.029110,0.040284,0.057266", \ "0.006900,0.008640,0.011698,0.016927,0.025571,0.039261,0.060048"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.036968,0.039099,0.042959,0.049978,0.062729,0.085937,0.128268", \ "0.037679,0.039819,0.043714,0.050790,0.063644,0.086988,0.129459", \ "0.042613,0.044729,0.048576,0.055601,0.068400,0.091741,0.134298", \ "0.052203,0.054376,0.058261,0.065269,0.078002,0.101241,0.143694", \ "0.063358,0.066092,0.070906,0.079232,0.093361,0.116850,0.159119", \ "0.077280,0.080484,0.086068,0.095748,0.112005,0.138791,0.182309", \ "0.095358,0.098894,0.105188,0.116069,0.134353,0.164399,0.212763"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.004796,0.005119,0.005710,0.006788,0.008755,0.012356,0.018961", \ "0.004727,0.005064,0.005672,0.006768,0.008747,0.012355,0.018961", \ "0.006915,0.007179,0.007638,0.008425,0.009781,0.012693,0.018959", \ "0.011326,0.011713,0.012390,0.013534,0.015406,0.018373,0.022933", \ "0.017068,0.017597,0.018489,0.020005,0.022476,0.026363,0.032310", \ "0.024267,0.024947,0.026085,0.027993,0.031099,0.035908,0.043234", \ "0.032886,0.033740,0.035182,0.037584,0.041390,0.047209,0.055927"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022010,0.023848,0.027202,0.033354,0.044585,0.065059,0.102450", \ "0.022016,0.023852,0.027205,0.033353,0.044575,0.065074,0.102459", \ "0.022016,0.023856,0.027208,0.033356,0.044580,0.065071,0.102462", \ "0.023609,0.025161,0.028090,0.033676,0.044622,0.065061,0.102448", \ "0.030056,0.031572,0.034331,0.039249,0.048031,0.066027,0.102454", \ "0.037525,0.039111,0.042017,0.047273,0.056611,0.072814,0.104675", \ "0.045644,0.047285,0.050364,0.055958,0.065945,0.083368,0.113312"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006318,0.006759,0.007551,0.008960,0.011450,0.015852,0.023688", \ "0.007941,0.008366,0.009137,0.010525,0.012998,0.017389,0.025223", \ "0.012251,0.012858,0.013908,0.015674,0.018531,0.022988,0.030730", \ "0.014645,0.015546,0.017115,0.019757,0.024046,0.030742,0.040786", \ "0.014041,0.015255,0.017393,0.020986,0.026816,0.035905,0.049576", \ "0.009935,0.011472,0.014196,0.018786,0.026236,0.037868,0.055327", \ "0.001990,0.003865,0.007159,0.012736,0.021854,0.036127,0.057541"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.040316,0.042784,0.047267,0.055409,0.070206,0.097136,0.146290", \ "0.040917,0.043401,0.047918,0.056128,0.071040,0.098127,0.147438", \ "0.045734,0.048186,0.052650,0.060793,0.075644,0.102733,0.152130", \ "0.054588,0.057089,0.061574,0.069690,0.084459,0.111410,0.160699", \ "0.064975,0.067953,0.073251,0.082491,0.098387,0.125349,0.174411", \ "0.078601,0.081981,0.087925,0.098294,0.115943,0.145631,0.195238", \ "0.096531,0.100307,0.106951,0.118431,0.137830,0.170196,0.223675"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.004415,0.004754,0.005368,0.006470,0.008454,0.012046,0.018616", \ "0.004274,0.004623,0.005260,0.006394,0.008409,0.012028,0.018612", \ "0.006904,0.007171,0.007627,0.008408,0.009716,0.012467,0.018589", \ "0.011406,0.011783,0.012448,0.013566,0.015423,0.018364,0.022892", \ "0.017308,0.017821,0.018684,0.020150,0.022581,0.026402,0.032281", \ "0.024727,0.025397,0.026491,0.028342,0.031351,0.036069,0.043278", \ "0.033649,0.034482,0.035883,0.038215,0.041906,0.047571,0.056122"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022991,0.025157,0.029109,0.036359,0.049615,0.073806,0.118020", \ "0.023005,0.025166,0.029116,0.036361,0.049605,0.073788,0.118022", \ "0.023024,0.025181,0.029127,0.036365,0.049604,0.073805,0.118003", \ "0.024429,0.026326,0.029874,0.036626,0.049656,0.073789,0.118003", \ "0.029838,0.031714,0.035181,0.041277,0.052376,0.074448,0.117992", \ "0.036214,0.038108,0.041609,0.048013,0.059542,0.079787,0.119446", \ "0.043532,0.045493,0.049078,0.055648,0.067529,0.088713,0.126037"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006448,0.006888,0.007677,0.009084,0.011572,0.015974,0.023816", \ "0.008066,0.008491,0.009261,0.010647,0.013119,0.017512,0.025350", \ "0.012446,0.013043,0.014081,0.015830,0.018667,0.023107,0.030857", \ "0.014960,0.015847,0.017396,0.020015,0.024277,0.030939,0.040952", \ "0.014529,0.015724,0.017820,0.021382,0.027164,0.036209,0.049821", \ "0.010626,0.012147,0.014825,0.019359,0.026745,0.038297,0.055680", \ "0.002995,0.004831,0.008058,0.013558,0.022574,0.036724,0.058026"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.048741,0.051206,0.055696,0.063855,0.078687,0.105683,0.154927", \ "0.049461,0.051940,0.056460,0.064672,0.079595,0.106712,0.156085", \ "0.054189,0.056645,0.061131,0.069307,0.084201,0.111333,0.160796", \ "0.063140,0.065584,0.070036,0.078155,0.092961,0.119979,0.169342", \ "0.075103,0.077922,0.082924,0.091775,0.107000,0.133868,0.183006", \ "0.089992,0.093157,0.098784,0.108662,0.125673,0.154617,0.203733", \ "0.109105,0.112591,0.118840,0.129834,0.148464,0.179951,0.232559"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005114,0.005468,0.006112,0.007272,0.009352,0.013061,0.019694", \ "0.004953,0.005327,0.005997,0.007193,0.009308,0.013043,0.019689", \ "0.007893,0.008130,0.008543,0.009271,0.010569,0.013466,0.019668", \ "0.013523,0.013812,0.014342,0.015290,0.016916,0.019627,0.023940", \ "0.020589,0.020956,0.021605,0.022757,0.024804,0.028225,0.033727", \ "0.029249,0.029696,0.030481,0.031892,0.034369,0.038499,0.045181", \ "0.039500,0.040063,0.041059,0.042799,0.045771,0.050662,0.058501"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.027339,0.029538,0.033546,0.040867,0.054204,0.078518,0.122910", \ "0.027343,0.029541,0.033550,0.040868,0.054203,0.078519,0.122912", \ "0.027347,0.029546,0.033552,0.040867,0.054210,0.078542,0.122900", \ "0.027899,0.029933,0.033776,0.040955,0.054217,0.078507,0.122877", \ "0.032847,0.034823,0.038252,0.044336,0.056023,0.078758,0.122852", \ "0.038909,0.040925,0.044575,0.051133,0.062806,0.083316,0.123828", \ "0.046008,0.048094,0.051854,0.058666,0.070763,0.092112,0.129761"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.007585,0.008008,0.008771,0.010137,0.012571,0.016911,0.024700", \ "0.009148,0.009568,0.010329,0.011693,0.014128,0.018471,0.026261", \ "0.013872,0.014422,0.015385,0.017025,0.019717,0.024047,0.031785", \ "0.017066,0.017885,0.019325,0.021786,0.025835,0.032254,0.042019", \ "0.017420,0.018522,0.020474,0.023816,0.029312,0.038015,0.051280", \ "0.014432,0.015832,0.018329,0.022591,0.029598,0.040698,0.057611", \ "0.007792,0.009502,0.012515,0.017684,0.026248,0.039837,0.060535"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.044261,0.046389,0.050266,0.057311,0.070113,0.093404,0.135853", \ "0.045073,0.047215,0.051114,0.058208,0.071089,0.094492,0.137055", \ "0.049929,0.052053,0.055928,0.062991,0.075845,0.099259,0.141924", \ "0.059626,0.061745,0.065606,0.072620,0.085405,0.108731,0.151303", \ "0.072634,0.075182,0.079700,0.087580,0.101080,0.124298,0.166685", \ "0.088102,0.091052,0.096287,0.105421,0.120955,0.146901,0.189809", \ "0.107334,0.110642,0.116557,0.126893,0.144380,0.173457,0.220822"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005490,0.005832,0.006454,0.007590,0.009657,0.013374,0.020040", \ "0.005422,0.005777,0.006416,0.007570,0.009650,0.013373,0.020040", \ "0.007822,0.008065,0.008494,0.009250,0.010655,0.013701,0.020039", \ "0.013265,0.013590,0.014159,0.015159,0.016847,0.019623,0.023984", \ "0.020112,0.020521,0.021231,0.022474,0.024628,0.028145,0.033741", \ "0.028457,0.028963,0.029837,0.031361,0.033992,0.038281,0.045105", \ "0.038308,0.038945,0.040042,0.041941,0.045103,0.050227,0.058283"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.025769,0.027629,0.031027,0.037232,0.048541,0.069135,0.106690", \ "0.025773,0.027636,0.031026,0.037231,0.048534,0.069125,0.106689", \ "0.025776,0.027636,0.031029,0.037230,0.048529,0.069142,0.106693", \ "0.026444,0.028139,0.031282,0.037303,0.048541,0.069115,0.106679", \ "0.032283,0.033834,0.036655,0.041482,0.050896,0.069623,0.106678", \ "0.039594,0.041245,0.044228,0.049581,0.059037,0.075457,0.108282", \ "0.047582,0.049361,0.052570,0.058302,0.068446,0.085978,0.116177"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006448,0.006888,0.007677,0.009084,0.011572,0.015974,0.023816", \ "0.008066,0.008491,0.009261,0.010647,0.013119,0.017512,0.025350", \ "0.012446,0.013043,0.014081,0.015830,0.018667,0.023107,0.030857", \ "0.014960,0.015847,0.017396,0.020015,0.024277,0.030939,0.040952", \ "0.014529,0.015724,0.017820,0.021382,0.027164,0.036209,0.049821", \ "0.010626,0.012147,0.014825,0.019359,0.026745,0.038297,0.055680", \ "0.002995,0.004831,0.008058,0.013558,0.022574,0.036724,0.058026"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.048741,0.051206,0.055696,0.063855,0.078687,0.105683,0.154927", \ "0.049461,0.051940,0.056460,0.064672,0.079595,0.106712,0.156085", \ "0.054189,0.056645,0.061131,0.069307,0.084201,0.111333,0.160796", \ "0.063140,0.065584,0.070036,0.078155,0.092961,0.119979,0.169342", \ "0.075103,0.077922,0.082924,0.091775,0.107000,0.133868,0.183006", \ "0.089992,0.093157,0.098784,0.108662,0.125673,0.154617,0.203733", \ "0.109105,0.112591,0.118840,0.129834,0.148464,0.179951,0.232559"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005114,0.005468,0.006112,0.007272,0.009352,0.013061,0.019694", \ "0.004953,0.005327,0.005997,0.007193,0.009308,0.013043,0.019689", \ "0.007893,0.008130,0.008543,0.009271,0.010569,0.013466,0.019668", \ "0.013523,0.013812,0.014342,0.015290,0.016916,0.019627,0.023940", \ "0.020589,0.020956,0.021605,0.022757,0.024804,0.028225,0.033727", \ "0.029249,0.029696,0.030481,0.031892,0.034369,0.038499,0.045181", \ "0.039500,0.040063,0.041059,0.042799,0.045771,0.050662,0.058501"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.027339,0.029538,0.033546,0.040867,0.054204,0.078518,0.122910", \ "0.027343,0.029541,0.033550,0.040868,0.054203,0.078519,0.122912", \ "0.027347,0.029546,0.033552,0.040867,0.054210,0.078542,0.122900", \ "0.027899,0.029933,0.033776,0.040955,0.054217,0.078507,0.122877", \ "0.032847,0.034823,0.038252,0.044336,0.056023,0.078758,0.122852", \ "0.038909,0.040925,0.044575,0.051133,0.062806,0.083316,0.123828", \ "0.046008,0.048094,0.051854,0.058666,0.070763,0.092112,0.129761"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006578,0.007017,0.007803,0.009207,0.011694,0.016097,0.023945", \ "0.008191,0.008615,0.009384,0.010769,0.013240,0.017634,0.025479", \ "0.012633,0.013223,0.014249,0.015984,0.018802,0.023228,0.030985", \ "0.015266,0.016143,0.017672,0.020266,0.024498,0.031128,0.041110", \ "0.014996,0.016174,0.018238,0.021762,0.027499,0.036493,0.050061", \ "0.011327,0.012812,0.015449,0.019918,0.027227,0.038711,0.056022", \ "0.004022,0.005817,0.008963,0.014354,0.023265,0.037306,0.058507"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.057298,0.059764,0.064252,0.072438,0.087319,0.114375,0.163761", \ "0.058103,0.060582,0.065104,0.073328,0.088274,0.115439,0.164919", \ "0.062774,0.065243,0.069747,0.077951,0.092883,0.120077,0.169675", \ "0.071681,0.074121,0.078600,0.086743,0.101605,0.128707,0.178179", \ "0.084832,0.087552,0.092352,0.100800,0.115625,0.142550,0.191801", \ "0.100902,0.103884,0.109265,0.118753,0.135222,0.163553,0.212463", \ "0.121098,0.124388,0.130282,0.140878,0.158907,0.189642,0.241523"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006301,0.006652,0.007291,0.008447,0.010511,0.014184,0.020791", \ "0.006124,0.006496,0.007169,0.008365,0.010467,0.014166,0.020786", \ "0.009318,0.009491,0.009812,0.010412,0.011684,0.014574,0.020765", \ "0.015656,0.015876,0.016280,0.017050,0.018453,0.020902,0.024998", \ "0.023512,0.023783,0.024274,0.025194,0.026923,0.029996,0.035151", \ "0.033161,0.033488,0.034049,0.035138,0.037188,0.040843,0.047046", \ "0.044541,0.044930,0.045657,0.046979,0.049386,0.053648,0.060856"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.031791,0.034017,0.038069,0.045448,0.058905,0.083356,0.128016", \ "0.031797,0.034018,0.038067,0.045457,0.058885,0.083363,0.127964", \ "0.031794,0.034017,0.038068,0.045458,0.058895,0.083369,0.127985", \ "0.031955,0.034140,0.038140,0.045479,0.058893,0.083364,0.127934", \ "0.036065,0.037940,0.041360,0.047788,0.059995,0.083424,0.127881", \ "0.042091,0.044141,0.047836,0.054468,0.066162,0.087104,0.128458", \ "0.049069,0.051196,0.055035,0.061961,0.074179,0.095653,0.133766"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.014386,0.015176,0.016600,0.019126,0.023575,0.031376,0.045143", \ "0.015635,0.016423,0.017838,0.020357,0.024800,0.032601,0.046361", \ "0.021017,0.021737,0.023029,0.025417,0.029747,0.037472,0.051194", \ "0.028077,0.029100,0.030926,0.034048,0.039241,0.047566,0.061036", \ "0.032754,0.034092,0.036459,0.040557,0.047393,0.058373,0.075416", \ "0.034792,0.036449,0.039367,0.044410,0.052852,0.066484,0.087760", \ "0.034143,0.036093,0.039543,0.045428,0.055472,0.071732,0.097166"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.044065,0.046201,0.050071,0.057098,0.069862,0.093063,0.135395", \ "0.045129,0.047305,0.051244,0.058383,0.071294,0.094670,0.137163", \ "0.050234,0.052376,0.056273,0.063379,0.076309,0.099813,0.142508", \ "0.058822,0.060962,0.064839,0.071869,0.084687,0.108054,0.150707", \ "0.067895,0.070374,0.074789,0.082616,0.096266,0.119737,0.162210", \ "0.076945,0.079776,0.084786,0.093547,0.108752,0.134693,0.178427", \ "0.087957,0.091174,0.096791,0.106511,0.123222,0.151437,0.198733"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.010448,0.011032,0.012091,0.013998,0.017438,0.023655,0.034970", \ "0.010343,0.010937,0.012011,0.013939,0.017401,0.023635,0.034961", \ "0.010475,0.010981,0.011924,0.013710,0.017142,0.023548,0.034945", \ "0.015519,0.016033,0.016935,0.018482,0.021093,0.025614,0.035288", \ "0.022175,0.022854,0.024011,0.025983,0.029251,0.034505,0.042860", \ "0.030163,0.031004,0.032449,0.034901,0.038932,0.045360,0.055350", \ "0.039423,0.040477,0.042270,0.045305,0.050140,0.057802,0.069606"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022005,0.023847,0.027201,0.033353,0.044582,0.065062,0.102462", \ "0.022008,0.023847,0.027205,0.033349,0.044579,0.065060,0.102452", \ "0.022024,0.023859,0.027214,0.033354,0.044585,0.065090,0.102445", \ "0.022608,0.024303,0.027488,0.033465,0.044609,0.065061,0.102460", \ "0.026861,0.028520,0.031541,0.036923,0.046703,0.065667,0.102441", \ "0.032720,0.034383,0.037418,0.043005,0.053073,0.070922,0.104418", \ "0.041021,0.042556,0.045452,0.050868,0.060888,0.079178,0.111776"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011489,0.012321,0.013810,0.016443,0.021048,0.029061,0.043059", \ "0.012843,0.013657,0.015123,0.017728,0.022304,0.030294,0.044278", \ "0.018519,0.019316,0.020713,0.023086,0.027405,0.035215,0.049103", \ "0.024625,0.025754,0.027744,0.031108,0.036628,0.045345,0.059018", \ "0.028284,0.029742,0.032329,0.036738,0.043985,0.055486,0.073075", \ "0.029162,0.030962,0.034138,0.039567,0.048538,0.062827,0.084797", \ "0.027244,0.029357,0.033083,0.039436,0.050098,0.067149,0.093453"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.047435,0.049916,0.054408,0.062567,0.077368,0.104304,0.153449", \ "0.048377,0.050898,0.055471,0.063754,0.078733,0.105869,0.155197", \ "0.053342,0.055829,0.060349,0.068591,0.083588,0.110853,0.160425", \ "0.061749,0.064223,0.068709,0.076860,0.091715,0.118830,0.168345", \ "0.070644,0.073425,0.078389,0.087221,0.102669,0.129693,0.179000", \ "0.079501,0.082601,0.088087,0.097740,0.114637,0.143765,0.193606", \ "0.090491,0.093940,0.099970,0.110446,0.128626,0.159746,0.212718"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009519,0.010151,0.011286,0.013305,0.016864,0.023172,0.034500", \ "0.009295,0.009946,0.011108,0.013162,0.016765,0.023113,0.034471", \ "0.010183,0.010613,0.011454,0.013116,0.016420,0.022882,0.034397", \ "0.015655,0.016166,0.017050,0.018572,0.021140,0.025492,0.034833", \ "0.022621,0.023282,0.024409,0.026324,0.029506,0.034648,0.042881", \ "0.031016,0.031840,0.033246,0.035612,0.039502,0.045733,0.055509", \ "0.040849,0.041881,0.043603,0.046529,0.051177,0.058531,0.070009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022983,0.025150,0.029107,0.036354,0.049619,0.073810,0.118008", \ "0.022997,0.025155,0.029114,0.036359,0.049602,0.073816,0.117995", \ "0.023019,0.025183,0.029124,0.036362,0.049618,0.073820,0.118013", \ "0.023463,0.025526,0.029341,0.036453,0.049627,0.073800,0.118007", \ "0.027242,0.029231,0.032866,0.039276,0.051193,0.074108,0.117987", \ "0.032293,0.034303,0.037965,0.044689,0.056812,0.078285,0.119187", \ "0.039755,0.041645,0.045162,0.051722,0.063794,0.085785,0.125013"); } } timing () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011760,0.012589,0.014073,0.016700,0.021302,0.029317,0.043329", \ "0.013107,0.013920,0.015382,0.017982,0.022556,0.030549,0.044547", \ "0.018793,0.019581,0.020959,0.023319,0.027647,0.035466,0.049373", \ "0.025062,0.026174,0.028133,0.031470,0.036948,0.045622,0.059280", \ "0.028914,0.030355,0.032914,0.037277,0.044468,0.055900,0.073431", \ "0.030091,0.031856,0.034981,0.040338,0.049225,0.063418,0.085300", \ "0.028542,0.030613,0.034270,0.040512,0.051045,0.067957,0.094155"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.055890,0.058359,0.062856,0.071021,0.085863,0.112851,0.162088", \ "0.057032,0.059533,0.064084,0.072340,0.087307,0.114458,0.163850", \ "0.061922,0.064409,0.068945,0.077204,0.092221,0.119504,0.169120", \ "0.070255,0.072716,0.077200,0.085378,0.100274,0.127456,0.177034", \ "0.080148,0.082825,0.087642,0.096224,0.111253,0.138273,0.187636", \ "0.090051,0.093017,0.098288,0.107604,0.124076,0.152708,0.202174", \ "0.102068,0.105293,0.111063,0.121112,0.138744,0.169277,0.221653"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011972,0.012562,0.013634,0.015562,0.019016,0.025214,0.036448", \ "0.011727,0.012341,0.013445,0.015415,0.018914,0.025154,0.036421", \ "0.012392,0.012824,0.013663,0.015303,0.018548,0.024924,0.036346", \ "0.018626,0.019016,0.019732,0.021011,0.023296,0.027463,0.036766", \ "0.026723,0.027212,0.028073,0.029627,0.032353,0.037033,0.044833", \ "0.036346,0.036939,0.038004,0.039888,0.043181,0.048759,0.057932", \ "0.047472,0.048216,0.049521,0.051854,0.055745,0.062282,0.072986"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.027336,0.029536,0.033546,0.040868,0.054220,0.078541,0.122899", \ "0.027340,0.029535,0.033547,0.040871,0.054201,0.078551,0.122913", \ "0.027346,0.029545,0.033549,0.040871,0.054217,0.078548,0.122903", \ "0.027511,0.029669,0.033627,0.040893,0.054209,0.078519,0.122886", \ "0.030752,0.032746,0.036319,0.042877,0.055213,0.078633,0.122841", \ "0.035517,0.037612,0.041401,0.048258,0.060499,0.082148,0.123660", \ "0.042247,0.044327,0.048071,0.054924,0.067312,0.089545,0.128987"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.015697,0.016488,0.017906,0.020426,0.024870,0.032674,0.046438", \ "0.016992,0.017778,0.019196,0.021713,0.026152,0.033953,0.047715", \ "0.021031,0.021816,0.023205,0.025692,0.030117,0.037923,0.051706", \ "0.026943,0.027858,0.029485,0.032321,0.037188,0.045396,0.059321", \ "0.031890,0.033065,0.035144,0.038706,0.044662,0.054357,0.070044", \ "0.034490,0.035982,0.038618,0.043106,0.050547,0.062465,0.081074", \ "0.034409,0.036242,0.039435,0.044881,0.053921,0.068381,0.090689"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.050300,0.052400,0.056240,0.063228,0.075963,0.099196,0.141587", \ "0.051722,0.053841,0.057707,0.064739,0.077526,0.100810,0.143257", \ "0.057285,0.059404,0.063270,0.070317,0.083139,0.106484,0.149021", \ "0.066044,0.068155,0.072009,0.079026,0.091812,0.115127,0.157669", \ "0.076218,0.078592,0.082849,0.090408,0.103655,0.126945,0.169390", \ "0.086454,0.089142,0.093930,0.102340,0.117063,0.142440,0.185655", \ "0.098958,0.101944,0.107251,0.116534,0.132544,0.160064,0.206616"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.010386,0.010979,0.012040,0.013958,0.017412,0.023641,0.034963", \ "0.010333,0.010925,0.011996,0.013925,0.017388,0.023629,0.034959", \ "0.010347,0.010916,0.011957,0.013856,0.017308,0.023605,0.034957", \ "0.012620,0.013145,0.014095,0.015817,0.018929,0.024531,0.035223", \ "0.017077,0.017630,0.018604,0.020335,0.023366,0.028762,0.038547", \ "0.022951,0.023610,0.024746,0.026720,0.030049,0.035592,0.045223", \ "0.029864,0.030680,0.032041,0.034371,0.038227,0.044453,0.054536"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.025770,0.027630,0.031027,0.037228,0.048543,0.069157,0.106689", \ "0.025775,0.027633,0.031029,0.037229,0.048532,0.069127,0.106692", \ "0.025776,0.027636,0.031031,0.037231,0.048538,0.069122,0.106704", \ "0.025990,0.027799,0.031127,0.037262,0.048546,0.069140,0.106705", \ "0.029705,0.031392,0.034431,0.039863,0.050028,0.069460,0.106659", \ "0.035182,0.036931,0.040096,0.045825,0.056046,0.074025,0.108194", \ "0.042252,0.044023,0.047210,0.053033,0.063513,0.082141,0.114953"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.012839,0.013665,0.015146,0.017767,0.022360,0.030363,0.044355", \ "0.014187,0.015007,0.016475,0.019082,0.023660,0.031648,0.045633", \ "0.018352,0.019170,0.020626,0.023174,0.027671,0.035620,0.049602", \ "0.023830,0.024826,0.026570,0.029571,0.034632,0.043071,0.057215", \ "0.027879,0.029175,0.031450,0.035301,0.041614,0.051732,0.067775", \ "0.029344,0.031000,0.033896,0.038767,0.046707,0.059236,0.078433", \ "0.027923,0.029966,0.033493,0.039412,0.049092,0.064334,0.087450"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.054735,0.057172,0.061622,0.069728,0.084500,0.111449,0.160676", \ "0.056081,0.058540,0.063022,0.071177,0.086013,0.113028,0.162308", \ "0.061563,0.064022,0.068503,0.076669,0.091544,0.118629,0.168012", \ "0.070138,0.072586,0.077053,0.085185,0.100014,0.127065,0.176460", \ "0.080026,0.082706,0.087514,0.096076,0.111111,0.138087,0.187351", \ "0.089948,0.092908,0.098189,0.107510,0.123942,0.152551,0.202016", \ "0.102275,0.105499,0.111245,0.121293,0.138852,0.169338,0.221613"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009427,0.010063,0.011207,0.013236,0.016814,0.023140,0.034483", \ "0.009301,0.009946,0.011102,0.013147,0.016747,0.023096,0.034463", \ "0.009555,0.010120,0.011161,0.013083,0.016599,0.022995,0.034433", \ "0.012368,0.012869,0.013792,0.015468,0.018513,0.024072,0.034700", \ "0.017197,0.017738,0.018690,0.020359,0.023299,0.028566,0.038204", \ "0.023389,0.024023,0.025122,0.027042,0.030258,0.035675,0.045082", \ "0.030675,0.031450,0.032765,0.035023,0.038753,0.044784,0.054629"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.027376,0.029577,0.033588,0.040919,0.054275,0.078620,0.123046", \ "0.027380,0.029579,0.033591,0.040920,0.054269,0.078625,0.123050", \ "0.027382,0.029582,0.033594,0.040918,0.054267,0.078637,0.123038", \ "0.027550,0.029712,0.033670,0.040947,0.054282,0.078631,0.123040", \ "0.030725,0.032753,0.036374,0.042963,0.055295,0.078717,0.122995", \ "0.035435,0.037546,0.041356,0.048235,0.060499,0.082274,0.123831", \ "0.041674,0.043809,0.047668,0.054646,0.067200,0.089527,0.129143"); } } timing () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.013108,0.013931,0.015407,0.018024,0.022614,0.030619,0.044626", \ "0.014451,0.015268,0.016734,0.019337,0.023912,0.031904,0.045903", \ "0.018618,0.019432,0.020882,0.023419,0.027918,0.035874,0.049871", \ "0.024172,0.025155,0.026886,0.029865,0.034907,0.043336,0.057482", \ "0.028370,0.029645,0.031895,0.035711,0.041992,0.052050,0.068078", \ "0.030041,0.031658,0.034523,0.039331,0.047217,0.059673,0.078805", \ "0.028893,0.030878,0.034340,0.040180,0.049766,0.064918,0.087960"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.063170,0.065617,0.070072,0.078202,0.093013,0.120002,0.169300", \ "0.064583,0.067042,0.071524,0.079688,0.094547,0.121591,0.170947", \ "0.070076,0.072541,0.077033,0.085213,0.100110,0.127219,0.176701", \ "0.078617,0.081070,0.085542,0.093700,0.108558,0.135653,0.185095", \ "0.089230,0.091824,0.096512,0.104812,0.119651,0.146647,0.195988", \ "0.100132,0.102987,0.108065,0.117115,0.133195,0.161385,0.210596", \ "0.113288,0.116363,0.121867,0.131605,0.148720,0.178708,0.230465"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.011871,0.012469,0.013550,0.015493,0.018965,0.025182,0.036431", \ "0.011737,0.012343,0.013439,0.015400,0.018895,0.025138,0.036412", \ "0.011884,0.012431,0.013440,0.015309,0.018739,0.025036,0.036382", \ "0.014932,0.015382,0.016214,0.017761,0.020665,0.026084,0.036645", \ "0.020358,0.020787,0.021576,0.023024,0.025722,0.030719,0.040170", \ "0.027405,0.027895,0.028750,0.030325,0.033137,0.038138,0.047209", \ "0.035647,0.036259,0.037260,0.039083,0.042274,0.047714,0.057056"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.031793,0.034019,0.038069,0.045455,0.058902,0.083350,0.127963", \ "0.031792,0.034017,0.038071,0.045455,0.058901,0.083369,0.127961", \ "0.031796,0.034017,0.038071,0.045457,0.058905,0.083379,0.128009", \ "0.031848,0.034062,0.038090,0.045459,0.058892,0.083352,0.127942", \ "0.034307,0.036319,0.040000,0.046805,0.059485,0.083388,0.127889", \ "0.039059,0.041183,0.045022,0.051947,0.064247,0.086280,0.128396", \ "0.045030,0.047206,0.051147,0.058237,0.070909,0.093345,0.133208"); } } timing () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008518,0.009165,0.010343,0.012489,0.016398,0.023527,0.036560", \ "0.009871,0.010526,0.011721,0.013891,0.017828,0.024990,0.038048", \ "0.013860,0.014750,0.016288,0.018855,0.022958,0.030072,0.043106", \ "0.016093,0.017407,0.019676,0.023467,0.029573,0.038999,0.053075", \ "0.015603,0.017352,0.020390,0.025455,0.033588,0.046170,0.064998", \ "0.011982,0.014232,0.018049,0.024415,0.034643,0.050442,0.074064", \ "0.005089,0.007731,0.012350,0.020018,0.032361,0.051468,0.079967"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022111,0.024163,0.027886,0.034620,0.046815,0.068958,0.109308", \ "0.022484,0.024557,0.028329,0.035168,0.047528,0.069867,0.110399", \ "0.027486,0.029402,0.032966,0.039567,0.051722,0.073964,0.114564", \ "0.038391,0.040633,0.044537,0.051187,0.062588,0.084183,0.124183", \ "0.050686,0.053473,0.058274,0.066524,0.080241,0.102241,0.141201", \ "0.065009,0.068248,0.073815,0.083425,0.099595,0.125826,0.166896", \ "0.081686,0.085311,0.091649,0.102526,0.120811,0.150794,0.198299"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005149,0.005695,0.006697,0.008531,0.011896,0.018053,0.029331", \ "0.005150,0.005696,0.006695,0.008531,0.011893,0.018052,0.029330", \ "0.007510,0.007937,0.008683,0.009925,0.012474,0.018052,0.029326", \ "0.012339,0.012901,0.013870,0.015535,0.018283,0.022737,0.030911", \ "0.018839,0.019558,0.020771,0.022831,0.026237,0.031685,0.040240", \ "0.027114,0.027966,0.029449,0.031962,0.036037,0.042506,0.052626", \ "0.037046,0.038098,0.039917,0.042911,0.047771,0.055335,0.067013"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.018258,0.020074,0.023391,0.029408,0.040371,0.060375,0.096924", \ "0.018171,0.020014,0.023355,0.029394,0.040359,0.060366,0.096906", \ "0.017729,0.019501,0.022988,0.029254,0.040333,0.060360,0.096903", \ "0.022217,0.023580,0.025934,0.030725,0.040450,0.060288,0.096923", \ "0.028158,0.029806,0.032760,0.037945,0.046530,0.062630,0.096775", \ "0.035266,0.037094,0.040364,0.046171,0.056130,0.072499,0.101329", \ "0.043809,0.045759,0.049285,0.055574,0.066550,0.084884,0.114147"); } } timing () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008517,0.009165,0.010343,0.012488,0.016397,0.023525,0.036558", \ "0.009877,0.010532,0.011727,0.013895,0.017834,0.024994,0.038051", \ "0.013925,0.014812,0.016346,0.018905,0.022998,0.030111,0.043142", \ "0.016179,0.017493,0.019767,0.023557,0.029653,0.039070,0.053132", \ "0.015440,0.017214,0.020285,0.025384,0.033573,0.046196,0.065044", \ "0.011275,0.013539,0.017434,0.023896,0.034258,0.050219,0.073974", \ "0.003435,0.006142,0.010871,0.018701,0.031304,0.050706,0.079529"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.024755,0.027250,0.031787,0.040007,0.054892,0.081895,0.131086", \ "0.024894,0.027410,0.032003,0.040351,0.055433,0.082682,0.132107", \ "0.029728,0.032032,0.036346,0.044400,0.059248,0.086408,0.135906", \ "0.041539,0.044021,0.048371,0.055711,0.069720,0.096143,0.144978", \ "0.055259,0.058337,0.063654,0.072817,0.088139,0.113629,0.161331", \ "0.071140,0.074718,0.080854,0.091511,0.109509,0.138864,0.186238", \ "0.089643,0.093618,0.100565,0.112572,0.132877,0.166327,0.219635"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005148,0.005694,0.006697,0.008533,0.011897,0.018050,0.029330", \ "0.005150,0.005696,0.006695,0.008533,0.011896,0.018049,0.029331", \ "0.007481,0.007909,0.008657,0.009909,0.012463,0.018051,0.029327", \ "0.012273,0.012838,0.013811,0.015486,0.018243,0.022704,0.030895", \ "0.018762,0.019479,0.020701,0.022784,0.026202,0.031665,0.040219", \ "0.027018,0.027893,0.029401,0.031950,0.036059,0.042557,0.052664", \ "0.036970,0.038076,0.039938,0.042984,0.047896,0.055509,0.067179"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.022512,0.024794,0.028898,0.036277,0.049591,0.073814,0.118008", \ "0.022306,0.024640,0.028799,0.036242,0.049593,0.073803,0.118011", \ "0.021339,0.023760,0.028211,0.035967,0.049542,0.073809,0.117997", \ "0.024841,0.026483,0.029745,0.036174,0.048934,0.073733,0.118000", \ "0.030905,0.032848,0.036343,0.042580,0.053042,0.074267,0.117940", \ "0.037993,0.040115,0.043890,0.050650,0.062352,0.081762,0.119557", \ "0.046425,0.048691,0.052726,0.060002,0.072708,0.094122,0.129309"); } } timing () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.008701,0.009349,0.010528,0.012677,0.016592,0.023734,0.036788", \ "0.010061,0.010718,0.011915,0.014085,0.018030,0.025203,0.038282", \ "0.014195,0.015072,0.016588,0.019124,0.023193,0.030320,0.043375", \ "0.016614,0.017911,0.020157,0.023911,0.029965,0.039340,0.053364", \ "0.016096,0.017850,0.020871,0.025912,0.034040,0.046602,0.065386", \ "0.012221,0.014472,0.018290,0.024654,0.034929,0.050792,0.074463", \ "0.004852,0.007512,0.012078,0.019782,0.032238,0.051502,0.080196"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.033306,0.035800,0.040335,0.048563,0.063480,0.090562,0.139879", \ "0.033640,0.036159,0.040746,0.049068,0.064133,0.091403,0.140921", \ "0.037916,0.040346,0.044803,0.052975,0.067908,0.095138,0.144752", \ "0.049793,0.052009,0.056008,0.063674,0.078071,0.104697,0.153741", \ "0.065440,0.068241,0.073198,0.081791,0.096399,0.121910,0.169897", \ "0.082963,0.086182,0.092020,0.102079,0.119242,0.147576,0.194594", \ "0.102869,0.106525,0.113052,0.124478,0.143929,0.176289,0.228353"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006434,0.007052,0.008163,0.010143,0.013639,0.019866,0.031159", \ "0.006434,0.007050,0.008164,0.010143,0.013637,0.019865,0.031162", \ "0.009128,0.009535,0.010217,0.011480,0.014181,0.019866,0.031161", \ "0.015238,0.015674,0.016462,0.017886,0.020355,0.024528,0.032705", \ "0.023193,0.023694,0.024589,0.026241,0.029146,0.034098,0.042192", \ "0.033093,0.033615,0.034673,0.036597,0.039974,0.045714,0.055165", \ "0.044799,0.045480,0.046724,0.048972,0.052898,0.059492,0.070271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.027209,0.029451,0.033520,0.040892,0.054265,0.078617,0.123048", \ "0.027139,0.029404,0.033490,0.040880,0.054278,0.078626,0.123046", \ "0.026682,0.029033,0.033250,0.040781,0.054235,0.078631,0.123048", \ "0.027670,0.029625,0.033307,0.040293,0.053828,0.078601,0.123029", \ "0.033831,0.035799,0.039338,0.045269,0.056376,0.078563,0.122974", \ "0.040895,0.043080,0.046925,0.053731,0.065389,0.084926,0.123897", \ "0.049157,0.051503,0.055713,0.063130,0.075909,0.097246,0.132721"); } } timing () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009845,0.010487,0.011662,0.013802,0.017704,0.024829,0.037857", \ "0.011229,0.011889,0.013087,0.015256,0.019193,0.026352,0.039407", \ "0.014524,0.015309,0.016694,0.019108,0.023239,0.030468,0.043589", \ "0.017271,0.018382,0.020306,0.023533,0.028785,0.037256,0.051204", \ "0.017700,0.019237,0.021883,0.026284,0.033308,0.044129,0.060655", \ "0.015128,0.017120,0.020577,0.026288,0.035352,0.049160,0.069587", \ "0.009221,0.011703,0.016008,0.023098,0.034317,0.051389,0.076392"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.028151,0.030158,0.033818,0.040480,0.052607,0.074711,0.115086", \ "0.028751,0.030783,0.034487,0.041214,0.053429,0.075632,0.116076", \ "0.033722,0.035687,0.039293,0.045904,0.058006,0.080132,0.120573", \ "0.045566,0.047585,0.051152,0.057331,0.068978,0.090589,0.130503", \ "0.059993,0.062485,0.066896,0.074545,0.087435,0.108728,0.147705", \ "0.076314,0.079230,0.084376,0.093350,0.108587,0.133635,0.173553", \ "0.095069,0.098352,0.104204,0.114368,0.131659,0.160329,0.206301"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005146,0.005692,0.006698,0.008533,0.011894,0.018051,0.029329", \ "0.005149,0.005696,0.006698,0.008533,0.011894,0.018050,0.029329", \ "0.006217,0.006697,0.007579,0.009156,0.012178,0.018059,0.029327", \ "0.009401,0.009864,0.010706,0.012222,0.014992,0.020206,0.030135", \ "0.014209,0.014763,0.015730,0.017402,0.020274,0.025267,0.034498", \ "0.020323,0.020992,0.022142,0.024112,0.027397,0.032768,0.041880", \ "0.027599,0.028404,0.029756,0.032100,0.035957,0.042069,0.051848"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.021892,0.023717,0.027041,0.033089,0.044108,0.064191,0.100913", \ "0.021864,0.023696,0.027030,0.033083,0.044110,0.064187,0.100896", \ "0.021575,0.023475,0.026890,0.033029,0.044089,0.064187,0.100876", \ "0.024049,0.025503,0.028267,0.033556,0.043908,0.064171,0.100862", \ "0.030227,0.031907,0.034867,0.040025,0.048635,0.065595,0.100772", \ "0.036910,0.038859,0.042266,0.048199,0.058180,0.074406,0.104277", \ "0.044307,0.046495,0.050338,0.057041,0.068380,0.086850,0.116150"); } } timing () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.009844,0.010486,0.011661,0.013800,0.017703,0.024827,0.037854", \ "0.011235,0.011895,0.013091,0.015261,0.019198,0.026356,0.039410", \ "0.014582,0.015365,0.016747,0.019156,0.023281,0.030507,0.043625", \ "0.017401,0.018509,0.020426,0.023643,0.028880,0.037339,0.051274", \ "0.017769,0.019310,0.021961,0.026369,0.033396,0.044219,0.060739", \ "0.014896,0.016910,0.020392,0.026148,0.035266,0.049138,0.069617", \ "0.008360,0.010899,0.015267,0.022450,0.033820,0.051055,0.076234"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.032183,0.034628,0.039089,0.047216,0.062003,0.088960,0.138141", \ "0.032593,0.035067,0.039580,0.047788,0.062685,0.089754,0.139054", \ "0.037348,0.039742,0.044136,0.052205,0.066976,0.093967,0.143270", \ "0.049560,0.051787,0.055755,0.063341,0.077604,0.104013,0.152747", \ "0.065561,0.068324,0.073228,0.081763,0.096261,0.121616,0.169330", \ "0.083559,0.086770,0.092518,0.102484,0.119500,0.147630,0.194399", \ "0.104154,0.107781,0.114250,0.125548,0.144811,0.176877,0.228575"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.005145,0.005692,0.006695,0.008532,0.011894,0.018052,0.029328", \ "0.005148,0.005695,0.006698,0.008533,0.011894,0.018051,0.029326", \ "0.006206,0.006682,0.007569,0.009150,0.012172,0.018058,0.029327", \ "0.009347,0.009817,0.010659,0.012185,0.014962,0.020187,0.030127", \ "0.014109,0.014669,0.015646,0.017334,0.020211,0.025216,0.034478", \ "0.020181,0.020859,0.022025,0.024022,0.027321,0.032727,0.041860", \ "0.027462,0.028277,0.029652,0.032028,0.035922,0.042065,0.051868"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.027141,0.029391,0.033461,0.040834,0.054198,0.078551,0.122882", \ "0.027064,0.029333,0.033425,0.040816,0.054192,0.078527,0.122886", \ "0.026582,0.028944,0.033174,0.040703,0.054168,0.078519,0.122883", \ "0.027701,0.029653,0.033321,0.040274,0.053736,0.078475,0.122865", \ "0.033643,0.035627,0.039177,0.045189,0.056329,0.078486,0.122809", \ "0.040377,0.042603,0.046508,0.053377,0.065103,0.084797,0.123781", \ "0.047842,0.050317,0.054681,0.062309,0.075308,0.096796,0.132481"); } } timing () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.010026,0.010671,0.011846,0.013990,0.017899,0.025036,0.038086", \ "0.011422,0.012081,0.013280,0.015452,0.019395,0.026565,0.039644", \ "0.014808,0.015586,0.016961,0.019366,0.023480,0.030717,0.043858", \ "0.017741,0.018838,0.020735,0.023924,0.029134,0.037579,0.051512", \ "0.018279,0.019799,0.022419,0.026778,0.033756,0.044531,0.061025", \ "0.015629,0.017609,0.021047,0.026724,0.035766,0.049567,0.069979", \ "0.009383,0.011860,0.016173,0.023248,0.034510,0.051642,0.076717"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.040676,0.043132,0.047609,0.055760,0.070594,0.097632,0.146942", \ "0.041213,0.043683,0.048198,0.056410,0.071330,0.098461,0.147875", \ "0.045741,0.048169,0.052621,0.060752,0.075588,0.102663,0.152095", \ "0.057177,0.059453,0.063691,0.071522,0.085972,0.112552,0.161465", \ "0.074909,0.077509,0.082139,0.090247,0.104155,0.129923,0.177885", \ "0.094502,0.097531,0.102998,0.112475,0.128776,0.156038,0.202746", \ "0.116477,0.119902,0.126012,0.136865,0.155381,0.186494,0.237089"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.006435,0.007051,0.008163,0.010144,0.013639,0.019865,0.031162", \ "0.006434,0.007050,0.008164,0.010143,0.013637,0.019865,0.031161", \ "0.007676,0.008190,0.009102,0.010746,0.013907,0.019873,0.031160", \ "0.011511,0.011928,0.012700,0.014150,0.016869,0.022032,0.031950", \ "0.017314,0.017739,0.018510,0.019927,0.022516,0.027293,0.036381", \ "0.024565,0.025046,0.025895,0.027480,0.030294,0.035204,0.043981", \ "0.033167,0.033732,0.034663,0.036482,0.039678,0.045133,0.054338"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.031727,0.033964,0.038042,0.045441,0.058893,0.083375,0.127956", \ "0.031696,0.033947,0.038029,0.045440,0.058891,0.083373,0.127957", \ "0.031502,0.033795,0.037934,0.045396,0.058874,0.083356,0.127948", \ "0.031394,0.033514,0.037431,0.044791,0.058692,0.083317,0.127891", \ "0.036851,0.038832,0.042157,0.048267,0.060017,0.083023,0.127848", \ "0.043817,0.046002,0.049847,0.056620,0.068238,0.088214,0.128275", \ "0.051545,0.053997,0.058306,0.065849,0.078675,0.099980,0.136045"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.900619,0.899176,0.915729,0.936734,0.957010,0.989581,1.017541", \ "0.882439,0.887871,0.899170,0.919594,0.945314,0.980323,1.012240", \ "0.890910,0.901869,0.902316,0.911764,0.934654,0.969880,1.005772", \ "1.139512,1.141468,1.125183,1.115540,1.090253,1.053076,1.053999", \ "1.573132,1.564574,1.547518,1.511750,1.462720,1.384815,1.287206", \ "2.209240,2.199305,2.181421,2.141026,2.071661,1.955076,1.784479", \ "3.041278,3.042346,3.027580,2.996869,2.931435,2.787282,2.549260"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.376915,4.390750,4.405576,4.406394,4.407949,4.411345,4.437690", \ "4.315205,4.330175,4.320346,4.358840,4.330164,4.337236,4.380885", \ "4.257382,4.270300,4.257226,4.265036,4.301646,4.304554,4.284698", \ "4.258106,4.263463,4.247253,4.254181,4.254075,4.286232,4.278217", \ "4.404853,4.410274,4.403805,4.389979,4.369356,4.373631,4.365663", \ "4.728550,4.717966,4.722474,4.693008,4.755313,4.680129,4.494882", \ "5.491232,5.476805,5.431728,5.357761,5.277178,5.219765,5.076480"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.745482,0.748328,0.766806,0.812708,0.849789,0.885462,0.923248", \ "0.705041,0.734461,0.755163,0.786090,0.828495,0.863771,0.903035", \ "0.769153,0.764744,0.763495,0.775045,0.804438,0.842185,0.885130", \ "1.014891,1.015403,1.006544,0.990873,0.960344,0.926885,0.929503", \ "1.434427,1.428144,1.416833,1.384213,1.333688,1.263197,1.163897", \ "2.058996,2.046297,2.021977,1.992962,1.926592,1.805452,1.637400", \ "2.860982,2.843787,2.843271,2.807292,2.748244,2.601592,2.365573"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.021583,4.021487,4.039788,4.036825,4.047095,4.031331,4.060317", \ "3.937339,3.954747,3.958761,3.968720,3.980466,3.959860,3.997400", \ "3.884557,3.899772,3.900780,3.917326,3.937015,3.931559,3.912286", \ "3.882661,3.892616,3.890204,3.900062,3.917387,3.843510,3.900988", \ "4.008304,4.032382,4.029657,4.023441,3.966258,4.001380,3.923542", \ "4.305709,4.305967,4.307581,4.317036,4.336824,4.287696,4.112311", \ "5.041043,5.016275,4.972722,4.930551,4.875410,4.829604,4.607805"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.794834,0.792111,0.812522,0.840219,0.862291,0.902367,0.930829", \ "0.753041,0.776850,0.789924,0.815253,0.843451,0.874949,0.910735", \ "0.778495,0.776642,0.780052,0.797203,0.822319,0.851065,0.890172", \ "1.027887,1.017921,1.005662,0.993907,0.970920,0.926094,0.934270", \ "1.458138,1.441307,1.425932,1.390233,1.335884,1.259144,1.160085", \ "2.100348,2.082402,2.058639,2.006362,1.931807,1.807814,1.634155", \ "2.939418,2.933633,2.898236,2.862462,2.771572,2.614843,2.361929"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.816283,4.833045,4.835913,4.863403,4.858564,4.878474,4.894333", \ "4.762267,4.757619,4.785127,4.804840,4.794376,4.794767,4.888332", \ "4.681534,4.698050,4.700530,4.732354,4.707895,4.784233,4.761071", \ "4.671720,4.686975,4.686007,4.702019,4.715578,4.698890,4.748005", \ "4.806514,4.821432,4.820480,4.790802,4.788901,4.750762,4.766273", \ "5.073596,5.082277,5.100100,5.145038,5.140138,5.042116,4.946871", \ "5.738463,5.722246,5.717285,5.686614,5.641339,5.607744,5.432511"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.905141,0.904174,0.922579,0.941250,0.967329,0.992600,1.022721", \ "0.909514,0.908175,0.924968,0.944481,0.967491,1.001871,1.033390", \ "0.936734,0.940249,0.946163,0.955065,0.978009,1.009743,1.041927", \ "1.168611,1.164509,1.165437,1.150719,1.125601,1.092352,1.091926", \ "1.544322,1.535021,1.526132,1.493966,1.459675,1.392198,1.310890", \ "2.087662,2.086899,2.074030,2.043089,1.992449,1.901849,1.756583", \ "2.812533,2.821095,2.813970,2.788547,2.748108,2.629889,2.430471"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.353736,4.366729,4.364262,4.386646,4.404714,4.402185,4.387378", \ "4.275055,4.289131,4.288928,4.323287,4.338503,4.374953,4.361780", \ "4.228098,4.227563,4.237199,4.246517,4.247088,4.301357,4.302768", \ "4.208138,4.218396,4.211895,4.218467,4.214183,4.244383,4.239976", \ "4.333964,4.340491,4.327677,4.310883,4.318995,4.310688,4.281578", \ "4.635995,4.636152,4.636919,4.663093,4.621711,4.477550,4.456776", \ "5.276899,5.268594,5.243494,5.192921,5.167804,5.092686,4.968164"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.755179,0.760123,0.788797,0.820159,0.853168,0.891053,0.930712", \ "0.752963,0.759871,0.779936,0.812931,0.850674,0.887087,0.928306", \ "0.815399,0.812960,0.811242,0.823911,0.841817,0.884131,0.926258", \ "1.042710,1.049424,1.038168,1.021457,0.994400,0.970110,0.970522", \ "1.412792,1.412456,1.397199,1.375382,1.329549,1.275225,1.186261", \ "1.942593,1.944980,1.925931,1.900247,1.856977,1.755800,1.614389", \ "2.653729,2.642656,2.644345,2.630328,2.579234,2.465596,2.269588"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.984665,3.982047,4.008662,4.011434,3.998189,4.025995,4.046083", \ "3.907753,3.906217,3.935205,3.949289,3.978332,4.001040,4.003630", \ "3.844586,3.859145,3.871473,3.877315,3.898945,3.841727,3.956142", \ "3.839337,3.852146,3.856666,3.859512,3.836585,3.884224,3.920995", \ "3.963752,3.956015,3.955164,3.934828,3.915331,3.942359,3.938667", \ "4.224700,4.233217,4.253850,4.273978,4.230592,4.158844,4.101859", \ "4.847609,4.830365,4.804960,4.777152,4.761699,4.700074,4.536160"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.785058,0.808399,0.822174,0.840150,0.872737,0.906806,0.937181", \ "0.788674,0.800550,0.817108,0.831090,0.867264,0.893443,0.932196", \ "0.822774,0.826069,0.832526,0.836033,0.860166,0.894946,0.929720", \ "1.053668,1.046397,1.036405,1.028921,1.006287,0.965295,0.974945", \ "1.430178,1.421770,1.411292,1.381854,1.337567,1.272812,1.183367", \ "1.995545,1.973453,1.960422,1.923181,1.857970,1.762664,1.613872", \ "2.732094,2.725054,2.694852,2.659812,2.598318,2.475780,2.264066"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.786790,4.802667,4.811794,4.830407,4.806733,4.858824,4.866406", \ "4.717789,4.734611,4.745619,4.777202,4.801349,4.801107,4.807785", \ "4.667139,4.664224,4.673070,4.686984,4.726882,4.691484,4.738030", \ "4.657545,4.653141,4.659618,4.658279,4.678266,4.714568,4.747743", \ "4.753519,4.747407,4.748913,4.754663,4.741248,4.744029,4.739639", \ "5.016223,5.039581,5.068494,5.042604,5.030826,4.898265,4.894109", \ "5.569059,5.559176,5.550451,5.547392,5.575734,5.490081,5.346506"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.940306,0.940601,0.945225,0.964597,0.982365,1.005207,1.026114", \ "0.940574,0.930822,0.947532,0.965921,0.987806,1.014970,1.040662", \ "0.956831,0.961649,0.966836,0.974360,0.996795,1.013489,1.049766", \ "1.180907,1.175135,1.163297,1.145649,1.123804,1.088852,1.101512", \ "1.557379,1.547338,1.534115,1.506824,1.463675,1.389354,1.306221", \ "2.119868,2.113061,2.089679,2.064995,2.006325,1.901481,1.747882", \ "2.892671,2.883281,2.862931,2.835818,2.768605,2.643926,2.436307"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("5.165768,5.178736,5.176616,5.188005,5.221214,5.178363,5.213556", \ "5.094806,5.108783,5.129995,5.133939,5.162532,5.115912,5.194704", \ "5.042489,5.034215,5.053337,5.066956,5.082930,5.109516,5.095016", \ "5.017057,5.028420,5.024104,5.049212,5.069937,5.007376,5.077290", \ "5.130478,5.117613,5.129618,5.116068,5.089485,5.126304,5.104940", \ "5.428066,5.435656,5.451271,5.433557,5.410622,5.319685,5.273846", \ "6.011204,5.993669,5.985118,5.959684,5.967677,5.879601,5.774741"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.785058,0.808399,0.822174,0.840150,0.872737,0.906806,0.937181", \ "0.788674,0.800550,0.817108,0.831090,0.867264,0.893443,0.932196", \ "0.822774,0.826069,0.832526,0.836033,0.860166,0.894946,0.929720", \ "1.053668,1.046397,1.036405,1.028921,1.006287,0.965295,0.974945", \ "1.430178,1.421770,1.411292,1.381854,1.337567,1.272812,1.183367", \ "1.995545,1.973453,1.960422,1.923181,1.857970,1.762664,1.613872", \ "2.732094,2.725054,2.694852,2.659812,2.598318,2.475780,2.264066"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.786790,4.802667,4.811794,4.830407,4.806733,4.858824,4.866406", \ "4.717789,4.734611,4.745619,4.777202,4.801349,4.801107,4.807785", \ "4.667139,4.664224,4.673070,4.686984,4.726882,4.691484,4.738030", \ "4.657545,4.653141,4.659618,4.658279,4.678266,4.714568,4.747743", \ "4.753519,4.747407,4.748913,4.754663,4.741248,4.744029,4.739639", \ "5.016223,5.039581,5.068494,5.042604,5.030826,4.898265,4.894109", \ "5.569059,5.559176,5.550451,5.547392,5.575734,5.490081,5.346506"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.830323,0.830262,0.840026,0.853674,0.879042,0.911541,0.940972", \ "0.823069,0.821847,0.831782,0.851262,0.881492,0.907989,0.937486", \ "0.835684,0.840237,0.849131,0.849340,0.880405,0.903280,0.938237", \ "1.051791,1.055644,1.036189,1.024424,1.004525,0.970298,0.982120", \ "1.442787,1.434438,1.415747,1.386400,1.335786,1.268046,1.180986", \ "2.010542,2.005153,1.975322,1.936810,1.865502,1.762697,1.608809", \ "2.781497,2.765496,2.748098,2.692744,2.616476,2.477562,2.269787"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("5.606988,5.604499,5.632823,5.627241,5.661178,5.670765,5.645334", \ "5.544166,5.561129,5.572235,5.581778,5.620344,5.617491,5.711544", \ "5.471938,5.488076,5.498242,5.527648,5.546142,5.569712,5.644716", \ "5.457569,5.472519,5.480934,5.486417,5.514148,5.526250,5.521413", \ "5.547047,5.554783,5.545284,5.549960,5.566293,5.564825,5.532304", \ "5.829254,5.851584,5.857008,5.839086,5.817468,5.805576,5.679725", \ "6.313847,6.331238,6.333401,6.354125,6.381445,6.246778,6.095107"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("1.423818,1.447748,1.457455,1.512911,1.558950,1.637950,1.709713", \ "1.377609,1.379792,1.416696,1.464485,1.510694,1.581949,1.662117", \ "1.322599,1.353177,1.375090,1.414394,1.461978,1.534250,1.613942", \ "1.477478,1.469748,1.471356,1.480241,1.520444,1.560610,1.622260", \ "1.849843,1.844238,1.839801,1.818889,1.799467,1.759060,1.760854", \ "2.451429,2.439704,2.421207,2.396423,2.334919,2.230158,2.109873", \ "3.289413,3.278530,3.251030,3.214808,3.135208,2.976495,2.756359"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("5.466684,5.480050,5.478080,5.485508,5.486492,5.517853,5.449617", \ "5.394453,5.390105,5.414425,5.413342,5.447151,5.488654,5.530761", \ "5.283789,5.299305,5.319433,5.315924,5.306917,5.413914,5.435258", \ "5.257523,5.248602,5.266927,5.266934,5.287203,5.265464,5.280786", \ "5.273749,5.265072,5.281689,5.287734,5.298738,5.288024,5.297077", \ "5.323646,5.341976,5.350895,5.395845,5.361587,5.376063,5.388168", \ "5.750008,5.721593,5.707275,5.703201,5.701796,5.716192,5.623442"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("1.191036,1.203538,1.246691,1.303160,1.390214,1.473372,1.581221", \ "1.121847,1.164620,1.207485,1.256105,1.332622,1.424645,1.525793", \ "1.112624,1.123234,1.161079,1.203628,1.276315,1.381935,1.475486", \ "1.292025,1.285164,1.297824,1.295025,1.334666,1.404094,1.480493", \ "1.683660,1.679949,1.660585,1.657328,1.635850,1.600515,1.603863", \ "2.270966,2.261229,2.246593,2.216412,2.160437,2.057868,1.951597", \ "3.092789,3.079516,3.049492,3.019319,2.938556,2.782966,2.569144"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("5.090295,5.106384,5.115420,5.131767,5.113998,5.161215,5.109612", \ "5.000088,5.019078,5.033154,5.062437,5.078933,5.127920,5.070071", \ "4.912406,4.910843,4.940236,4.948184,4.949860,5.005191,4.977494", \ "4.870880,4.885522,4.893403,4.889808,4.897467,4.871953,4.949933", \ "4.899595,4.896409,4.902176,4.909328,4.890273,4.904885,4.862920", \ "4.941979,4.947749,4.976954,5.032940,4.987940,4.995294,4.918612", \ "5.322761,5.322316,5.306390,5.304321,5.310192,5.322444,5.243966"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("1.261668,1.293485,1.306386,1.360832,1.423869,1.507876,1.593537", \ "1.222837,1.227920,1.265874,1.307334,1.367261,1.458796,1.541976", \ "1.188040,1.205241,1.216744,1.266340,1.323555,1.399207,1.487322", \ "1.325933,1.314807,1.322085,1.323086,1.371856,1.428353,1.495914", \ "1.715523,1.708656,1.691681,1.673570,1.643181,1.607324,1.610110", \ "2.313997,2.301217,2.273677,2.236464,2.165823,2.064466,1.952060", \ "3.147048,3.133174,3.102301,3.045298,2.955188,2.788096,2.571604"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("5.893554,5.909561,5.918874,5.931346,5.932763,5.954928,5.979045", \ "5.835061,5.853303,5.866477,5.894598,5.906620,5.906155,5.981298", \ "5.742633,5.741741,5.754036,5.788025,5.792154,5.804637,5.902548", \ "5.693846,5.691045,5.700075,5.724567,5.757191,5.776217,5.834745", \ "5.703812,5.700162,5.707376,5.717536,5.712455,5.699955,5.790650", \ "5.763240,5.773708,5.832160,5.833991,5.783606,5.827325,5.848028", \ "6.074723,6.081556,6.078182,6.075565,6.118194,6.135297,6.038202"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("1.409635,1.445120,1.460315,1.514501,1.564923,1.630078,1.708851", \ "1.381401,1.400128,1.425447,1.464502,1.520307,1.581596,1.655421", \ "1.318658,1.344301,1.372487,1.406141,1.457894,1.531298,1.614111", \ "1.381480,1.395372,1.399817,1.411736,1.464425,1.527289,1.599298", \ "1.631525,1.615918,1.635420,1.633466,1.634670,1.630258,1.655215", \ "2.023189,2.025808,2.023609,2.009717,1.987038,1.951416,1.890098", \ "2.603815,2.607958,2.606502,2.569598,2.533250,2.467621,2.333374"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("6.178905,6.189825,6.184994,6.190707,6.168683,6.176285,6.180083", \ "6.135080,6.146152,6.143416,6.148249,6.180883,6.117479,6.127389", \ "6.078390,6.090843,6.088237,6.111652,6.135873,6.153184,6.195686", \ "6.061279,6.052136,6.069730,6.064457,6.074058,6.130922,6.133865", \ "6.057562,6.069639,6.065608,6.060730,6.075866,6.070892,6.123820", \ "6.132632,6.132803,6.173474,6.189935,6.195348,6.169594,6.162182", \ "6.465266,6.466972,6.468499,6.485198,6.508537,6.515574,6.420830"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("1.183557,1.206226,1.243118,1.314129,1.391036,1.473602,1.578063", \ "1.145668,1.152636,1.199366,1.264671,1.334276,1.432873,1.527860", \ "1.086394,1.121686,1.155017,1.199204,1.273913,1.376287,1.472091", \ "1.181212,1.197131,1.210252,1.231583,1.267746,1.362802,1.453901", \ "1.434714,1.439996,1.456725,1.461627,1.461069,1.475846,1.498624", \ "1.843216,1.838301,1.832646,1.836814,1.819053,1.790542,1.735469", \ "2.430756,2.413141,2.410194,2.386087,2.365176,2.286921,2.165156"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("5.793746,5.807363,5.813396,5.829497,5.845114,5.823001,5.868976", \ "5.748993,5.764238,5.772371,5.788006,5.808915,5.769268,5.757997", \ "5.695577,5.710690,5.719037,5.722065,5.762516,5.793724,5.805843", \ "5.681081,5.677406,5.684485,5.708104,5.697922,5.670110,5.751926", \ "5.692494,5.690560,5.695803,5.693539,5.712042,5.680019,5.739467", \ "5.748105,5.758648,5.796998,5.812280,5.811087,5.817672,5.800147", \ "6.057467,6.067025,6.067474,6.087296,6.090950,6.125345,6.021806"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("1.256977,1.287559,1.305731,1.363300,1.425351,1.494382,1.592224", \ "1.215162,1.246549,1.259111,1.314602,1.368660,1.446237,1.537104", \ "1.153425,1.190351,1.219388,1.260141,1.314514,1.398628,1.487852", \ "1.232373,1.225957,1.236701,1.259522,1.307856,1.376705,1.469104", \ "1.490243,1.491257,1.490825,1.487218,1.484561,1.477560,1.515152", \ "1.896483,1.877392,1.872533,1.849787,1.833102,1.799982,1.742266", \ "2.487603,2.466186,2.460099,2.419388,2.383822,2.298825,2.170844"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("6.612008,6.608443,6.615475,6.637774,6.666618,6.659542,6.717494", \ "6.573354,6.568923,6.577981,6.602131,6.628000,6.630386,6.688296", \ "6.519552,6.516839,6.526464,6.550039,6.587118,6.589036,6.613486", \ "6.484502,6.500066,6.508737,6.528457,6.553466,6.535159,6.613667", \ "6.496664,6.493351,6.500975,6.512331,6.546853,6.525418,6.542935", \ "6.583406,6.618598,6.639036,6.624549,6.624966,6.547132,6.599718", \ "6.837296,6.850726,6.877129,6.900808,6.948167,6.908843,6.815248"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.287364,0.293143,0.287509,0.282196,0.285478,0.294172,0.297524", \ "0.275341,0.274877,0.284636,0.289612,0.302964,0.317028,0.328680", \ "0.298908,0.298226,0.299355,0.301334,0.311908,0.331903,0.353038", \ "0.535032,0.520158,0.496611,0.463891,0.412526,0.392130,0.394488", \ "0.942615,0.925652,0.893386,0.833000,0.755480,0.645026,0.542041", \ "1.520505,1.510910,1.489724,1.429155,1.316086,1.138050,0.926621", \ "2.300848,2.278527,2.252993,2.219349,2.106509,1.897577,1.578433"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.906793,2.925472,2.930842,2.947383,2.948672,2.924163,2.976683", \ "2.810734,2.809483,2.841985,2.861916,2.904051,2.871699,2.938234", \ "2.796288,2.810294,2.809551,2.801174,2.790455,2.829155,2.883696", \ "3.047026,3.030167,3.037296,3.008728,2.964889,2.902754,2.941638", \ "3.470625,3.478675,3.482901,3.430139,3.341939,3.243577,3.161220", \ "4.072907,4.066678,4.041611,4.027916,3.989968,3.780326,3.700905", \ "5.037748,5.011158,4.950250,4.889467,4.801276,4.683227,4.448903"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.326921,0.323733,0.314308,0.305949,0.294666,0.295666,0.295712", \ "0.309530,0.306560,0.310567,0.312334,0.315166,0.322946,0.333731", \ "0.330435,0.324399,0.328812,0.330857,0.331346,0.346423,0.365253", \ "0.552559,0.538884,0.516410,0.484272,0.437925,0.415294,0.414705", \ "0.912559,0.898173,0.874634,0.824070,0.755893,0.656121,0.558156", \ "1.437958,1.426311,1.397026,1.348700,1.253838,1.108543,0.919492", \ "2.113144,2.113272,2.099589,2.055828,1.964235,1.787463,1.509508"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("2.867002,2.884982,2.896719,2.904376,2.905941,2.936357,2.965618", \ "2.774727,2.776844,2.811568,2.825281,2.868310,2.919557,2.931564", \ "2.763564,2.757800,2.782068,2.770342,2.774069,2.804242,2.881465", \ "2.983770,2.988583,2.980606,2.966838,2.929982,2.897126,2.833409", \ "3.444737,3.426368,3.403555,3.357737,3.284832,3.223396,3.109333", \ "3.968113,3.955713,3.953418,3.964464,3.885650,3.732691,3.563990", \ "4.817894,4.796160,4.768128,4.706273,4.660376,4.519051,4.265981"); } } internal_power () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.305575,0.307285,0.302887,0.294531,0.292464,0.292346,0.297717", \ "0.297002,0.295863,0.299866,0.303011,0.311275,0.319705,0.334055", \ "0.318985,0.317136,0.321208,0.321623,0.330637,0.345581,0.364155", \ "0.527183,0.519915,0.498514,0.469144,0.430590,0.413009,0.410193", \ "0.914683,0.894967,0.865476,0.811590,0.740167,0.644938,0.555447", \ "1.474095,1.447535,1.417573,1.363513,1.250730,1.102322,0.911759", \ "2.190518,2.171888,2.153238,2.094056,1.977265,1.790232,1.502206"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.690669,3.707849,3.719091,3.741552,3.729100,3.756130,3.759774", \ "3.613207,3.614037,3.647219,3.675752,3.695175,3.654869,3.727067", \ "3.581164,3.578052,3.586361,3.601301,3.615839,3.596995,3.679296", \ "3.772017,3.762214,3.761117,3.763409,3.757745,3.681499,3.735431", \ "4.171132,4.172965,4.157246,4.105289,4.093042,4.033569,3.893722", \ "4.714599,4.727144,4.727401,4.718649,4.646729,4.494106,4.378265", \ "5.517612,5.506179,5.479448,5.447711,5.432080,5.260153,5.098422"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.295618,0.292627,0.289338,0.289912,0.289381,0.294051,0.300348", \ "0.264515,0.275495,0.280074,0.292187,0.302847,0.321043,0.332472", \ "0.231617,0.230473,0.239557,0.252976,0.279135,0.309709,0.336895", \ "0.358565,0.358137,0.349658,0.336388,0.310825,0.305958,0.332839", \ "0.629203,0.616885,0.605108,0.567739,0.531481,0.470710,0.405472", \ "1.039375,1.039360,1.014309,0.975491,0.923598,0.813828,0.680966", \ "1.601862,1.600826,1.573857,1.546383,1.485122,1.361822,1.152077"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.636623,3.629697,3.651240,3.660195,3.641149,3.653715,3.633768", \ "3.559786,3.555116,3.582387,3.602684,3.579556,3.619490,3.682024", \ "3.554424,3.568383,3.567605,3.582808,3.601068,3.618640,3.651744", \ "3.799257,3.785060,3.774093,3.781953,3.722614,3.739452,3.726722", \ "4.266158,4.259334,4.237401,4.180079,4.138895,4.035913,3.941190", \ "4.832668,4.819229,4.819154,4.829032,4.757264,4.620428,4.397725", \ "5.742894,5.729050,5.710832,5.671239,5.619079,5.457643,5.296593"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.327273,0.321937,0.314947,0.307059,0.299548,0.297209,0.300403", \ "0.295238,0.304072,0.300416,0.307937,0.313535,0.323355,0.333922", \ "0.261566,0.267045,0.271022,0.283207,0.298660,0.324053,0.349010", \ "0.382373,0.382531,0.375497,0.363531,0.342744,0.336800,0.354516", \ "0.623964,0.611458,0.605470,0.581717,0.545071,0.494633,0.431935", \ "0.988451,0.979222,0.969390,0.945213,0.897634,0.808665,0.690413", \ "1.478414,1.473317,1.475310,1.451329,1.396858,1.301861,1.122652"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("3.603980,3.600158,3.607083,3.630836,3.612917,3.621207,3.673361", \ "3.530902,3.529493,3.539954,3.564941,3.555691,3.561961,3.639251", \ "3.528589,3.523399,3.528579,3.546324,3.571028,3.584437,3.607490", \ "3.744132,3.751291,3.747466,3.737306,3.707720,3.701991,3.692057", \ "4.195076,4.179142,4.163123,4.113508,4.084452,3.989226,3.930770", \ "4.738644,4.752350,4.751021,4.750755,4.656177,4.516811,4.356788", \ "5.553186,5.544774,5.521640,5.502875,5.493486,5.302355,5.066572"); } } internal_power () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("0.303022,0.307255,0.303220,0.300946,0.294214,0.293658,0.296801", \ "0.288018,0.292816,0.296613,0.301509,0.309696,0.320422,0.334553", \ "0.249698,0.251858,0.264217,0.274796,0.295736,0.324160,0.347897", \ "0.366944,0.363367,0.360175,0.347416,0.327668,0.333904,0.355687", \ "0.623699,0.617609,0.601703,0.571865,0.539636,0.486019,0.430271", \ "1.021412,1.006033,0.985314,0.958975,0.895258,0.802298,0.684639", \ "1.540877,1.528807,1.511580,1.483412,1.416419,1.301840,1.118330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.669703,1.226700,2.246970,4.115800,7.538960,13.809200"); values ("4.403294,4.418339,4.426800,4.432202,4.461024,4.494192,4.468759", \ "4.338724,4.355419,4.366146,4.385450,4.410752,4.440727,4.429200", \ "4.332058,4.340987,4.348542,4.349498,4.388651,4.381135,4.404318", \ "4.520992,4.530543,4.530233,4.524268,4.525778,4.519273,4.483710", \ "4.931372,4.935641,4.925740,4.900735,4.846455,4.741782,4.767645", \ "5.530208,5.533310,5.544908,5.497055,5.410307,5.351985,5.227701", \ "6.288959,6.288594,6.278323,6.266963,6.268055,6.101823,5.940391"); } } } } /****************************************************************************************** Module : AOI221_X2 Cell Description : Combinational cell (AOI221_X2) with drive strength X2 *******************************************************************************************/ cell (AOI221_X2) { drive_strength : 2; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 83.482383; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 34.129150; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 76.755910; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 35.334096; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 74.876120; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 76.755690; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 119.377720; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 77.960418; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 104.113680; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 35.333981; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 77.960521; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 36.539697; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 104.104660; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 64.454665; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 93.853804; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 93.846203; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 118.340290; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 33.171138; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 62.474720; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 62.472300; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 88.975718; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 62.411679; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 91.715283; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 91.712852; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 118.216397; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 62.409479; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 91.713072; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 91.708001; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 118.213647; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 88.728883; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 118.220558; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 118.217589; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 147.338351; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.504692; fall_capacitance : 3.144840; rise_capacitance : 3.504692; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.226091; fall_capacitance : 3.213702; rise_capacitance : 3.226091; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.135271; fall_capacitance : 2.986162; rise_capacitance : 3.135271; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.145027; fall_capacitance : 2.640381; rise_capacitance : 3.145027; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.501501; fall_capacitance : 2.880490; rise_capacitance : 3.501501; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 27.618400; function : "!(((C1 & C2) | A) | (B1 & B2))"; timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.007261,0.007615,0.008217,0.009394,0.011676,0.016089,0.024688", \ "0.008798,0.009148,0.009748,0.010923,0.013203,0.017617,0.026218", \ "0.013376,0.013841,0.014623,0.016080,0.018693,0.023174,0.031707", \ "0.016385,0.017073,0.018231,0.020402,0.024311,0.031012,0.041920", \ "0.016703,0.017631,0.019203,0.022126,0.027384,0.036424,0.051176", \ "0.013946,0.015111,0.017106,0.020803,0.027454,0.038908,0.057629", \ "0.007813,0.009212,0.011602,0.016073,0.024153,0.038091,0.060883"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.029026,0.030397,0.032765,0.037464,0.046778,0.065256,0.102037", \ "0.030052,0.031434,0.033820,0.038557,0.047946,0.066539,0.103442", \ "0.035538,0.036905,0.039258,0.043949,0.053286,0.071864,0.108838", \ "0.044945,0.046508,0.049144,0.054130,0.063491,0.081988,0.118866", \ "0.055002,0.056964,0.060254,0.066488,0.077913,0.098220,0.135052", \ "0.067469,0.069787,0.073665,0.080996,0.094392,0.118077,0.158750", \ "0.083598,0.086225,0.090607,0.098864,0.114042,0.140867,0.186763"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004680,0.004947,0.005405,0.006321,0.008143,0.011779,0.019059", \ "0.004596,0.004875,0.005353,0.006290,0.008131,0.011776,0.019059", \ "0.006846,0.007066,0.007433,0.008117,0.009366,0.012199,0.019055", \ "0.011205,0.011531,0.012070,0.013069,0.014873,0.017951,0.023024", \ "0.016864,0.017297,0.017999,0.019321,0.021702,0.025746,0.032385", \ "0.023893,0.024453,0.025335,0.027019,0.030019,0.035055,0.043238", \ "0.032303,0.033005,0.034133,0.036232,0.039925,0.046033,0.055784"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.016091,0.017280,0.019353,0.023483,0.031731,0.048176,0.080914", \ "0.016092,0.017285,0.019354,0.023485,0.031729,0.048179,0.080894", \ "0.016127,0.017314,0.019369,0.023495,0.031731,0.048152,0.080887", \ "0.018928,0.019828,0.021431,0.024837,0.032174,0.048169,0.080886", \ "0.025149,0.026165,0.027899,0.031300,0.037899,0.050925,0.080992", \ "0.032316,0.033411,0.035250,0.038938,0.046069,0.059546,0.085596", \ "0.040233,0.041346,0.043278,0.047192,0.054903,0.069540,0.096504"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006089,0.006456,0.007081,0.008298,0.010639,0.015126,0.023793", \ "0.007700,0.008051,0.008656,0.009846,0.012162,0.016634,0.025296", \ "0.011887,0.012395,0.013243,0.014809,0.017577,0.022223,0.030768", \ "0.014179,0.014932,0.016190,0.018517,0.022657,0.029641,0.040839", \ "0.013677,0.014682,0.016385,0.019517,0.025092,0.034535,0.049705", \ "0.009946,0.011228,0.013377,0.017343,0.024401,0.036377,0.055663", \ "0.002742,0.004272,0.006846,0.011635,0.020205,0.034785,0.058305"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.032211,0.033868,0.036720,0.042387,0.053622,0.075927,0.120357", \ "0.033128,0.034795,0.037670,0.043381,0.054704,0.077151,0.121718", \ "0.038445,0.040092,0.042927,0.048581,0.059840,0.082265,0.126921", \ "0.047173,0.048985,0.052044,0.057824,0.069050,0.091358,0.135901", \ "0.056649,0.058806,0.062431,0.069353,0.082245,0.105679,0.150030", \ "0.068978,0.071452,0.075616,0.083480,0.098032,0.124341,0.171103", \ "0.085190,0.087989,0.092615,0.101370,0.117517,0.146490,0.197608"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004273,0.004555,0.005038,0.005984,0.007834,0.011472,0.018717", \ "0.004130,0.004404,0.004906,0.005886,0.007775,0.011447,0.018712", \ "0.006836,0.007054,0.007419,0.008104,0.009342,0.011998,0.018684", \ "0.011300,0.011626,0.012146,0.013129,0.014894,0.017954,0.022994", \ "0.017150,0.017571,0.018250,0.019536,0.021847,0.025814,0.032364", \ "0.024461,0.024993,0.025849,0.027477,0.030381,0.035277,0.043318", \ "0.033224,0.033912,0.034999,0.037029,0.040588,0.046507,0.056046"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.017510,0.018960,0.021491,0.026558,0.036681,0.056860,0.097134", \ "0.017517,0.018973,0.021497,0.026559,0.036674,0.056854,0.097133", \ "0.017557,0.019003,0.021520,0.026567,0.036673,0.056861,0.097144", \ "0.019823,0.021020,0.023140,0.027556,0.036892,0.056886,0.097129", \ "0.024969,0.026248,0.028478,0.032902,0.041414,0.058712,0.097147", \ "0.031217,0.032502,0.034786,0.039353,0.048332,0.065678,0.100162", \ "0.038456,0.039749,0.042070,0.046778,0.056083,0.074162,0.108637"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006218,0.006584,0.007207,0.008421,0.010760,0.015248,0.023921", \ "0.007823,0.008174,0.008778,0.009967,0.012283,0.016756,0.025423", \ "0.012079,0.012581,0.013419,0.014969,0.017718,0.022342,0.030895", \ "0.014494,0.015235,0.016477,0.018784,0.022891,0.029840,0.040999", \ "0.014145,0.015134,0.016821,0.019919,0.025448,0.034830,0.049944", \ "0.010638,0.011892,0.014012,0.017922,0.024911,0.036808,0.056009", \ "0.003734,0.005229,0.007752,0.012456,0.020921,0.035377,0.058778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.039033,0.040693,0.043557,0.049245,0.060517,0.082886,0.127381", \ "0.040048,0.041716,0.044599,0.050321,0.061665,0.084141,0.128763", \ "0.045289,0.046942,0.049798,0.055485,0.066793,0.089273,0.133983", \ "0.054531,0.056208,0.059064,0.064721,0.075964,0.098344,0.142947", \ "0.065482,0.067505,0.070911,0.077447,0.089829,0.112638,0.157040", \ "0.079072,0.081369,0.085247,0.092683,0.106572,0.132101,0.178071", \ "0.096356,0.098942,0.103300,0.111557,0.126948,0.155009,0.205198"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004965,0.005259,0.005767,0.006760,0.008704,0.012477,0.019799", \ "0.004797,0.005092,0.005624,0.006657,0.008644,0.012453,0.019793", \ "0.007843,0.008036,0.008358,0.008991,0.010166,0.012981,0.019767", \ "0.013483,0.013720,0.014121,0.014925,0.016468,0.019261,0.024039", \ "0.020524,0.020816,0.021290,0.022277,0.024182,0.027693,0.033812", \ "0.029062,0.029421,0.030003,0.031209,0.033528,0.037787,0.045202", \ "0.039164,0.039607,0.040357,0.041842,0.044645,0.049699,0.058420"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021041,0.022525,0.025089,0.030209,0.040399,0.060688,0.101096", \ "0.021044,0.022527,0.025093,0.030209,0.040408,0.060684,0.101094", \ "0.021058,0.022539,0.025100,0.030210,0.040400,0.060686,0.101093", \ "0.022283,0.023595,0.025896,0.030599,0.040463,0.060685,0.101101", \ "0.027301,0.028642,0.030928,0.035426,0.043919,0.061905,0.101089", \ "0.033259,0.034658,0.037049,0.041748,0.050883,0.068309,0.103462", \ "0.040186,0.041630,0.044101,0.049023,0.058572,0.076845,0.111371"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.007271,0.007624,0.008227,0.009405,0.011686,0.016099,0.024696", \ "0.008839,0.009188,0.009788,0.010963,0.013244,0.017658,0.026259", \ "0.013473,0.013936,0.014711,0.016165,0.018770,0.023244,0.031778", \ "0.016459,0.017153,0.018317,0.020488,0.024401,0.031102,0.041996", \ "0.016569,0.017498,0.019087,0.022040,0.027338,0.036429,0.051218", \ "0.013293,0.014476,0.016503,0.020268,0.027036,0.038636,0.057505", \ "0.006267,0.007715,0.010159,0.014749,0.023028,0.037239,0.060357"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.036328,0.038073,0.041078,0.047045,0.058865,0.082311,0.128962", \ "0.037021,0.038775,0.041805,0.047822,0.059743,0.083332,0.130141", \ "0.041978,0.043708,0.046699,0.052657,0.064514,0.088088,0.134991", \ "0.051504,0.053317,0.056370,0.062341,0.074141,0.097609,0.144397", \ "0.062332,0.064615,0.068417,0.075672,0.089086,0.113184,0.159781", \ "0.075894,0.078573,0.082991,0.091437,0.106941,0.134609,0.182883", \ "0.093678,0.096660,0.101647,0.111103,0.128544,0.159575,0.213243"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004681,0.004947,0.005407,0.006321,0.008143,0.011779,0.019059", \ "0.004599,0.004879,0.005355,0.006291,0.008131,0.011776,0.019059", \ "0.006809,0.007029,0.007396,0.008084,0.009335,0.012184,0.019056", \ "0.011160,0.011486,0.012021,0.013018,0.014827,0.017907,0.022987", \ "0.016839,0.017275,0.017980,0.019305,0.021696,0.025735,0.032361", \ "0.023944,0.024510,0.025404,0.027091,0.030102,0.035139,0.043287", \ "0.032482,0.033195,0.034329,0.036441,0.040146,0.046253,0.055974"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021120,0.022623,0.025233,0.030442,0.040834,0.061523,0.102726", \ "0.021132,0.022626,0.025236,0.030442,0.040830,0.061509,0.102722", \ "0.021135,0.022637,0.025239,0.030443,0.040830,0.061509,0.102730", \ "0.022836,0.024084,0.026330,0.030979,0.040902,0.061533,0.102716", \ "0.029286,0.030520,0.032675,0.036933,0.044958,0.062782,0.102709", \ "0.036764,0.038057,0.040326,0.044787,0.053511,0.070040,0.104917", \ "0.044934,0.046260,0.048588,0.053342,0.062663,0.080424,0.113534"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006097,0.006464,0.007090,0.008306,0.010648,0.015135,0.023802", \ "0.007737,0.008088,0.008694,0.009885,0.012203,0.016676,0.025337", \ "0.011984,0.012492,0.013334,0.014895,0.017657,0.022293,0.030839", \ "0.014265,0.015020,0.016281,0.018613,0.022749,0.029734,0.040919", \ "0.013553,0.014571,0.016285,0.019450,0.025070,0.034551,0.049758", \ "0.009314,0.010609,0.012806,0.016842,0.024019,0.036134,0.055560", \ "0.001248,0.002822,0.005460,0.010374,0.019148,0.034004,0.057843"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.039528,0.041554,0.045043,0.051965,0.065678,0.092882,0.147035", \ "0.040117,0.042154,0.045666,0.052645,0.066470,0.093842,0.148178", \ "0.044964,0.046972,0.050440,0.057350,0.071097,0.098450,0.152890", \ "0.053805,0.055864,0.059374,0.066289,0.079964,0.107187,0.161495", \ "0.063881,0.066356,0.070532,0.078541,0.093571,0.121100,0.175173", \ "0.077128,0.079955,0.084681,0.093694,0.110448,0.140934,0.195898", \ "0.094837,0.098010,0.103247,0.113208,0.131650,0.164964,0.224231"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004276,0.004558,0.005040,0.005987,0.007835,0.011473,0.018717", \ "0.004133,0.004411,0.004913,0.005893,0.007779,0.011450,0.018712", \ "0.006799,0.007016,0.007381,0.008067,0.009307,0.011978,0.018685", \ "0.011245,0.011567,0.012089,0.013070,0.014846,0.017911,0.022954", \ "0.017088,0.017512,0.018199,0.019492,0.021815,0.025785,0.032339", \ "0.024441,0.024986,0.025847,0.027486,0.030400,0.035314,0.043344", \ "0.033274,0.033970,0.035075,0.037127,0.040710,0.046658,0.056187"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021911,0.023673,0.026744,0.032880,0.045145,0.069574,0.118272", \ "0.021929,0.023690,0.026752,0.032884,0.045143,0.069564,0.118266", \ "0.021948,0.023705,0.026759,0.032893,0.045144,0.069569,0.118250", \ "0.023451,0.024988,0.027706,0.033304,0.045227,0.069560,0.118257", \ "0.028797,0.030347,0.033052,0.038393,0.048491,0.070431,0.118266", \ "0.035181,0.036740,0.039472,0.044921,0.055655,0.076222,0.119691", \ "0.042611,0.044143,0.046911,0.052489,0.063536,0.085037,0.126266"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006227,0.006592,0.007216,0.008430,0.010769,0.015257,0.023930", \ "0.007860,0.008212,0.008817,0.010007,0.012323,0.016797,0.025464", \ "0.012176,0.012676,0.013510,0.015056,0.017797,0.022411,0.030966", \ "0.014581,0.015322,0.016567,0.018875,0.022983,0.029929,0.041078", \ "0.014027,0.015028,0.016722,0.019850,0.025421,0.034847,0.049995", \ "0.010014,0.011282,0.013449,0.017430,0.024529,0.036565,0.055909", \ "0.002257,0.003798,0.006379,0.011214,0.019873,0.034603,0.058318"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.047958,0.049983,0.053476,0.060412,0.074156,0.101429,0.155654", \ "0.048664,0.050698,0.054213,0.061193,0.075026,0.102432,0.156814", \ "0.053413,0.055431,0.058916,0.065855,0.079646,0.107053,0.161557", \ "0.062384,0.064404,0.067856,0.074752,0.088464,0.115755,0.170137", \ "0.074135,0.076478,0.080408,0.088018,0.102423,0.129622,0.183782", \ "0.088734,0.091367,0.095808,0.104333,0.120365,0.150036,0.204421", \ "0.107576,0.110503,0.115462,0.124909,0.142527,0.174845,0.233113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004969,0.005263,0.005769,0.006763,0.008706,0.012478,0.019800", \ "0.004802,0.005100,0.005632,0.006663,0.008648,0.012454,0.019794", \ "0.007801,0.007992,0.008317,0.008950,0.010131,0.012965,0.019768", \ "0.013416,0.013653,0.014059,0.014862,0.016407,0.019214,0.023999", \ "0.020460,0.020757,0.021245,0.022236,0.024149,0.027670,0.033782", \ "0.029066,0.029435,0.030016,0.031231,0.033566,0.037821,0.045231", \ "0.039256,0.039718,0.040480,0.041973,0.044798,0.049865,0.058568"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.026240,0.028044,0.031158,0.037367,0.049720,0.074273,0.123147", \ "0.026249,0.028050,0.031158,0.037367,0.049722,0.074275,0.123144", \ "0.026257,0.028057,0.031163,0.037368,0.049724,0.074267,0.123136", \ "0.026860,0.028517,0.031463,0.037500,0.049746,0.074264,0.123121", \ "0.031843,0.033442,0.036199,0.041348,0.051975,0.074660,0.123102", \ "0.037898,0.039568,0.042389,0.047989,0.058882,0.079608,0.124079", \ "0.044996,0.046693,0.049612,0.055410,0.066708,0.088394,0.129998"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.007400,0.007752,0.008353,0.009529,0.011808,0.016222,0.024827", \ "0.008967,0.009315,0.009914,0.011087,0.013366,0.017782,0.026389", \ "0.013650,0.014109,0.014879,0.016318,0.018905,0.023365,0.031908", \ "0.016751,0.017434,0.018585,0.020738,0.024623,0.031292,0.042156", \ "0.017008,0.017921,0.019497,0.022417,0.027676,0.036719,0.051469", \ "0.013930,0.015093,0.017098,0.020818,0.027520,0.039050,0.057855", \ "0.007174,0.008596,0.011007,0.015535,0.023716,0.037821,0.060844"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.043603,0.045350,0.048364,0.054347,0.066204,0.089723,0.136459", \ "0.044400,0.046156,0.049189,0.055212,0.067150,0.090781,0.137657", \ "0.049272,0.051014,0.054022,0.060012,0.071911,0.095555,0.142530", \ "0.058969,0.060711,0.063706,0.069657,0.081496,0.105038,0.151923", \ "0.071706,0.073816,0.077379,0.084200,0.096985,0.120575,0.167263", \ "0.086840,0.089297,0.093430,0.101334,0.116059,0.142763,0.190297", \ "0.105793,0.108576,0.113267,0.122206,0.138768,0.168708,0.221219"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.005386,0.005666,0.006148,0.007112,0.009029,0.012794,0.020145", \ "0.005305,0.005598,0.006098,0.007082,0.009017,0.012792,0.020146", \ "0.007738,0.007937,0.008282,0.008928,0.010186,0.013187,0.020143", \ "0.013149,0.013409,0.013855,0.014715,0.016330,0.019185,0.024044", \ "0.019948,0.020288,0.020829,0.021901,0.023937,0.027571,0.033791", \ "0.028223,0.028646,0.029310,0.030634,0.033138,0.037586,0.045162", \ "0.038026,0.038551,0.039396,0.041032,0.044064,0.049385,0.058336"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.024861,0.026383,0.029020,0.034279,0.044738,0.065526,0.106878", \ "0.024860,0.026386,0.029018,0.034278,0.044737,0.065524,0.106886", \ "0.024864,0.026385,0.029024,0.034277,0.044742,0.065524,0.106895", \ "0.025596,0.026974,0.029394,0.034410,0.044761,0.065543,0.106878", \ "0.031491,0.032763,0.034972,0.039118,0.047605,0.066219,0.106877", \ "0.038787,0.040162,0.042491,0.047056,0.055896,0.072507,0.108467", \ "0.046787,0.048228,0.050711,0.055608,0.065094,0.082966,0.116321"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006227,0.006592,0.007216,0.008430,0.010769,0.015257,0.023930", \ "0.007860,0.008212,0.008817,0.010007,0.012323,0.016797,0.025464", \ "0.012176,0.012676,0.013510,0.015056,0.017797,0.022411,0.030966", \ "0.014581,0.015322,0.016567,0.018875,0.022983,0.029929,0.041078", \ "0.014027,0.015028,0.016722,0.019850,0.025421,0.034847,0.049995", \ "0.010014,0.011282,0.013449,0.017430,0.024529,0.036565,0.055909", \ "0.002257,0.003798,0.006379,0.011214,0.019873,0.034603,0.058318"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.047958,0.049983,0.053476,0.060412,0.074156,0.101429,0.155654", \ "0.048664,0.050698,0.054213,0.061193,0.075026,0.102432,0.156814", \ "0.053413,0.055431,0.058916,0.065855,0.079646,0.107053,0.161557", \ "0.062384,0.064404,0.067856,0.074752,0.088464,0.115755,0.170137", \ "0.074135,0.076478,0.080408,0.088018,0.102423,0.129622,0.183782", \ "0.088734,0.091367,0.095808,0.104333,0.120365,0.150036,0.204421", \ "0.107576,0.110503,0.115462,0.124909,0.142527,0.174845,0.233113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004969,0.005263,0.005769,0.006763,0.008706,0.012478,0.019800", \ "0.004802,0.005100,0.005632,0.006663,0.008648,0.012454,0.019794", \ "0.007801,0.007992,0.008317,0.008950,0.010131,0.012965,0.019768", \ "0.013416,0.013653,0.014059,0.014862,0.016407,0.019214,0.023999", \ "0.020460,0.020757,0.021245,0.022236,0.024149,0.027670,0.033782", \ "0.029066,0.029435,0.030016,0.031231,0.033566,0.037821,0.045231", \ "0.039256,0.039718,0.040480,0.041973,0.044798,0.049865,0.058568"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.026240,0.028044,0.031158,0.037367,0.049720,0.074273,0.123147", \ "0.026249,0.028050,0.031158,0.037367,0.049722,0.074275,0.123144", \ "0.026257,0.028057,0.031163,0.037368,0.049724,0.074267,0.123136", \ "0.026860,0.028517,0.031463,0.037500,0.049746,0.074264,0.123121", \ "0.031843,0.033442,0.036199,0.041348,0.051975,0.074660,0.123102", \ "0.037898,0.039568,0.042389,0.047989,0.058882,0.079608,0.124079", \ "0.044996,0.046693,0.049612,0.055410,0.066708,0.088394,0.129998"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006358,0.006722,0.007344,0.008555,0.010892,0.015381,0.024060", \ "0.007986,0.008337,0.008942,0.010130,0.012446,0.016920,0.025595", \ "0.012368,0.012862,0.013686,0.015216,0.017937,0.022532,0.031097", \ "0.014893,0.015624,0.016853,0.019137,0.023213,0.030124,0.041238", \ "0.014505,0.015490,0.017157,0.020247,0.025768,0.035139,0.050237", \ "0.010728,0.011980,0.014092,0.018013,0.025036,0.036992,0.056253", \ "0.003307,0.004809,0.007312,0.012053,0.020596,0.035208,0.058796"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.056492,0.058514,0.062013,0.068953,0.082719,0.110043,0.164408", \ "0.057287,0.059321,0.062832,0.069810,0.083655,0.111083,0.165585", \ "0.061980,0.064002,0.067499,0.074452,0.088280,0.115735,0.170346", \ "0.070914,0.072927,0.076392,0.083302,0.097052,0.124408,0.178891", \ "0.083903,0.086120,0.089883,0.097196,0.111028,0.138239,0.192502", \ "0.099717,0.102183,0.106400,0.114553,0.130021,0.159023,0.213058", \ "0.119634,0.122360,0.127068,0.136108,0.153070,0.184592,0.241993"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006191,0.006477,0.006976,0.007963,0.009888,0.013615,0.020901", \ "0.005997,0.006299,0.006831,0.007859,0.009830,0.013591,0.020896", \ "0.009275,0.009411,0.009655,0.010161,0.011254,0.014086,0.020870", \ "0.015594,0.015768,0.016073,0.016715,0.018007,0.020529,0.025065", \ "0.023439,0.023649,0.024002,0.024776,0.026365,0.029501,0.035209", \ "0.033050,0.033296,0.033704,0.034622,0.036519,0.040234,0.047101", \ "0.044380,0.044696,0.045234,0.046336,0.048585,0.052934,0.060923"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.030669,0.032486,0.035633,0.041895,0.054336,0.079029,0.128133", \ "0.030670,0.032490,0.035636,0.041896,0.054337,0.079039,0.128138", \ "0.030673,0.032491,0.035634,0.041895,0.054346,0.079034,0.128140", \ "0.030853,0.032635,0.035733,0.041940,0.054345,0.079022,0.128109", \ "0.035074,0.036603,0.039253,0.044621,0.055768,0.079160,0.128077", \ "0.041051,0.042722,0.045609,0.051268,0.062259,0.083275,0.128642", \ "0.048005,0.049749,0.052728,0.058624,0.070062,0.091900,0.133944"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.014010,0.014662,0.015776,0.017941,0.022097,0.030018,0.045191", \ "0.015254,0.015903,0.017015,0.019174,0.023320,0.031237,0.046406", \ "0.020645,0.021250,0.022259,0.024274,0.028290,0.036117,0.051242", \ "0.027528,0.028379,0.029841,0.032571,0.037535,0.046165,0.061076", \ "0.032031,0.033141,0.035046,0.038628,0.045142,0.056512,0.075456", \ "0.033877,0.035266,0.037602,0.042026,0.050073,0.064185,0.087816", \ "0.033050,0.034684,0.037419,0.042609,0.052176,0.068985,0.097233"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.042898,0.044648,0.047661,0.053642,0.065472,0.088917,0.135568", \ "0.043947,0.045730,0.048800,0.054879,0.066866,0.090502,0.137337", \ "0.049014,0.050768,0.053798,0.059830,0.071815,0.095565,0.142631", \ "0.057508,0.059271,0.062295,0.068272,0.080135,0.103735,0.150746", \ "0.066323,0.068363,0.071843,0.078592,0.091447,0.115400,0.162203", \ "0.075162,0.077489,0.081441,0.089051,0.103407,0.129986,0.178398", \ "0.086029,0.088672,0.093094,0.101575,0.117386,0.146352,0.198694"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.010146,0.010623,0.011443,0.013062,0.016248,0.022527,0.034992", \ "0.010038,0.010524,0.011359,0.012996,0.016207,0.022509,0.034987", \ "0.010228,0.010634,0.011345,0.012831,0.015947,0.022413,0.034973", \ "0.015233,0.015669,0.016375,0.017724,0.020218,0.024765,0.035322", \ "0.021790,0.022354,0.023261,0.024993,0.028146,0.033583,0.042882", \ "0.029678,0.030368,0.031509,0.033657,0.037552,0.044238,0.055356", \ "0.038838,0.039726,0.041126,0.043776,0.048467,0.056448,0.069599"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021114,0.022622,0.025227,0.030443,0.040833,0.061505,0.102727", \ "0.021127,0.022623,0.025230,0.030443,0.040840,0.061508,0.102714", \ "0.021137,0.022640,0.025237,0.030443,0.040826,0.061523,0.102710", \ "0.021815,0.023190,0.025619,0.030623,0.040866,0.061531,0.102727", \ "0.026084,0.027444,0.029795,0.034454,0.043419,0.062337,0.102719", \ "0.031963,0.033310,0.035658,0.040392,0.049759,0.067942,0.104701", \ "0.040347,0.041588,0.043786,0.048323,0.057555,0.076034,0.112036"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011082,0.011771,0.012942,0.015208,0.019526,0.027680,0.043118", \ "0.012438,0.013112,0.014263,0.016500,0.020786,0.028912,0.044333", \ "0.018107,0.018778,0.019891,0.021963,0.025945,0.033849,0.049160", \ "0.024033,0.024972,0.026566,0.029524,0.034825,0.043891,0.059066", \ "0.027476,0.028699,0.030799,0.034667,0.041621,0.053559,0.073128", \ "0.028170,0.029692,0.032254,0.037024,0.045610,0.060434,0.084859", \ "0.026056,0.027830,0.030824,0.036421,0.046625,0.064295,0.093534"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.046128,0.048163,0.051661,0.058599,0.072321,0.099531,0.153680", \ "0.047046,0.049118,0.052681,0.059732,0.073637,0.101072,0.155430", \ "0.051985,0.054019,0.057531,0.064528,0.078420,0.105975,0.160608", \ "0.060298,0.062333,0.065826,0.072749,0.086498,0.113880,0.168441", \ "0.068930,0.071221,0.075129,0.082730,0.097296,0.124720,0.179037", \ "0.077579,0.080133,0.084452,0.092817,0.108709,0.138492,0.193622", \ "0.088422,0.091251,0.096009,0.105134,0.122277,0.154126,0.212695"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009210,0.009733,0.010618,0.012341,0.015657,0.022043,0.034520", \ "0.008968,0.009509,0.010424,0.012184,0.015548,0.021980,0.034496", \ "0.009973,0.010315,0.010943,0.012296,0.015265,0.021727,0.034426", \ "0.015372,0.015799,0.016499,0.017828,0.020269,0.024691,0.034868", \ "0.022246,0.022794,0.023676,0.025360,0.028421,0.033741,0.042893", \ "0.030548,0.031230,0.032331,0.034403,0.038154,0.044622,0.055505", \ "0.040273,0.041142,0.042499,0.045044,0.049542,0.057211,0.069976"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021895,0.023660,0.026734,0.032881,0.045148,0.069562,0.118259", \ "0.021914,0.023677,0.026744,0.032886,0.045146,0.069558,0.118262", \ "0.021943,0.023697,0.026757,0.032894,0.045152,0.069559,0.118267", \ "0.022472,0.024119,0.027057,0.033030,0.045174,0.069582,0.118254", \ "0.026239,0.027877,0.030709,0.036253,0.047146,0.070028,0.118255", \ "0.031305,0.032931,0.035779,0.041485,0.052767,0.074586,0.119455", \ "0.038840,0.040358,0.043056,0.048574,0.059734,0.081962,0.125289"); } } timing () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011349,0.012035,0.013203,0.015462,0.019776,0.027930,0.043385", \ "0.012698,0.013370,0.014518,0.016751,0.021034,0.029161,0.044600", \ "0.018379,0.019038,0.020141,0.022188,0.026180,0.034094,0.049426", \ "0.024461,0.025390,0.026961,0.029887,0.035149,0.044168,0.059330", \ "0.028116,0.029323,0.031387,0.035207,0.042099,0.053976,0.073477", \ "0.029101,0.030590,0.033098,0.037796,0.046294,0.061023,0.085362", \ "0.027371,0.029093,0.032009,0.037500,0.047565,0.065096,0.094210"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.054584,0.056613,0.060112,0.067052,0.080802,0.108072,0.162300", \ "0.055713,0.057769,0.061311,0.068334,0.082216,0.109665,0.164062", \ "0.060558,0.062598,0.066126,0.073137,0.087051,0.114627,0.169282", \ "0.068811,0.070829,0.074320,0.081259,0.095054,0.122508,0.177123", \ "0.078502,0.080718,0.084481,0.091851,0.105972,0.133283,0.187687", \ "0.088239,0.090680,0.094803,0.102839,0.118286,0.147512,0.202198", \ "0.100143,0.102815,0.107331,0.116001,0.132561,0.163741,0.221628"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011681,0.012164,0.012995,0.014631,0.017835,0.024099,0.036473", \ "0.011421,0.011924,0.012787,0.014467,0.017723,0.024035,0.036446", \ "0.012169,0.012518,0.013145,0.014489,0.017409,0.023781,0.036379", \ "0.018406,0.018729,0.019276,0.020371,0.022509,0.026660,0.036804", \ "0.026442,0.026841,0.027490,0.028818,0.031403,0.036185,0.044853", \ "0.035993,0.036462,0.037284,0.038894,0.041999,0.047748,0.057937", \ "0.047034,0.047652,0.048676,0.050647,0.054342,0.061081,0.072962"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.026238,0.028041,0.031153,0.037367,0.049718,0.074272,0.123129", \ "0.026245,0.028042,0.031155,0.037366,0.049723,0.074272,0.123137", \ "0.026252,0.028052,0.031161,0.037369,0.049723,0.074271,0.123134", \ "0.026443,0.028204,0.031265,0.037415,0.049730,0.074273,0.123130", \ "0.029749,0.031430,0.034228,0.039763,0.051033,0.074442,0.123114", \ "0.034490,0.036218,0.039171,0.045018,0.056431,0.078341,0.123950", \ "0.041243,0.042947,0.045853,0.051658,0.063162,0.085686,0.129261"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.015368,0.016020,0.017129,0.019291,0.023444,0.031361,0.046532", \ "0.016659,0.017308,0.018418,0.020575,0.024722,0.032641,0.047812", \ "0.020693,0.021341,0.022436,0.024556,0.028683,0.036609,0.051804", \ "0.026518,0.027280,0.028563,0.031020,0.035613,0.044020,0.059417", \ "0.031324,0.032307,0.033965,0.037079,0.042754,0.052758,0.070134", \ "0.033751,0.035021,0.037138,0.041066,0.048171,0.060524,0.081175", \ "0.033494,0.035039,0.037617,0.042403,0.051040,0.066029,0.090818"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.049187,0.050914,0.053893,0.059827,0.071614,0.095048,0.141726", \ "0.050602,0.052342,0.055346,0.061314,0.073160,0.096663,0.143405", \ "0.056110,0.057849,0.060853,0.066830,0.078703,0.102272,0.149114", \ "0.064793,0.066528,0.069517,0.075474,0.087307,0.110838,0.157675", \ "0.074773,0.076734,0.080069,0.086564,0.099038,0.122633,0.169384", \ "0.084819,0.087039,0.090783,0.098061,0.111916,0.137867,0.185655", \ "0.097214,0.099681,0.103867,0.111861,0.126972,0.155114,0.206598"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.010083,0.010564,0.011390,0.013019,0.016219,0.022514,0.034989", \ "0.010033,0.010518,0.011348,0.012984,0.016195,0.022500,0.034984", \ "0.010055,0.010525,0.011332,0.012936,0.016123,0.022477,0.034984", \ "0.012347,0.012782,0.013518,0.014977,0.017861,0.023510,0.035258", \ "0.016774,0.017228,0.017995,0.019487,0.022321,0.027780,0.038576", \ "0.022588,0.023128,0.024024,0.025744,0.028910,0.034610,0.045230", \ "0.029451,0.030113,0.031174,0.033208,0.036912,0.043362,0.054548"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.024865,0.026382,0.029018,0.034279,0.044736,0.065528,0.106880", \ "0.024864,0.026386,0.029020,0.034278,0.044738,0.065537,0.106897", \ "0.024868,0.026386,0.029024,0.034281,0.044739,0.065522,0.106892", \ "0.025117,0.026589,0.029156,0.034334,0.044743,0.065542,0.106890", \ "0.028907,0.030292,0.032675,0.037303,0.046590,0.066022,0.106867", \ "0.034362,0.035797,0.038270,0.043141,0.052656,0.070922,0.108415", \ "0.041422,0.042870,0.045358,0.050291,0.060030,0.078933,0.115164"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.012484,0.013167,0.014331,0.016586,0.020891,0.029031,0.044463", \ "0.013828,0.014505,0.015660,0.017901,0.022189,0.030316,0.045738", \ "0.017987,0.018666,0.019810,0.022019,0.026212,0.034289,0.049709", \ "0.023365,0.024192,0.025583,0.028202,0.033004,0.041674,0.057319", \ "0.027251,0.028341,0.030161,0.033549,0.039613,0.050084,0.067877", \ "0.028530,0.029949,0.032273,0.036549,0.044191,0.057218,0.078548", \ "0.026934,0.028669,0.031508,0.036720,0.046012,0.061878,0.087594"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.053488,0.055486,0.058943,0.065820,0.079488,0.106684,0.160876", \ "0.054824,0.056840,0.060325,0.067244,0.080980,0.108250,0.162512", \ "0.060251,0.062266,0.065748,0.072678,0.086444,0.113795,0.168164", \ "0.068746,0.070754,0.074221,0.081123,0.094844,0.122148,0.176518", \ "0.078447,0.080658,0.084413,0.091766,0.105888,0.133137,0.187393", \ "0.088183,0.090620,0.094742,0.102792,0.118215,0.147396,0.202049", \ "0.100403,0.103067,0.107580,0.116242,0.132744,0.163847,0.221625"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009117,0.009639,0.010531,0.012267,0.015602,0.022009,0.034506", \ "0.008987,0.009518,0.010421,0.012174,0.015531,0.021964,0.034486", \ "0.009283,0.009735,0.010536,0.012156,0.015403,0.021853,0.034460", \ "0.012102,0.012520,0.013232,0.014649,0.017472,0.023072,0.034733", \ "0.016907,0.017349,0.018100,0.019542,0.022291,0.027601,0.038231", \ "0.023029,0.023550,0.024422,0.026092,0.029159,0.034705,0.045080", \ "0.030247,0.030887,0.031923,0.033892,0.037479,0.043716,0.054632"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.026260,0.028065,0.031177,0.037395,0.049757,0.074335,0.123215", \ "0.026266,0.028068,0.031181,0.037390,0.049749,0.074320,0.123210", \ "0.026273,0.028075,0.031182,0.037394,0.049755,0.074320,0.123214", \ "0.026465,0.028228,0.031288,0.037440,0.049761,0.074324,0.123211", \ "0.029709,0.031386,0.034238,0.039808,0.051095,0.074513,0.123196", \ "0.034384,0.036119,0.039096,0.044958,0.056402,0.078439,0.124051", \ "0.040604,0.042366,0.045370,0.051311,0.062982,0.085626,0.129348"); } } timing () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.012748,0.013429,0.014589,0.016838,0.021139,0.029282,0.044730", \ "0.014088,0.014764,0.015915,0.018151,0.022437,0.030565,0.046004", \ "0.018250,0.018925,0.020065,0.022262,0.026456,0.034538,0.049976", \ "0.023707,0.024527,0.025902,0.028499,0.033281,0.041936,0.057585", \ "0.027741,0.028814,0.030612,0.033961,0.039984,0.050403,0.068176", \ "0.029229,0.030612,0.032898,0.037125,0.044696,0.057653,0.078922", \ "0.027889,0.029577,0.032359,0.037501,0.046705,0.062464,0.088093"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.061914,0.063919,0.067382,0.074271,0.087974,0.115219,0.169515", \ "0.063319,0.065338,0.068822,0.075745,0.089494,0.116801,0.171162", \ "0.068760,0.070775,0.074267,0.081207,0.094988,0.122361,0.176809", \ "0.077217,0.079229,0.082706,0.089617,0.103369,0.130710,0.185187", \ "0.087692,0.089834,0.093488,0.100638,0.114430,0.141690,0.195997", \ "0.098428,0.100761,0.104724,0.112513,0.127564,0.156292,0.210628", \ "0.111508,0.114043,0.118351,0.126679,0.142729,0.173291,0.230474"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.011575,0.012063,0.012903,0.014554,0.017778,0.024064,0.036457", \ "0.011435,0.011932,0.012784,0.014455,0.017704,0.024019,0.036438", \ "0.011612,0.012053,0.012830,0.014401,0.017564,0.023907,0.036412", \ "0.014698,0.015060,0.015695,0.016994,0.019665,0.025093,0.036678", \ "0.020128,0.020474,0.021072,0.022300,0.024769,0.029801,0.040202", \ "0.027132,0.027520,0.028184,0.029523,0.032162,0.037226,0.047228", \ "0.035328,0.035823,0.036595,0.038144,0.041154,0.046735,0.057064"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.030672,0.032487,0.035635,0.041892,0.054347,0.079023,0.128146", \ "0.030671,0.032489,0.035635,0.041896,0.054332,0.079036,0.128139", \ "0.030673,0.032491,0.035636,0.041899,0.054338,0.079027,0.128115", \ "0.030739,0.032543,0.035670,0.041910,0.054342,0.079025,0.128142", \ "0.033326,0.034972,0.037825,0.043535,0.055175,0.079089,0.128048", \ "0.038001,0.039744,0.042743,0.048646,0.060129,0.082367,0.128614", \ "0.043947,0.045728,0.048797,0.054841,0.066654,0.089445,0.133432"); } } timing () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.008213,0.008744,0.009661,0.011483,0.015103,0.022304,0.036665", \ "0.009558,0.010095,0.011027,0.012872,0.016524,0.023759,0.038151", \ "0.013417,0.014166,0.015406,0.017680,0.021672,0.028849,0.043213", \ "0.015427,0.016534,0.018362,0.021719,0.027630,0.037494,0.053181", \ "0.014713,0.016182,0.018635,0.023120,0.030992,0.044151,0.065129", \ "0.010870,0.012752,0.015836,0.021471,0.031376,0.047898,0.074223", \ "0.003710,0.005950,0.009659,0.016447,0.028410,0.048386,0.080151"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.020971,0.022660,0.025561,0.031297,0.042609,0.064982,0.109452", \ "0.021349,0.023047,0.025985,0.031804,0.043278,0.065871,0.110551", \ "0.026471,0.028022,0.030752,0.036314,0.047538,0.069993,0.114724", \ "0.037199,0.039076,0.042178,0.047996,0.058593,0.080310,0.124362", \ "0.049269,0.051582,0.055396,0.062599,0.075720,0.098546,0.141379", \ "0.063388,0.066074,0.070481,0.078854,0.094264,0.121420,0.167060", \ "0.079849,0.082912,0.087893,0.097351,0.114772,0.145749,0.198505"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004867,0.005312,0.006092,0.007646,0.010756,0.016972,0.029402", \ "0.004864,0.005313,0.006090,0.007647,0.010755,0.016973,0.029404", \ "0.007285,0.007637,0.008231,0.009343,0.011557,0.017014,0.029402", \ "0.012042,0.012506,0.013284,0.014737,0.017376,0.021986,0.030975", \ "0.018452,0.019057,0.020022,0.021844,0.025111,0.030766,0.040281", \ "0.026595,0.027327,0.028515,0.030734,0.034682,0.041415,0.052666", \ "0.036420,0.037328,0.038770,0.041437,0.046155,0.054053,0.067041"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.017319,0.018820,0.021395,0.026517,0.036679,0.056879,0.097147", \ "0.017206,0.018735,0.021345,0.026496,0.036675,0.056866,0.097133", \ "0.016824,0.018249,0.020834,0.026253,0.036616,0.056864,0.097127", \ "0.021398,0.022625,0.024446,0.028331,0.037035,0.056760,0.097146", \ "0.027216,0.028581,0.030906,0.035419,0.043802,0.059619,0.096980", \ "0.034194,0.035710,0.038269,0.043315,0.052787,0.069709,0.101475", \ "0.042754,0.044307,0.047029,0.052454,0.062828,0.081741,0.114270"); } } timing () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.008211,0.008743,0.009660,0.011482,0.015102,0.022302,0.036663", \ "0.009562,0.010102,0.011033,0.012877,0.016528,0.023764,0.038153", \ "0.013486,0.014233,0.015465,0.017734,0.021714,0.028888,0.043249", \ "0.015520,0.016624,0.018450,0.021811,0.027715,0.037566,0.053238", \ "0.014561,0.016043,0.018515,0.023034,0.030967,0.044174,0.065174", \ "0.010123,0.012037,0.015174,0.020903,0.030954,0.047655,0.074139", \ "0.002010,0.004315,0.008101,0.015051,0.027273,0.047585,0.079716"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.023376,0.025429,0.028959,0.035952,0.049757,0.077050,0.131259", \ "0.023527,0.025585,0.029150,0.036245,0.050250,0.077813,0.132286", \ "0.028501,0.030358,0.033660,0.040422,0.054127,0.081548,0.136107", \ "0.040220,0.042291,0.045729,0.052171,0.064822,0.091396,0.145181", \ "0.053658,0.056232,0.060444,0.068437,0.083056,0.109051,0.161539", \ "0.069334,0.072313,0.077159,0.086433,0.103558,0.133898,0.186440", \ "0.087606,0.090937,0.096451,0.106852,0.126152,0.160678,0.219844"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004867,0.005312,0.006090,0.007645,0.010755,0.016973,0.029402", \ "0.004864,0.005313,0.006090,0.007647,0.010755,0.016974,0.029403", \ "0.007258,0.007611,0.008208,0.009319,0.011544,0.017010,0.029403", \ "0.011977,0.012445,0.013221,0.014686,0.017331,0.021951,0.030959", \ "0.018349,0.018962,0.019942,0.021780,0.025070,0.030743,0.040258", \ "0.026495,0.027237,0.028451,0.030705,0.034697,0.041455,0.052703", \ "0.036354,0.037285,0.038763,0.041487,0.046254,0.054223,0.067208"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.021338,0.023226,0.026436,0.032741,0.045111,0.069561,0.118250", \ "0.021099,0.023030,0.026300,0.032677,0.045105,0.069566,0.118253", \ "0.020139,0.022025,0.025525,0.032266,0.045003,0.069553,0.118258", \ "0.024008,0.025286,0.027710,0.032988,0.044483,0.069427,0.118251", \ "0.029805,0.031401,0.034144,0.039524,0.049373,0.070328,0.118211", \ "0.036785,0.038513,0.041468,0.047320,0.058403,0.078391,0.119790", \ "0.045216,0.047021,0.050158,0.056394,0.068389,0.090428,0.129495"); } } timing () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.008395,0.008927,0.009845,0.011670,0.015295,0.022509,0.036896", \ "0.009748,0.010288,0.011220,0.013066,0.016723,0.023971,0.038387", \ "0.013759,0.014497,0.015715,0.017962,0.021907,0.029095,0.043482", \ "0.015961,0.017051,0.018854,0.022178,0.028040,0.037840,0.053471", \ "0.015229,0.016689,0.019123,0.023585,0.031447,0.044583,0.065517", \ "0.011094,0.012980,0.016052,0.021704,0.031651,0.048240,0.074624", \ "0.003411,0.005662,0.009369,0.016189,0.028255,0.048401,0.080385"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.031917,0.033966,0.037496,0.044491,0.058316,0.085674,0.139991", \ "0.032250,0.034318,0.037887,0.044962,0.058934,0.086507,0.141042", \ "0.036593,0.038576,0.042023,0.048937,0.062746,0.090247,0.144882", \ "0.048538,0.050437,0.053517,0.059868,0.073075,0.099890,0.153871", \ "0.063943,0.066282,0.070189,0.077657,0.091511,0.117241,0.170054", \ "0.081217,0.083970,0.088506,0.097229,0.113508,0.142714,0.194730", \ "0.100962,0.103997,0.109183,0.119031,0.137435,0.170758,0.228478"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006117,0.006627,0.007498,0.009201,0.012472,0.018787,0.031242", \ "0.006118,0.006626,0.007499,0.009201,0.012471,0.018787,0.031242", \ "0.008924,0.009257,0.009827,0.010842,0.013231,0.018819,0.031242", \ "0.015016,0.015374,0.015988,0.017201,0.019528,0.023830,0.032773", \ "0.022913,0.023327,0.024026,0.025440,0.028172,0.033256,0.042248", \ "0.032761,0.033207,0.034017,0.035652,0.038831,0.044735,0.055208", \ "0.044472,0.045018,0.045955,0.047875,0.051561,0.058363,0.070318"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.026064,0.027909,0.031076,0.037345,0.049738,0.074321,0.123222", \ "0.025982,0.027843,0.031031,0.037327,0.049734,0.074324,0.123219", \ "0.025446,0.027399,0.030708,0.037167,0.049698,0.074325,0.123215", \ "0.026652,0.028237,0.031052,0.036863,0.049074,0.074266,0.123210", \ "0.032756,0.034370,0.037151,0.042520,0.052469,0.074441,0.123164", \ "0.039706,0.041491,0.044517,0.050404,0.061457,0.081387,0.124077", \ "0.047844,0.049802,0.053087,0.059502,0.071592,0.093562,0.132833"); } } timing () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009514,0.010043,0.010956,0.012772,0.016384,0.023579,0.037937", \ "0.010887,0.011431,0.012363,0.014210,0.017861,0.025096,0.039486", \ "0.014104,0.014758,0.015857,0.017952,0.021878,0.029200,0.043667", \ "0.016669,0.017607,0.019155,0.022012,0.027068,0.035826,0.051283", \ "0.016866,0.018164,0.020305,0.024218,0.031039,0.042352,0.060740", \ "0.014042,0.015740,0.018533,0.023620,0.032437,0.046922,0.069693", \ "0.007876,0.010014,0.013489,0.019792,0.030722,0.048628,0.076524"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.027012,0.028660,0.031507,0.037165,0.048400,0.070729,0.115187", \ "0.027607,0.029275,0.032158,0.037877,0.049204,0.071644,0.116207", \ "0.032628,0.034236,0.037028,0.042624,0.053817,0.076160,0.120714", \ "0.044452,0.046131,0.048957,0.054252,0.064927,0.086695,0.130667", \ "0.058640,0.060729,0.064214,0.070863,0.083140,0.104954,0.147868", \ "0.074774,0.077199,0.081260,0.089035,0.103516,0.129384,0.173697", \ "0.093342,0.096088,0.100738,0.109506,0.125904,0.155464,0.206468"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004868,0.005317,0.006092,0.007646,0.010755,0.016970,0.029403", \ "0.004869,0.005313,0.006091,0.007645,0.010756,0.016971,0.029402", \ "0.005973,0.006363,0.007045,0.008405,0.011132,0.017003,0.029403", \ "0.009151,0.009541,0.010197,0.011486,0.014048,0.019281,0.030207", \ "0.013916,0.014376,0.015147,0.016604,0.019302,0.024380,0.034559", \ "0.019967,0.020518,0.021432,0.023162,0.026299,0.031833,0.041929", \ "0.027172,0.027805,0.028896,0.030966,0.034670,0.041039,0.051880"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.020977,0.022476,0.025058,0.030196,0.040396,0.060695,0.101109", \ "0.020943,0.022446,0.025041,0.030187,0.040405,0.060699,0.101115", \ "0.020580,0.022160,0.024843,0.030094,0.040381,0.060689,0.101113", \ "0.023307,0.024472,0.026574,0.030961,0.040305,0.060642,0.101100", \ "0.029312,0.030703,0.033045,0.037541,0.045689,0.062475,0.101001", \ "0.035831,0.037449,0.040149,0.045334,0.054864,0.071706,0.104457", \ "0.043107,0.044912,0.047944,0.053796,0.064590,0.083712,0.116265"); } } timing () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009513,0.010043,0.010955,0.012770,0.016383,0.023577,0.037934", \ "0.010893,0.011436,0.012368,0.014215,0.017866,0.025100,0.039489", \ "0.014164,0.014816,0.015912,0.018003,0.021922,0.029240,0.043703", \ "0.016801,0.017737,0.019278,0.022126,0.027169,0.035912,0.051352", \ "0.016936,0.018240,0.020380,0.024296,0.031127,0.042442,0.060826", \ "0.013806,0.015512,0.018332,0.023454,0.032330,0.046894,0.069721", \ "0.007002,0.009174,0.012703,0.019102,0.030176,0.048276,0.076365"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.030805,0.032813,0.036282,0.043180,0.056879,0.084103,0.138290", \ "0.031207,0.033238,0.036749,0.043723,0.057536,0.084893,0.139207", \ "0.036024,0.037978,0.041379,0.048203,0.061863,0.089124,0.143445", \ "0.048319,0.050189,0.053268,0.059560,0.072642,0.099257,0.152921", \ "0.064070,0.066379,0.070238,0.077649,0.091401,0.116999,0.169521", \ "0.081845,0.084542,0.089037,0.097691,0.113831,0.142818,0.194580", \ "0.102253,0.105274,0.110403,0.120131,0.138369,0.171404,0.228745"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.004868,0.005316,0.006092,0.007645,0.010755,0.016973,0.029403", \ "0.004868,0.005315,0.006090,0.007647,0.010756,0.016972,0.029401", \ "0.005958,0.006350,0.007033,0.008394,0.011127,0.017000,0.029403", \ "0.009102,0.009487,0.010153,0.011443,0.014011,0.019260,0.030199", \ "0.013811,0.014281,0.015053,0.016526,0.019242,0.024332,0.034537", \ "0.019812,0.020382,0.021307,0.023062,0.026240,0.031798,0.041908", \ "0.027036,0.027675,0.028787,0.030866,0.034625,0.041023,0.051894"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.026009,0.027857,0.031031,0.037303,0.049708,0.074271,0.123132", \ "0.025917,0.027784,0.030980,0.037280,0.049694,0.074263,0.123130", \ "0.025359,0.027317,0.030639,0.037108,0.049656,0.074262,0.123133", \ "0.026697,0.028282,0.031090,0.036882,0.049044,0.074206,0.123114", \ "0.032570,0.034198,0.036996,0.042387,0.052455,0.074426,0.123082", \ "0.039164,0.040987,0.044079,0.050047,0.061184,0.081271,0.124013", \ "0.046507,0.048535,0.051972,0.058602,0.070952,0.093126,0.132659"); } } timing () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.009696,0.010225,0.011140,0.012958,0.016577,0.023784,0.038168", \ "0.011078,0.011622,0.012556,0.014404,0.018060,0.025307,0.039722", \ "0.014392,0.015040,0.016130,0.018213,0.022121,0.029448,0.043938", \ "0.017146,0.018070,0.019595,0.022417,0.027430,0.036153,0.051591", \ "0.017455,0.018738,0.020850,0.024724,0.031498,0.042758,0.061111", \ "0.014545,0.016226,0.019004,0.024059,0.032856,0.047329,0.070088", \ "0.008038,0.010149,0.013628,0.019936,0.030895,0.048870,0.076851"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.039285,0.041301,0.044776,0.051693,0.065425,0.092695,0.147035", \ "0.039812,0.041845,0.045355,0.052324,0.066141,0.093521,0.147978", \ "0.044375,0.046372,0.049822,0.056708,0.070431,0.097752,0.152222", \ "0.055910,0.057767,0.061041,0.067629,0.080950,0.107735,0.161597", \ "0.073493,0.075671,0.079289,0.086290,0.099402,0.125230,0.177999", \ "0.092859,0.095385,0.099681,0.107856,0.123277,0.151302,0.202874", \ "0.114659,0.117487,0.122333,0.131637,0.149127,0.181099,0.237202"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.006118,0.006626,0.007497,0.009201,0.012472,0.018787,0.031241", \ "0.006118,0.006627,0.007499,0.009201,0.012471,0.018787,0.031241", \ "0.007422,0.007837,0.008566,0.009941,0.012832,0.018810,0.031241", \ "0.011310,0.011638,0.012237,0.013445,0.015946,0.021118,0.032026", \ "0.017106,0.017447,0.018042,0.019242,0.021638,0.026440,0.036444", \ "0.024327,0.024716,0.025363,0.026705,0.029351,0.034346,0.044035", \ "0.032926,0.033329,0.034064,0.035591,0.038586,0.044185,0.054361"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.030587,0.032423,0.035590,0.041877,0.054334,0.079035,0.128153", \ "0.030557,0.032396,0.035572,0.041869,0.054331,0.079030,0.128148", \ "0.030328,0.032212,0.035439,0.041804,0.054316,0.079012,0.128164", \ "0.030301,0.032026,0.035031,0.041197,0.054020,0.078989,0.128097", \ "0.035787,0.037400,0.040169,0.045215,0.055926,0.078809,0.128032", \ "0.042644,0.044422,0.047441,0.053317,0.064308,0.084540,0.128445", \ "0.050228,0.052237,0.055630,0.062145,0.074345,0.096288,0.136201"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.852918,1.897499,1.916131,1.948049,1.997955,2.082666,2.151534", \ "1.822719,1.834600,1.880412,1.922793,1.988539,2.065967,2.141008", \ "1.883823,1.886278,1.890669,1.898160,1.966312,2.026803,2.121187", \ "2.395195,2.384839,2.351848,2.331438,2.288219,2.209187,2.213902", \ "3.227872,3.226933,3.194268,3.148332,3.050638,2.898273,2.679750", \ "4.509411,4.478140,4.464556,4.408610,4.284589,4.029340,3.662595", \ "6.177618,6.154589,6.155488,6.125382,6.003253,5.718923,5.185437"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.804901,8.830771,8.815112,8.867295,8.874154,8.868929,8.852776", \ "8.628692,8.638745,8.696897,8.710493,8.790216,8.818464,8.730725", \ "8.514489,8.573600,8.573541,8.612447,8.626174,8.631593,8.571710", \ "8.553891,8.558426,8.553568,8.535378,8.549560,8.581096,8.508561", \ "8.792934,8.804973,8.805090,8.816108,8.804912,8.595679,8.695831", \ "9.452173,9.417556,9.405326,9.427391,9.485657,9.339078,9.223781", \ "10.995950,10.965550,10.879160,10.805110,10.569840,10.557640,10.046010"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.532985,1.543087,1.601113,1.667189,1.762432,1.861884,1.959351", \ "1.495390,1.504536,1.558207,1.607740,1.713687,1.811808,1.913573", \ "1.605210,1.612801,1.606895,1.615925,1.686092,1.765973,1.867948", \ "2.129796,2.117110,2.114372,2.078172,2.024483,1.964914,1.965951", \ "2.962701,2.937120,2.931493,2.870860,2.784779,2.633005,2.423171", \ "4.200889,4.190354,4.148756,4.102943,3.974386,3.735350,3.366557", \ "5.814661,5.808461,5.780087,5.729849,5.613619,5.333013,4.820749"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.065526,8.088352,8.084262,8.103545,8.163605,8.159236,8.072686", \ "7.896987,7.921435,7.964774,8.001577,8.035499,7.943943,8.107245", \ "7.798933,7.813652,7.822704,7.863194,7.882652,7.939828,7.799828", \ "7.778575,7.796566,7.829221,7.843184,7.826474,7.850973,7.776491", \ "8.032211,8.090729,8.060479,8.051425,8.047302,7.927755,7.815903", \ "8.618907,8.611391,8.610421,8.659339,8.726980,8.533754,8.411581", \ "10.107600,10.059260,9.984424,9.905408,9.775468,9.740078,9.426876"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.619378,1.662293,1.673161,1.738887,1.804840,1.886284,1.971471", \ "1.568081,1.605833,1.626685,1.692236,1.755153,1.845338,1.932645", \ "1.630018,1.632989,1.642321,1.669261,1.725534,1.797243,1.878439", \ "2.146433,2.138526,2.109079,2.091544,2.041753,1.953991,1.970264", \ "3.010974,2.993912,2.938764,2.886328,2.796744,2.622462,2.414919", \ "4.277996,4.263998,4.224294,4.132839,3.989227,3.740423,3.353111", \ "5.967831,5.950163,5.918454,5.840411,5.680684,5.358023,4.819401"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("9.655135,9.678970,9.720753,9.711013,9.783503,9.841660,9.787701", \ "9.546585,9.527578,9.572389,9.630252,9.685544,9.760806,9.743696", \ "9.430450,9.409428,9.450462,9.471577,9.537959,9.563971,9.558805", \ "9.408125,9.384600,9.419944,9.430157,9.444768,9.453635,9.469617", \ "9.667012,9.644214,9.630912,9.645799,9.634154,9.606766,9.500506", \ "10.150320,10.159030,10.180880,10.240990,10.263370,10.186490,9.902030", \ "11.493610,11.463180,11.418880,11.390310,11.368710,11.301570,11.023880"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.890838,1.919481,1.929133,1.964093,2.009253,2.084572,2.160318", \ "1.905687,1.921859,1.929879,1.972088,2.028435,2.103085,2.186260", \ "1.966716,1.972217,1.985424,1.987527,2.045112,2.117377,2.198461", \ "2.445536,2.437311,2.418603,2.394027,2.359575,2.286063,2.297141", \ "3.177896,3.172437,3.146419,3.111800,3.041608,2.908831,2.722448", \ "4.277497,4.264923,4.250474,4.210917,4.120176,3.917314,3.605232", \ "5.745146,5.734759,5.730691,5.697060,5.602337,5.385592,4.961652"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.729630,8.747346,8.778280,8.805858,8.841303,8.815033,8.745392", \ "8.603597,8.591622,8.625909,8.666788,8.678334,8.673673,8.654493", \ "8.480293,8.496216,8.483559,8.539433,8.579271,8.528391,8.504072", \ "8.479542,8.451698,8.495414,8.507240,8.528396,8.538081,8.533189", \ "8.682818,8.689325,8.702255,8.678089,8.597447,8.616804,8.655248", \ "9.280243,9.274317,9.270128,9.345975,9.273998,9.052807,8.871109", \ "10.578790,10.566000,10.488650,10.453280,10.311080,10.250460,9.883989"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.562225,1.578097,1.620773,1.686328,1.773164,1.865463,1.968806", \ "1.552918,1.572256,1.605325,1.671198,1.749607,1.857095,1.969088", \ "1.685651,1.692708,1.704803,1.702703,1.766490,1.849808,1.957395", \ "2.185395,2.175735,2.169393,2.139368,2.098726,2.039890,2.045190", \ "2.917063,2.910702,2.871920,2.840242,2.783056,2.651614,2.470068", \ "3.967875,3.978867,3.956791,3.910245,3.816065,3.637597,3.325810", \ "5.397205,5.397491,5.380630,5.337946,5.266937,5.046507,4.626130"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.007211,8.000633,8.024133,8.058902,8.037990,8.044964,8.068472", \ "7.853255,7.848330,7.875277,7.923221,7.957928,7.918794,7.984258", \ "7.729178,7.755870,7.777398,7.802217,7.828388,7.771556,7.887984", \ "7.717675,7.740016,7.720637,7.746213,7.714211,7.809918,7.818398", \ "7.953667,7.938813,7.911774,7.916012,7.907195,7.849332,7.848653", \ "8.427543,8.440398,8.486973,8.526112,8.456730,8.362238,8.163700", \ "9.693822,9.664256,9.633885,9.575570,9.516929,9.293314,9.023394"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.665724,1.686176,1.690912,1.733629,1.809534,1.886917,1.984645", \ "1.653727,1.661768,1.675663,1.738655,1.799805,1.891966,1.968409", \ "1.714355,1.723047,1.726245,1.753341,1.791865,1.878509,1.970922", \ "2.189888,2.197019,2.166141,2.145125,2.109156,2.036352,2.054852", \ "2.960420,2.934414,2.911117,2.870070,2.778096,2.658070,2.460111", \ "4.079185,4.037278,4.030334,3.960324,3.849714,3.639913,3.313238", \ "5.553491,5.540164,5.501547,5.452224,5.317115,5.071125,4.616019"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("9.611743,9.641257,9.666157,9.694904,9.704517,9.756579,9.670900", \ "9.474179,9.505038,9.532665,9.580050,9.614914,9.624036,9.588687", \ "9.373106,9.366291,9.389967,9.431715,9.478346,9.517566,9.478561", \ "9.315570,9.342648,9.360973,9.378449,9.419354,9.419584,9.520305", \ "9.500846,9.523306,9.535646,9.529103,9.506129,9.444374,9.534804", \ "10.046940,10.037610,10.106900,10.143490,10.080600,9.997141,9.749261", \ "11.151070,11.113340,11.111950,11.095270,11.083640,11.009470,10.588670"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.942447,1.975837,1.989654,2.004375,2.049968,2.104858,2.166365", \ "1.971352,1.955284,1.980538,2.016702,2.062191,2.128425,2.194987", \ "1.992436,2.011929,2.000440,2.031665,2.077215,2.137992,2.212884", \ "2.460600,2.429793,2.432700,2.409255,2.358126,2.280745,2.307567", \ "3.213823,3.205269,3.161765,3.123003,3.036662,2.911950,2.721021", \ "4.333539,4.334158,4.319155,4.256984,4.143196,3.927000,3.597956", \ "5.869317,5.861184,5.829766,5.790756,5.662047,5.411591,4.963266"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("10.355520,10.373490,10.405400,10.421220,10.453190,10.427470,10.404800", \ "10.213720,10.250880,10.267880,10.320680,10.350070,10.323840,10.316740", \ "10.110100,10.127470,10.116810,10.155510,10.183870,10.182460,10.214890", \ "10.058450,10.073340,10.100540,10.125160,10.154800,10.196840,10.277960", \ "10.278270,10.287620,10.265230,10.281930,10.235990,10.270630,10.312460", \ "10.862590,10.870100,10.890160,10.936540,10.796590,10.747870,10.612280", \ "12.010290,12.010150,12.001850,11.964800,11.920500,11.804050,11.536820"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.665724,1.686176,1.690912,1.733629,1.809534,1.886917,1.984645", \ "1.653727,1.661768,1.675663,1.738655,1.799805,1.891966,1.968409", \ "1.714355,1.723047,1.726245,1.753341,1.791865,1.878509,1.970922", \ "2.189888,2.197019,2.166141,2.145125,2.109156,2.036352,2.054852", \ "2.960420,2.934414,2.911117,2.870070,2.778096,2.658070,2.460111", \ "4.079185,4.037278,4.030334,3.960324,3.849714,3.639913,3.313238", \ "5.553491,5.540164,5.501547,5.452224,5.317115,5.071125,4.616019"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("9.611743,9.641257,9.666157,9.694904,9.704517,9.756579,9.670900", \ "9.474179,9.505038,9.532665,9.580050,9.614914,9.624036,9.588687", \ "9.373106,9.366291,9.389967,9.431715,9.478346,9.517566,9.478561", \ "9.315570,9.342648,9.360973,9.378449,9.419354,9.419584,9.520305", \ "9.500846,9.523306,9.535646,9.529103,9.506129,9.444374,9.534804", \ "10.046940,10.037610,10.106900,10.143490,10.080600,9.997141,9.749261", \ "11.151070,11.113340,11.111950,11.095270,11.083640,11.009470,10.588670"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("1.730432,1.733661,1.770494,1.784667,1.843823,1.919906,1.995073", \ "1.721300,1.726879,1.747615,1.784225,1.832448,1.906644,1.987167", \ "1.759110,1.764148,1.764744,1.781519,1.819981,1.892816,1.979575", \ "2.210558,2.205265,2.190149,2.154465,2.107062,2.039906,2.063159", \ "2.966833,2.954979,2.921206,2.881421,2.788518,2.638038,2.453854", \ "4.108140,4.118871,4.060797,3.987345,3.872288,3.648397,3.309007", \ "5.655132,5.640997,5.607500,5.514716,5.371877,5.082586,4.625032"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("11.253610,11.246970,11.273100,11.302820,11.353290,11.426390,11.484880", \ "11.127880,11.122700,11.162060,11.200780,11.218090,11.323630,11.432240", \ "10.984240,11.014090,11.040170,11.071240,11.130860,11.222080,11.310040", \ "10.953180,10.945130,10.967010,10.986090,10.992560,10.979630,11.238330", \ "11.124280,11.112660,11.129130,11.115930,11.130930,11.057270,11.257810", \ "11.674170,11.712630,11.743500,11.707260,11.611570,11.500740,11.543750", \ "12.650900,12.649920,12.649040,12.662100,12.700860,12.536530,12.365230"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("2.864812,2.906576,2.945677,3.020315,3.125089,3.270695,3.431522", \ "2.751861,2.767957,2.814828,2.904643,3.027967,3.164419,3.335910", \ "2.685032,2.717839,2.754793,2.832170,2.926920,3.071785,3.246637", \ "2.980493,2.961773,2.983572,2.981210,3.043007,3.140574,3.273014", \ "3.738836,3.740815,3.724531,3.693023,3.643112,3.548743,3.538990", \ "4.932954,4.909811,4.899387,4.841578,4.720392,4.520423,4.241718", \ "6.607900,6.594310,6.565738,6.475384,6.326486,6.023255,5.535334"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("10.894260,10.915810,10.903850,10.947860,10.979330,10.922400,10.814430", \ "10.706410,10.747570,10.770250,10.802480,10.873610,10.949570,10.977570", \ "10.531290,10.550730,10.585810,10.575080,10.647000,10.730600,10.812610", \ "10.470000,10.461090,10.490390,10.508690,10.556400,10.597780,10.487820", \ "10.521650,10.496110,10.523580,10.482890,10.528510,10.561050,10.518000", \ "10.583310,10.604790,10.650770,10.714400,10.689820,10.729820,10.563660", \ "11.476990,11.455900,11.426350,11.369450,11.364740,11.448000,11.157260"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("2.370955,2.395674,2.494675,2.601594,2.751997,2.955461,3.177103", \ "2.298424,2.327708,2.387485,2.488206,2.653510,2.854021,3.071043", \ "2.218400,2.243346,2.305587,2.392831,2.547064,2.748927,2.968417", \ "2.606142,2.609987,2.613509,2.611495,2.682119,2.813469,2.979072", \ "3.372941,3.374061,3.356242,3.343360,3.305004,3.229520,3.222937", \ "4.573144,4.546107,4.529583,4.480858,4.364355,4.169996,3.913846", \ "6.214058,6.172767,6.175653,6.084769,5.933231,5.631656,5.144934"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("10.119850,10.149710,10.174620,10.209420,10.229980,10.181320,10.136130", \ "9.971932,9.971509,10.004510,10.068030,10.059480,10.197700,10.269170", \ "9.766507,9.796744,9.824261,9.849835,9.893921,9.988237,10.093130", \ "9.690162,9.717861,9.741308,9.739749,9.768112,9.755549,9.840167", \ "9.750448,9.741674,9.761812,9.747554,9.779376,9.803779,9.873478", \ "9.833273,9.835877,9.887012,9.988249,10.020340,9.992000,9.989498", \ "10.632520,10.629810,10.607950,10.576810,10.533580,10.513850,10.426300"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("2.519576,2.590670,2.633909,2.698934,2.819322,2.996603,3.201190", \ "2.446436,2.457591,2.502419,2.613358,2.733174,2.893230,3.086993", \ "2.379183,2.416913,2.453117,2.494152,2.637384,2.789248,2.994705", \ "2.671614,2.681903,2.675684,2.673874,2.731378,2.851155,3.007670", \ "3.466446,3.432612,3.416823,3.392963,3.335970,3.242440,3.251668", \ "4.660086,4.642574,4.588636,4.534821,4.401787,4.183113,3.917041", \ "6.356759,6.318005,6.269796,6.176273,5.984521,5.643544,5.149643"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("11.762750,11.756900,11.781880,11.806920,11.872210,11.923110,11.954570", \ "11.607810,11.641330,11.672480,11.725300,11.786740,11.789950,11.913780", \ "11.426220,11.422280,11.451360,11.518690,11.586760,11.587310,11.777230", \ "11.334960,11.327050,11.352220,11.411580,11.427510,11.536450,11.652340", \ "11.358690,11.351080,11.370930,11.370430,11.426430,11.391760,11.481530", \ "11.469460,11.523060,11.572710,11.630590,11.622950,11.570990,11.588430", \ "12.129000,12.141040,12.139630,12.135710,12.215550,12.071360,12.087680"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("2.877082,2.889139,2.929095,3.013487,3.123706,3.261206,3.433722", \ "2.763268,2.807489,2.849137,2.919321,3.030051,3.161115,3.338889", \ "2.672236,2.690187,2.716997,2.808888,2.929371,3.081101,3.241114", \ "2.787105,2.816428,2.818091,2.846606,2.919089,3.041659,3.220955", \ "3.288130,3.280897,3.291617,3.299786,3.293680,3.280646,3.320503", \ "4.083435,4.092237,4.075092,4.063964,4.019859,3.931518,3.798430", \ "5.257506,5.243784,5.245555,5.217295,5.148816,4.986761,4.702282"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("12.321640,12.336520,12.321480,12.364240,12.305100,12.371510,12.280640", \ "12.229920,12.247030,12.235850,12.266020,12.294100,12.344390,12.333750", \ "12.121920,12.138890,12.127690,12.168720,12.224090,12.202620,12.300430", \ "12.080770,12.068370,12.096290,12.090510,12.141040,12.123380,12.245570", \ "12.091170,12.107250,12.093740,12.134650,12.130240,12.096190,12.126250", \ "12.192480,12.224860,12.284230,12.397220,12.379580,12.337380,12.373970", \ "12.894550,12.890800,12.890090,12.921380,12.878540,12.984710,12.784050"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("2.385450,2.442940,2.496705,2.599240,2.755556,2.964665,3.172229", \ "2.297755,2.328962,2.363219,2.493482,2.661349,2.855857,3.062976", \ "2.194501,2.242395,2.291341,2.396771,2.549913,2.741687,2.959315", \ "2.411337,2.415766,2.443509,2.478900,2.547681,2.713830,2.928290", \ "2.903883,2.914942,2.934270,2.940460,2.956424,2.963703,3.024683", \ "3.727486,3.724863,3.711218,3.710808,3.677977,3.603514,3.489676", \ "4.869037,4.853706,4.851933,4.849172,4.781302,4.609513,4.351617"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("11.565590,11.555740,11.574950,11.597110,11.640220,11.512440,11.680360", \ "11.438830,11.467270,11.490390,11.493060,11.529380,11.575360,11.654040", \ "11.334200,11.362380,11.385420,11.423780,11.473120,11.519250,11.536260", \ "11.310580,11.301830,11.322510,11.368440,11.406360,11.416990,11.331490", \ "11.337810,11.330010,11.349430,11.367410,11.333380,11.419000,11.416350", \ "11.438230,11.450230,11.541740,11.600570,11.627410,11.553680,11.542360", \ "12.089930,12.070020,12.113510,12.101840,12.193850,12.241990,11.987400"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("2.557457,2.594995,2.619747,2.718392,2.841455,3.012319,3.196495", \ "2.457914,2.493023,2.535355,2.602035,2.726680,2.892317,3.099253", \ "2.353894,2.370237,2.410511,2.491246,2.620980,2.801990,2.983315", \ "2.476678,2.491373,2.515994,2.533325,2.622669,2.772277,2.944573", \ "3.002836,2.984822,2.999832,2.991882,3.002539,2.986689,3.044665", \ "3.803747,3.803801,3.789065,3.765348,3.711080,3.632985,3.501179", \ "5.012396,4.995408,4.954643,4.909102,4.822782,4.645718,4.363678"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("13.165170,13.193160,13.214550,13.218320,13.200900,13.329630,13.356770", \ "13.084150,13.113550,13.100740,13.136070,13.170390,13.114100,13.263580", \ "12.981510,13.010580,12.998790,13.023780,13.061930,13.110350,13.145120", \ "12.916910,12.945580,12.969590,12.993680,13.031010,12.981790,13.160040", \ "12.946350,12.938450,12.959800,12.993750,13.022410,13.060710,13.046230", \ "13.104270,13.162650,13.230440,13.208250,13.226860,13.144700,13.139830", \ "13.645370,13.669150,13.685600,13.724630,13.843230,13.759260,13.572720"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.587631,0.587560,0.582749,0.574307,0.573644,0.580661,0.590836", \ "0.552210,0.547627,0.562795,0.578896,0.592990,0.630303,0.661477", \ "0.600073,0.586211,0.589489,0.600725,0.612230,0.660150,0.703271", \ "1.088553,1.064374,1.026393,0.954222,0.856749,0.784275,0.786825", \ "1.905731,1.872092,1.824605,1.718700,1.557039,1.317991,1.080348", \ "3.078409,3.046358,3.018786,2.907533,2.702409,2.320971,1.854861", \ "4.606409,4.590953,4.560670,4.482555,4.271841,3.849545,3.145470"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("5.867207,5.851219,5.897509,5.934355,5.996151,5.933963,5.967809", \ "5.641949,5.661461,5.714608,5.765377,5.784294,5.765085,5.890769", \ "5.654168,5.672525,5.662592,5.685685,5.695597,5.634032,5.845130", \ "6.165966,6.125526,6.134552,6.064596,6.063992,5.881802,5.898589", \ "6.969816,7.019991,7.059421,6.970685,6.823404,6.656123,6.472176", \ "8.199091,8.176093,8.161161,8.118883,8.043903,7.809079,7.413758", \ "10.121960,10.077990,10.021260,9.884298,9.690653,9.434167,8.908025"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.651963,0.652695,0.640349,0.615226,0.600770,0.585401,0.594751", \ "0.616947,0.613821,0.616455,0.621508,0.624585,0.635379,0.659878", \ "0.666850,0.653992,0.651761,0.657620,0.665028,0.687445,0.726680", \ "1.115054,1.095234,1.056225,1.004477,0.906909,0.832990,0.827474", \ "1.837209,1.820643,1.773849,1.683337,1.546001,1.337462,1.112751", \ "2.873657,2.872404,2.825983,2.741941,2.575013,2.265372,1.832793", \ "4.232946,4.232910,4.204725,4.160324,3.993171,3.645033,3.007506"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("5.770493,5.804148,5.833678,5.870992,5.905340,5.932818,5.949344", \ "5.584359,5.621455,5.658189,5.709634,5.770184,5.831477,5.881431", \ "5.582129,5.593799,5.611917,5.629222,5.671761,5.711227,5.782023", \ "6.057914,6.031846,6.025641,6.001055,5.925966,5.894341,5.900360", \ "6.952018,6.929751,6.885966,6.801880,6.653792,6.537123,6.236211", \ "7.981546,7.991311,7.965435,7.975889,7.885366,7.542637,7.265980", \ "9.734658,9.670766,9.613987,9.500109,9.360436,9.099785,8.591612"); } } internal_power () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.614951,0.603323,0.608127,0.591069,0.585104,0.582229,0.593608", \ "0.581147,0.578819,0.595889,0.598000,0.618847,0.632811,0.659392", \ "0.631127,0.637926,0.639974,0.633726,0.652098,0.691239,0.729169", \ "1.068152,1.050594,1.023868,0.964686,0.874530,0.830927,0.823695", \ "1.846679,1.797679,1.769954,1.679255,1.527375,1.319504,1.108875", \ "2.966537,2.915351,2.868569,2.767767,2.568905,2.247272,1.817407", \ "4.421333,4.373371,4.345727,4.220223,4.030321,3.637254,2.993914"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.419968,7.452257,7.480638,7.476701,7.500865,7.480127,7.638434", \ "7.263806,7.262838,7.316755,7.366520,7.388049,7.388601,7.595551", \ "7.204621,7.196456,7.218690,7.268899,7.307275,7.389645,7.474199", \ "7.592517,7.578320,7.581567,7.550406,7.475587,7.452651,7.494588", \ "8.435835,8.404832,8.387934,8.338770,8.251823,8.145020,7.914503", \ "9.492351,9.487991,9.494099,9.563163,9.413861,9.179361,8.700484", \ "11.090600,11.077810,11.055300,10.977990,10.933000,10.653270,10.083990"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.589393,0.583991,0.578621,0.582701,0.580894,0.584365,0.597008", \ "0.540300,0.542385,0.553416,0.567539,0.594920,0.632867,0.663238", \ "0.454083,0.451734,0.464971,0.489145,0.544200,0.604071,0.669847", \ "0.719292,0.717551,0.704612,0.679652,0.641378,0.609879,0.671456", \ "1.262986,1.243855,1.207955,1.178255,1.093117,0.959396,0.808826", \ "2.102049,2.091145,2.036832,2.010385,1.887084,1.667762,1.359265", \ "3.194691,3.204594,3.172750,3.141465,3.012671,2.768453,2.291848"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.289457,7.311193,7.304409,7.367936,7.393497,7.316793,7.468486", \ "7.133671,7.159030,7.203655,7.238338,7.287803,7.187639,7.378802", \ "7.171187,7.190072,7.179934,7.177124,7.250164,7.129833,7.318636", \ "7.667829,7.632547,7.651239,7.624924,7.552882,7.418527,7.484300", \ "8.581865,8.588357,8.544662,8.467959,8.385421,8.173712,7.971992", \ "9.714709,9.685596,9.688217,9.711917,9.691889,9.303893,9.068468", \ "11.516680,11.494450,11.479390,11.412840,11.298210,11.108410,10.600470"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.655812,0.643975,0.631959,0.617089,0.601820,0.592847,0.596377", \ "0.604339,0.602233,0.605991,0.606181,0.620581,0.642298,0.666469", \ "0.521532,0.531601,0.529263,0.556272,0.587768,0.637958,0.694103", \ "0.764964,0.758273,0.753910,0.730683,0.699095,0.666685,0.714807", \ "1.248123,1.216407,1.199861,1.184357,1.117868,1.004217,0.856591", \ "1.959591,1.984337,1.961030,1.908732,1.823362,1.652311,1.378465", \ "2.973337,2.959641,2.942896,2.910062,2.826230,2.638114,2.234642"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("7.250315,7.277377,7.262253,7.313281,7.325085,7.372992,7.382037", \ "7.101997,7.096945,7.123974,7.151034,7.218817,7.250805,7.318884", \ "7.101464,7.125920,7.106812,7.138042,7.191341,7.206342,7.263618", \ "7.576243,7.554519,7.556752,7.521305,7.446564,7.426907,7.401642", \ "8.450822,8.418991,8.400098,8.352371,8.265123,8.016942,7.849315", \ "9.541644,9.535505,9.541364,9.613702,9.471393,9.084819,8.705693", \ "11.156810,11.149500,11.138240,11.101160,11.045080,10.800800,10.143810"); } } internal_power () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("0.619498,0.613520,0.610883,0.603574,0.588045,0.585331,0.592461", \ "0.558286,0.577259,0.586013,0.598644,0.612266,0.634891,0.667454", \ "0.494482,0.491219,0.511341,0.539944,0.574007,0.639173,0.695750", \ "0.746069,0.733056,0.727502,0.704752,0.662951,0.662325,0.709421", \ "1.254536,1.239556,1.221941,1.176520,1.101343,0.986094,0.857597", \ "2.030162,2.015899,2.007156,1.937177,1.828686,1.639701,1.363361", \ "3.110391,3.077227,3.063824,2.998034,2.861432,2.648196,2.224388"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.863075,1.726150,3.452300,6.904600,13.809200,27.618400"); values ("8.849592,8.878002,8.900414,8.912026,8.971585,8.892979,8.963477", \ "8.719252,8.749920,8.776345,8.805966,8.846721,8.945538,8.987632", \ "8.732958,8.724004,8.744790,8.770107,8.832478,8.764359,8.836189", \ "9.125850,9.109566,9.119272,9.090463,9.114399,9.081375,8.993263", \ "9.955062,9.930559,9.920777,9.888376,9.788530,9.684010,9.557299", \ "11.078490,11.105620,11.118310,11.106750,10.944020,10.669130,10.478840", \ "12.621410,12.627170,12.632860,12.625480,12.555270,12.350530,11.902260"); } } } } /****************************************************************************************** Module : AOI221_X4 Cell Description : Combinational cell (AOI221_X4) with drive strength X4 *******************************************************************************************/ cell (AOI221_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 131.584293; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 94.684920; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 115.996320; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 95.288492; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 132.067870; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 115.996210; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 137.304640; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 116.599673; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 146.686650; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 95.288382; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 116.599672; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 95.892945; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 146.686540; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 126.855520; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 141.555150; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 141.555810; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 153.796500; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 111.208680; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 125.860570; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 125.861560; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 139.111830; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 125.829000; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 140.480780; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 140.481880; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 153.732150; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 125.830100; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 140.481990; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 140.481660; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 153.732150; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 138.988190; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 153.734020; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 153.734130; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 168.293400; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.649091; fall_capacitance : 1.470067; rise_capacitance : 1.649091; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.557194; fall_capacitance : 1.547542; rise_capacitance : 1.557194; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.649664; fall_capacitance : 1.571596; rise_capacitance : 1.649664; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.600832; fall_capacitance : 1.359082; rise_capacitance : 1.600832; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.681052; fall_capacitance : 1.370970; rise_capacitance : 1.681052; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "!(!(!(((C1 & C2) | A) | (B1 & B2))))"; timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033314,0.037217,0.040444,0.045953,0.055765,0.074382,0.111210", \ "0.034835,0.038739,0.041966,0.047475,0.057287,0.075904,0.112734", \ "0.040327,0.044230,0.047456,0.052964,0.062776,0.081392,0.118221", \ "0.047769,0.051675,0.054908,0.060426,0.070244,0.088863,0.125693", \ "0.053414,0.057345,0.060596,0.066125,0.075961,0.094583,0.131414", \ "0.056615,0.060631,0.063930,0.069503,0.079356,0.097981,0.134798", \ "0.056836,0.061010,0.064406,0.070060,0.079903,0.098539,0.135343"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070662,0.076118,0.080873,0.090039,0.108315,0.144817,0.217698", \ "0.071831,0.077286,0.082042,0.091208,0.109482,0.145987,0.218865", \ "0.077186,0.082641,0.087397,0.096562,0.114836,0.151341,0.224220", \ "0.087404,0.092859,0.097615,0.106778,0.125050,0.161551,0.234430", \ "0.102503,0.108042,0.112804,0.121943,0.140185,0.176674,0.249550", \ "0.120233,0.125980,0.130792,0.139922,0.158102,0.194555,0.267406", \ "0.141033,0.147015,0.151910,0.161036,0.179190,0.215597,0.288440"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003931,0.005747,0.007546,0.011126,0.018532,0.034073,0.065948", \ "0.003932,0.005747,0.007546,0.011126,0.018532,0.034073,0.065947", \ "0.003934,0.005748,0.007547,0.011126,0.018532,0.034073,0.065947", \ "0.004004,0.005805,0.007594,0.011157,0.018545,0.034076,0.065947", \ "0.004179,0.005940,0.007700,0.011226,0.018579,0.034086,0.065951", \ "0.004529,0.006218,0.007925,0.011379,0.018654,0.034105,0.065952", \ "0.005054,0.006680,0.008318,0.011663,0.018805,0.034147,0.065957"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005424,0.008475,0.012186,0.020458,0.037661,0.072241,0.141510", \ "0.005424,0.008475,0.012187,0.020458,0.037660,0.072243,0.141499", \ "0.005424,0.008476,0.012187,0.020460,0.037659,0.072247,0.141507", \ "0.005424,0.008477,0.012188,0.020456,0.037660,0.072259,0.141508", \ "0.005619,0.008620,0.012259,0.020481,0.037666,0.072247,0.141499", \ "0.005996,0.008972,0.012462,0.020551,0.037688,0.072260,0.141514", \ "0.006408,0.009402,0.012733,0.020649,0.037718,0.072275,0.141515"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.032228,0.036134,0.039363,0.044873,0.054686,0.073303,0.110132", \ "0.033737,0.037643,0.040871,0.046382,0.056194,0.074811,0.111641", \ "0.039205,0.043109,0.046336,0.051845,0.061657,0.080274,0.117103", \ "0.046209,0.050116,0.053349,0.058869,0.068690,0.087308,0.124137", \ "0.051329,0.055263,0.058515,0.064049,0.073876,0.092498,0.129327", \ "0.053881,0.057910,0.061217,0.066801,0.076654,0.095279,0.132095", \ "0.053327,0.057527,0.060940,0.066616,0.076470,0.095100,0.131899"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.078517,0.084133,0.088921,0.098069,0.116312,0.152798,0.225677", \ "0.079600,0.085217,0.090004,0.099153,0.117396,0.153886,0.226759", \ "0.084752,0.090370,0.095158,0.104305,0.122549,0.159037,0.231911", \ "0.094002,0.099620,0.104407,0.113554,0.131795,0.168283,0.241158", \ "0.107704,0.113381,0.118176,0.127296,0.145498,0.181965,0.254834", \ "0.124456,0.130315,0.135167,0.144297,0.162422,0.198853,0.271702", \ "0.144863,0.150917,0.155849,0.164992,0.183113,0.219545,0.292387"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003937,0.005751,0.007550,0.011129,0.018534,0.034074,0.065950", \ "0.003936,0.005750,0.007550,0.011128,0.018533,0.034073,0.065949", \ "0.003936,0.005750,0.007549,0.011129,0.018533,0.034074,0.065947", \ "0.004010,0.005810,0.007598,0.011161,0.018548,0.034076,0.065947", \ "0.004198,0.005954,0.007712,0.011235,0.018584,0.034086,0.065953", \ "0.004575,0.006256,0.007956,0.011400,0.018665,0.034108,0.065953", \ "0.005132,0.006750,0.008381,0.011709,0.018829,0.034154,0.065956"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005722,0.008735,0.012329,0.020510,0.037679,0.072267,0.141497", \ "0.005723,0.008736,0.012331,0.020507,0.037679,0.072259,0.141499", \ "0.005724,0.008736,0.012329,0.020508,0.037682,0.072266,0.141508", \ "0.005723,0.008737,0.012330,0.020508,0.037682,0.072257,0.141499", \ "0.005861,0.008847,0.012390,0.020527,0.037684,0.072261,0.141500", \ "0.006176,0.009166,0.012583,0.020592,0.037701,0.072285,0.141507", \ "0.006527,0.009540,0.012831,0.020685,0.037725,0.072289,0.141513"); } } timing () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033368,0.037284,0.040519,0.046037,0.055855,0.074474,0.111305", \ "0.034875,0.038792,0.042026,0.047544,0.057363,0.075981,0.112809", \ "0.040355,0.044270,0.047503,0.053020,0.062838,0.081457,0.118285", \ "0.047805,0.051728,0.054970,0.060499,0.070325,0.088945,0.125776", \ "0.053496,0.057469,0.060739,0.066299,0.076140,0.094761,0.131588", \ "0.056666,0.060757,0.064097,0.069713,0.079589,0.098214,0.135023", \ "0.056761,0.061039,0.064499,0.070222,0.080115,0.098753,0.135543"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.085733,0.091408,0.096207,0.105344,0.123574,0.160049,0.232921", \ "0.086882,0.092556,0.097354,0.106493,0.124719,0.161197,0.234067", \ "0.092023,0.097698,0.102496,0.111634,0.129864,0.166339,0.239215", \ "0.101231,0.106903,0.111702,0.120840,0.139065,0.175542,0.248413", \ "0.115365,0.121066,0.125868,0.134994,0.153183,0.189646,0.262509", \ "0.133021,0.138897,0.143753,0.152884,0.171057,0.207480,0.280334", \ "0.154200,0.160264,0.165197,0.174326,0.192532,0.228870,0.301703"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003993,0.005795,0.007585,0.011152,0.018545,0.034077,0.065947", \ "0.003991,0.005794,0.007584,0.011151,0.018544,0.034077,0.065947", \ "0.003993,0.005795,0.007585,0.011151,0.018545,0.034077,0.065946", \ "0.004092,0.005873,0.007648,0.011193,0.018563,0.034081,0.065948", \ "0.004337,0.006065,0.007802,0.011295,0.018613,0.034094,0.065953", \ "0.004784,0.006433,0.008104,0.011505,0.018719,0.034123,0.065954", \ "0.005384,0.006981,0.008584,0.011864,0.018918,0.034182,0.065960"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005835,0.008834,0.012385,0.020525,0.037680,0.072274,0.141516", \ "0.005835,0.008833,0.012386,0.020524,0.037679,0.072270,0.141503", \ "0.005836,0.008834,0.012387,0.020524,0.037685,0.072257,0.141498", \ "0.005836,0.008835,0.012387,0.020524,0.037680,0.072274,0.141503", \ "0.005901,0.008889,0.012415,0.020537,0.037682,0.072267,0.141502", \ "0.006220,0.009201,0.012605,0.020600,0.037700,0.072279,0.141508", \ "0.006551,0.009564,0.012845,0.020691,0.037728,0.072288,0.141518"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033321,0.037226,0.040452,0.045961,0.055773,0.074390,0.111222", \ "0.034872,0.038777,0.042003,0.047512,0.057324,0.075941,0.112771", \ "0.040401,0.044303,0.047530,0.053038,0.062850,0.081466,0.118295", \ "0.047843,0.051751,0.054982,0.060500,0.070318,0.088937,0.125768", \ "0.053370,0.057300,0.060550,0.066081,0.075918,0.094540,0.131370", \ "0.056207,0.060224,0.063524,0.069097,0.078943,0.097566,0.134385", \ "0.055760,0.059939,0.063339,0.068994,0.078825,0.097458,0.134260"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.084211,0.089945,0.094765,0.103908,0.122131,0.158613,0.231488", \ "0.085095,0.090828,0.095648,0.104791,0.123013,0.159497,0.232371", \ "0.089887,0.095621,0.100442,0.109585,0.127807,0.164286,0.237164", \ "0.099539,0.105274,0.110095,0.119237,0.137460,0.173940,0.246811", \ "0.114782,0.120552,0.125378,0.134510,0.152708,0.189166,0.262036", \ "0.133838,0.139816,0.144715,0.153818,0.171965,0.208396,0.281250", \ "0.156457,0.162660,0.167669,0.176828,0.194998,0.231351,0.304184"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003933,0.005747,0.007546,0.011126,0.018532,0.034073,0.065947", \ "0.003933,0.005747,0.007546,0.011126,0.018531,0.034073,0.065949", \ "0.003933,0.005748,0.007548,0.011126,0.018532,0.034073,0.065946", \ "0.004003,0.005804,0.007594,0.011157,0.018545,0.034076,0.065948", \ "0.004180,0.005940,0.007700,0.011226,0.018580,0.034085,0.065952", \ "0.004534,0.006223,0.007929,0.011382,0.018656,0.034105,0.065952", \ "0.005071,0.006695,0.008332,0.011673,0.018810,0.034148,0.065957"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005921,0.008930,0.012449,0.020551,0.037692,0.072272,0.141516", \ "0.005918,0.008930,0.012449,0.020550,0.037690,0.072280,0.141520", \ "0.005921,0.008930,0.012449,0.020554,0.037691,0.072279,0.141523", \ "0.005922,0.008930,0.012448,0.020554,0.037697,0.072279,0.141511", \ "0.006004,0.009003,0.012489,0.020566,0.037699,0.072289,0.141513", \ "0.006383,0.009390,0.012733,0.020653,0.037720,0.072281,0.141529", \ "0.006791,0.009847,0.013057,0.020779,0.037755,0.072299,0.141524"); } } timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.032236,0.036142,0.039370,0.044881,0.054694,0.073310,0.110140", \ "0.033775,0.037681,0.040909,0.046420,0.056232,0.074849,0.111680", \ "0.039278,0.043181,0.046408,0.051917,0.061729,0.080346,0.117175", \ "0.046285,0.050193,0.053426,0.058946,0.068767,0.087385,0.124214", \ "0.051293,0.055226,0.058480,0.064009,0.073844,0.092466,0.129298", \ "0.053493,0.057523,0.060831,0.066418,0.076270,0.094894,0.131711", \ "0.052300,0.056506,0.059921,0.065600,0.075447,0.094079,0.130880"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091868,0.097752,0.102623,0.111764,0.129958,0.166414,0.239281", \ "0.092668,0.098552,0.103423,0.112563,0.130758,0.167213,0.240082", \ "0.097320,0.103204,0.108074,0.117215,0.135410,0.171871,0.244734", \ "0.106210,0.112095,0.116966,0.126106,0.144299,0.180755,0.253620", \ "0.120059,0.125971,0.130848,0.139958,0.158128,0.194572,0.267425", \ "0.137890,0.143975,0.148926,0.158063,0.176177,0.212579,0.285421", \ "0.159941,0.166209,0.171261,0.180418,0.198596,0.234893,0.307715"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003936,0.005751,0.007550,0.011129,0.018533,0.034074,0.065949", \ "0.003935,0.005751,0.007550,0.011128,0.018533,0.034073,0.065949", \ "0.003935,0.005750,0.007549,0.011128,0.018533,0.034074,0.065947", \ "0.004011,0.005810,0.007598,0.011161,0.018548,0.034076,0.065947", \ "0.004198,0.005954,0.007711,0.011235,0.018583,0.034087,0.065951", \ "0.004580,0.006260,0.007959,0.011403,0.018666,0.034108,0.065951", \ "0.005147,0.006764,0.008392,0.011718,0.018834,0.034155,0.065957"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006179,0.009196,0.012615,0.020613,0.037709,0.072293,0.141525", \ "0.006180,0.009195,0.012614,0.020612,0.037712,0.072288,0.141521", \ "0.006179,0.009195,0.012614,0.020615,0.037711,0.072281,0.141521", \ "0.006180,0.009197,0.012615,0.020611,0.037707,0.072292,0.141532", \ "0.006240,0.009252,0.012647,0.020623,0.037712,0.072284,0.141529", \ "0.006561,0.009594,0.012878,0.020709,0.037739,0.072301,0.141520", \ "0.006901,0.009983,0.013162,0.020824,0.037767,0.072306,0.141525"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033375,0.037292,0.040527,0.046045,0.055863,0.074482,0.111312", \ "0.034913,0.038830,0.042065,0.047583,0.057400,0.076019,0.112847", \ "0.040426,0.044341,0.047575,0.053092,0.062909,0.081528,0.118356", \ "0.047879,0.051803,0.055045,0.060573,0.070400,0.089019,0.125849", \ "0.053461,0.057432,0.060703,0.066267,0.076097,0.094718,0.131544", \ "0.056296,0.060388,0.063728,0.069346,0.079215,0.097839,0.134649", \ "0.055760,0.060043,0.063507,0.069236,0.079120,0.097754,0.134542"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.100673,0.106627,0.111523,0.120658,0.138833,0.175277,0.248136", \ "0.101553,0.107508,0.112404,0.121536,0.139709,0.176157,0.249012", \ "0.106188,0.112142,0.117038,0.126175,0.144348,0.180791,0.253650", \ "0.115041,0.120995,0.125890,0.135025,0.153201,0.189645,0.262503", \ "0.129076,0.135040,0.139938,0.149046,0.167221,0.203652,0.276512", \ "0.147873,0.153985,0.158948,0.168091,0.186197,0.222585,0.295419", \ "0.170715,0.177002,0.182063,0.191211,0.209439,0.245772,0.318587"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003991,0.005795,0.007585,0.011151,0.018545,0.034077,0.065950", \ "0.003991,0.005794,0.007584,0.011151,0.018545,0.034077,0.065947", \ "0.003991,0.005794,0.007585,0.011152,0.018544,0.034077,0.065949", \ "0.004090,0.005873,0.007648,0.011193,0.018563,0.034081,0.065949", \ "0.004337,0.006066,0.007802,0.011295,0.018613,0.034094,0.065953", \ "0.004792,0.006438,0.008109,0.011508,0.018721,0.034123,0.065954", \ "0.005399,0.006995,0.008597,0.011875,0.018925,0.034184,0.065959"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006313,0.009334,0.012702,0.020645,0.037720,0.072289,0.141519", \ "0.006315,0.009334,0.012702,0.020643,0.037715,0.072280,0.141522", \ "0.006315,0.009333,0.012702,0.020644,0.037723,0.072295,0.141518", \ "0.006313,0.009335,0.012702,0.020643,0.037722,0.072284,0.141519", \ "0.006338,0.009354,0.012714,0.020648,0.037722,0.072284,0.141532", \ "0.006622,0.009658,0.012920,0.020728,0.037742,0.072295,0.141518", \ "0.006949,0.010033,0.013194,0.020835,0.037772,0.072308,0.141526"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.034453,0.038367,0.041601,0.047118,0.056935,0.075553,0.112383", \ "0.036005,0.039919,0.043153,0.048670,0.058487,0.077105,0.113933", \ "0.041540,0.045453,0.048686,0.054203,0.064020,0.082638,0.119466", \ "0.049382,0.053304,0.056546,0.062072,0.071897,0.090516,0.127346", \ "0.055471,0.059437,0.062705,0.068252,0.078100,0.096722,0.133549", \ "0.058891,0.062970,0.066302,0.071907,0.081773,0.100398,0.137208", \ "0.059062,0.063323,0.066770,0.072476,0.082347,0.100987,0.137779"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091862,0.097656,0.102491,0.111630,0.129833,0.166301,0.239172", \ "0.092817,0.098608,0.103444,0.112578,0.130785,0.167250,0.240122", \ "0.097593,0.103385,0.108222,0.117357,0.135565,0.172033,0.244908", \ "0.107210,0.113003,0.117839,0.126975,0.145182,0.181648,0.254518", \ "0.122727,0.128536,0.133374,0.142509,0.160687,0.197145,0.270016", \ "0.142903,0.148893,0.153795,0.162914,0.181053,0.217467,0.290323", \ "0.166551,0.172758,0.177767,0.186898,0.205126,0.241469,0.314308"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003988,0.005792,0.007582,0.011150,0.018543,0.034076,0.065946", \ "0.003989,0.005791,0.007582,0.011150,0.018543,0.034076,0.065946", \ "0.003990,0.005793,0.007583,0.011150,0.018543,0.034076,0.065949", \ "0.004083,0.005866,0.007642,0.011188,0.018560,0.034080,0.065950", \ "0.004313,0.006047,0.007787,0.011286,0.018608,0.034093,0.065952", \ "0.004739,0.006395,0.008073,0.011483,0.018709,0.034119,0.065951", \ "0.005323,0.006922,0.008533,0.011826,0.018898,0.034176,0.065957"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006035,0.009041,0.012513,0.020575,0.037697,0.072275,0.141525", \ "0.006036,0.009038,0.012513,0.020574,0.037703,0.072277,0.141523", \ "0.006033,0.009039,0.012513,0.020578,0.037700,0.072279,0.141512", \ "0.006036,0.009041,0.012514,0.020575,0.037699,0.072275,0.141522", \ "0.006069,0.009070,0.012532,0.020579,0.037701,0.072294,0.141514", \ "0.006412,0.009416,0.012750,0.020659,0.037724,0.072285,0.141522", \ "0.006807,0.009860,0.013063,0.020780,0.037756,0.072300,0.141528"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.033375,0.037292,0.040527,0.046045,0.055863,0.074482,0.111312", \ "0.034913,0.038830,0.042065,0.047583,0.057400,0.076019,0.112847", \ "0.040426,0.044341,0.047575,0.053092,0.062909,0.081528,0.118356", \ "0.047879,0.051803,0.055045,0.060573,0.070400,0.089019,0.125849", \ "0.053461,0.057432,0.060703,0.066267,0.076097,0.094718,0.131544", \ "0.056296,0.060388,0.063728,0.069346,0.079215,0.097839,0.134649", \ "0.055760,0.060043,0.063507,0.069236,0.079120,0.097754,0.134542"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.100673,0.106627,0.111523,0.120658,0.138833,0.175277,0.248136", \ "0.101553,0.107508,0.112404,0.121536,0.139709,0.176157,0.249012", \ "0.106188,0.112142,0.117038,0.126175,0.144348,0.180791,0.253650", \ "0.115041,0.120995,0.125890,0.135025,0.153201,0.189645,0.262503", \ "0.129076,0.135040,0.139938,0.149046,0.167221,0.203652,0.276512", \ "0.147873,0.153985,0.158948,0.168091,0.186197,0.222585,0.295419", \ "0.170715,0.177002,0.182063,0.191211,0.209439,0.245772,0.318587"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.003991,0.005795,0.007585,0.011151,0.018545,0.034077,0.065950", \ "0.003991,0.005794,0.007584,0.011151,0.018545,0.034077,0.065947", \ "0.003991,0.005794,0.007585,0.011152,0.018544,0.034077,0.065949", \ "0.004090,0.005873,0.007648,0.011193,0.018563,0.034081,0.065949", \ "0.004337,0.006066,0.007802,0.011295,0.018613,0.034094,0.065953", \ "0.004792,0.006438,0.008109,0.011508,0.018721,0.034123,0.065954", \ "0.005399,0.006995,0.008597,0.011875,0.018925,0.034184,0.065959"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006313,0.009334,0.012702,0.020645,0.037720,0.072289,0.141519", \ "0.006315,0.009334,0.012702,0.020643,0.037715,0.072280,0.141522", \ "0.006315,0.009333,0.012702,0.020644,0.037723,0.072295,0.141518", \ "0.006313,0.009335,0.012702,0.020643,0.037722,0.072284,0.141519", \ "0.006338,0.009354,0.012714,0.020648,0.037722,0.072284,0.141532", \ "0.006622,0.009658,0.012920,0.020728,0.037742,0.072295,0.141518", \ "0.006949,0.010033,0.013194,0.020835,0.037772,0.072308,0.141526"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.034511,0.038439,0.041683,0.047210,0.057036,0.075655,0.112485", \ "0.036048,0.039976,0.043220,0.048747,0.058573,0.077194,0.114020", \ "0.041568,0.045495,0.048738,0.054264,0.064090,0.082709,0.119539", \ "0.049414,0.053356,0.056610,0.062150,0.071984,0.090605,0.127434", \ "0.055534,0.059539,0.062830,0.068414,0.078258,0.096882,0.133704", \ "0.058939,0.063085,0.066458,0.072109,0.082000,0.100629,0.137433", \ "0.059032,0.063378,0.066883,0.072659,0.082580,0.101226,0.138009"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.109563,0.115589,0.120513,0.129647,0.147798,0.184228,0.257088", \ "0.110503,0.116530,0.121454,0.130586,0.148745,0.185173,0.258029", \ "0.115136,0.121163,0.126087,0.135219,0.153375,0.189804,0.262661", \ "0.123942,0.129969,0.134894,0.144026,0.162182,0.198610,0.271470", \ "0.138018,0.144047,0.148972,0.158114,0.176244,0.212671,0.285528", \ "0.157611,0.163760,0.168739,0.177900,0.196029,0.232403,0.305220", \ "0.181260,0.187578,0.192654,0.201818,0.220036,0.256357,0.329159"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004057,0.005846,0.007626,0.011180,0.018559,0.034081,0.065946", \ "0.004056,0.005846,0.007625,0.011180,0.018559,0.034081,0.065948", \ "0.004057,0.005847,0.007627,0.011180,0.018559,0.034081,0.065948", \ "0.004177,0.005942,0.007703,0.011230,0.018581,0.034086,0.065949", \ "0.004475,0.006179,0.007895,0.011360,0.018646,0.034103,0.065954", \ "0.004981,0.006605,0.008251,0.011612,0.018778,0.034140,0.065954", \ "0.005618,0.007198,0.008781,0.012019,0.019011,0.034216,0.065963"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006455,0.009479,0.012799,0.020680,0.037727,0.072296,0.141529", \ "0.006456,0.009480,0.012797,0.020680,0.037726,0.072297,0.141532", \ "0.006456,0.009481,0.012799,0.020679,0.037728,0.072294,0.141535", \ "0.006455,0.009480,0.012798,0.020680,0.037729,0.072288,0.141520", \ "0.006464,0.009488,0.012803,0.020681,0.037733,0.072298,0.141529", \ "0.006699,0.009738,0.012972,0.020745,0.037742,0.072293,0.141527", \ "0.007014,0.010104,0.013245,0.020859,0.037780,0.072313,0.141525"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048023,0.052066,0.055388,0.061006,0.070900,0.089548,0.126371", \ "0.049242,0.053285,0.056607,0.062224,0.072118,0.090765,0.127590", \ "0.054167,0.058208,0.061530,0.067147,0.077040,0.095686,0.132510", \ "0.063915,0.067955,0.071274,0.076888,0.086780,0.105426,0.142250", \ "0.073825,0.077893,0.081232,0.086872,0.096781,0.115437,0.152257", \ "0.081826,0.085970,0.089356,0.094995,0.104818,0.123476,0.160285", \ "0.087400,0.091688,0.095163,0.100907,0.110602,0.129264,0.166062"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091535,0.097268,0.102086,0.111229,0.129451,0.165931,0.238803", \ "0.092905,0.098638,0.103458,0.112601,0.130824,0.167303,0.240177", \ "0.097947,0.103680,0.108500,0.117644,0.135867,0.172348,0.245217", \ "0.106430,0.112164,0.116984,0.126127,0.144350,0.180828,0.253699", \ "0.118043,0.123800,0.128624,0.137755,0.155953,0.192427,0.265296", \ "0.130990,0.136909,0.141789,0.150903,0.169035,0.205484,0.278340", \ "0.145883,0.151985,0.156947,0.166073,0.184139,0.220531,0.293384"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004434,0.006185,0.007928,0.011416,0.018706,0.034142,0.065963", \ "0.004433,0.006184,0.007927,0.011416,0.018706,0.034141,0.065961", \ "0.004429,0.006181,0.007924,0.011413,0.018704,0.034141,0.065960", \ "0.004434,0.006183,0.007926,0.011413,0.018703,0.034139,0.065963", \ "0.004596,0.006318,0.008038,0.011492,0.018745,0.034156,0.065965", \ "0.004910,0.006578,0.008254,0.011645,0.018826,0.034177,0.065969", \ "0.005396,0.007011,0.008629,0.011921,0.018980,0.034227,0.065973"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005919,0.008930,0.012449,0.020553,0.037690,0.072284,0.141525", \ "0.005920,0.008929,0.012448,0.020552,0.037691,0.072281,0.141521", \ "0.005921,0.008930,0.012449,0.020553,0.037696,0.072280,0.141516", \ "0.005921,0.008930,0.012449,0.020552,0.037690,0.072285,0.141525", \ "0.005973,0.008975,0.012473,0.020559,0.037692,0.072273,0.141513", \ "0.006263,0.009273,0.012659,0.020626,0.037712,0.072280,0.141522", \ "0.006590,0.009635,0.012907,0.020726,0.037745,0.072297,0.141529"); } } timing () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045428,0.049482,0.052812,0.058437,0.068338,0.086989,0.123811", \ "0.046666,0.050720,0.054050,0.059675,0.069575,0.088227,0.125049", \ "0.051708,0.055757,0.059084,0.064708,0.074606,0.093257,0.130080", \ "0.061319,0.065363,0.068686,0.074307,0.084204,0.102852,0.139676", \ "0.070575,0.074650,0.077995,0.083621,0.093531,0.112187,0.149012", \ "0.077776,0.081942,0.085338,0.090992,0.100846,0.119504,0.156315", \ "0.082427,0.086748,0.090247,0.096022,0.105754,0.124416,0.161211"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.099226,0.105109,0.109980,0.119120,0.137315,0.173770,0.246637", \ "0.100514,0.106398,0.111269,0.120411,0.138604,0.175063,0.247928", \ "0.105399,0.111285,0.116156,0.125294,0.143487,0.179943,0.252810", \ "0.113665,0.119548,0.124419,0.133562,0.151758,0.188210,0.261080", \ "0.124676,0.130576,0.135450,0.144581,0.162758,0.199208,0.272070", \ "0.137045,0.143085,0.148016,0.157136,0.175256,0.211682,0.284539", \ "0.151428,0.157629,0.162643,0.171807,0.189843,0.226215,0.299055"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004465,0.006214,0.007955,0.011438,0.018721,0.034148,0.065965", \ "0.004462,0.006212,0.007953,0.011436,0.018720,0.034149,0.065964", \ "0.004446,0.006198,0.007942,0.011428,0.018716,0.034146,0.065966", \ "0.004456,0.006203,0.007944,0.011426,0.018712,0.034143,0.065964", \ "0.004631,0.006347,0.008063,0.011511,0.018756,0.034160,0.065965", \ "0.004980,0.006638,0.008305,0.011681,0.018846,0.034183,0.065971", \ "0.005506,0.007115,0.008719,0.011990,0.019020,0.034241,0.065975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006179,0.009196,0.012614,0.020614,0.037711,0.072282,0.141517", \ "0.006178,0.009196,0.012615,0.020613,0.037711,0.072279,0.141527", \ "0.006179,0.009195,0.012615,0.020612,0.037707,0.072285,0.141530", \ "0.006179,0.009195,0.012613,0.020612,0.037716,0.072290,0.141512", \ "0.006210,0.009224,0.012632,0.020617,0.037710,0.072282,0.141519", \ "0.006468,0.009497,0.012812,0.020685,0.037728,0.072290,0.141518", \ "0.006759,0.009830,0.013050,0.020781,0.037758,0.072314,0.141529"); } } timing () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047291,0.051384,0.054735,0.060384,0.070303,0.088959,0.125779", \ "0.048526,0.052619,0.055970,0.061619,0.071537,0.090194,0.127015", \ "0.053545,0.057634,0.060983,0.066628,0.076545,0.095201,0.132024", \ "0.063305,0.067390,0.070735,0.076378,0.086292,0.104948,0.141768", \ "0.073197,0.077328,0.080703,0.086361,0.096307,0.114969,0.151784", \ "0.081095,0.085332,0.088772,0.094469,0.104341,0.123007,0.159809", \ "0.086455,0.090865,0.094420,0.100255,0.110037,0.128711,0.165497"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.108034,0.113989,0.118882,0.128017,0.146194,0.182638,0.255497", \ "0.109428,0.115383,0.120278,0.129412,0.147591,0.184033,0.256891", \ "0.114350,0.120304,0.125199,0.134338,0.152511,0.188951,0.261813", \ "0.122533,0.128487,0.133383,0.142517,0.160694,0.197137,0.269999", \ "0.133607,0.139566,0.144462,0.153582,0.171745,0.208184,0.281043", \ "0.146721,0.152800,0.157747,0.166867,0.184973,0.221376,0.294229", \ "0.161728,0.167958,0.172988,0.182157,0.200219,0.236600,0.309391"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004603,0.006333,0.008056,0.011513,0.018765,0.034166,0.065970", \ "0.004599,0.006330,0.008054,0.011511,0.018764,0.034165,0.065969", \ "0.004587,0.006317,0.008044,0.011503,0.018759,0.034164,0.065966", \ "0.004595,0.006324,0.008047,0.011503,0.018756,0.034162,0.065968", \ "0.004817,0.006508,0.008200,0.011612,0.018814,0.034182,0.065970", \ "0.005223,0.006855,0.008493,0.011823,0.018928,0.034215,0.065975", \ "0.005788,0.007378,0.008958,0.012177,0.019133,0.034287,0.065984"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006316,0.009334,0.012701,0.020644,0.037719,0.072285,0.141518", \ "0.006313,0.009334,0.012701,0.020644,0.037725,0.072287,0.141516", \ "0.006316,0.009333,0.012703,0.020645,0.037721,0.072297,0.141517", \ "0.006314,0.009333,0.012703,0.020643,0.037720,0.072289,0.141517", \ "0.006324,0.009345,0.012709,0.020646,0.037725,0.072293,0.141523", \ "0.006550,0.009583,0.012867,0.020704,0.037742,0.072289,0.141522", \ "0.006829,0.009903,0.013100,0.020803,0.037762,0.072303,0.141528"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049314,0.053357,0.056680,0.062297,0.072191,0.090837,0.127662", \ "0.050597,0.054640,0.057962,0.063580,0.073474,0.092120,0.128942", \ "0.054542,0.058583,0.061905,0.067522,0.077415,0.096062,0.132886", \ "0.061692,0.065733,0.069056,0.074674,0.084567,0.103214,0.140040", \ "0.069898,0.073958,0.077295,0.082931,0.092838,0.111488,0.148311", \ "0.077117,0.081217,0.084580,0.090238,0.100164,0.118821,0.155639", \ "0.082412,0.086590,0.089999,0.095660,0.105542,0.124206,0.161016"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.097955,0.103748,0.108584,0.117721,0.135928,0.172394,0.245272", \ "0.099492,0.105285,0.110121,0.119258,0.137463,0.173932,0.246807", \ "0.105114,0.110907,0.115743,0.124879,0.143087,0.179553,0.252424", \ "0.113868,0.119662,0.124498,0.133633,0.151839,0.188308,0.261182", \ "0.125725,0.131529,0.136366,0.145498,0.163687,0.200148,0.273019", \ "0.139498,0.145443,0.150330,0.159446,0.177581,0.214013,0.286874", \ "0.155323,0.161439,0.166404,0.175543,0.193655,0.229992,0.302829"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004435,0.006185,0.007928,0.011415,0.018706,0.034141,0.065962", \ "0.004434,0.006184,0.007928,0.011415,0.018706,0.034141,0.065960", \ "0.004432,0.006183,0.007927,0.011414,0.018706,0.034141,0.065960", \ "0.004442,0.006190,0.007932,0.011418,0.018707,0.034141,0.065963", \ "0.004538,0.006271,0.008001,0.011468,0.018734,0.034150,0.065964", \ "0.004712,0.006419,0.008126,0.011558,0.018783,0.034167,0.065963", \ "0.004996,0.006665,0.008337,0.011714,0.018872,0.034198,0.065973"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006036,0.009041,0.012513,0.020575,0.037700,0.072279,0.141512", \ "0.006036,0.009040,0.012513,0.020574,0.037697,0.072275,0.141513", \ "0.006036,0.009040,0.012513,0.020578,0.037705,0.072280,0.141521", \ "0.006033,0.009041,0.012513,0.020574,0.037697,0.072276,0.141510", \ "0.006058,0.009060,0.012525,0.020581,0.037704,0.072294,0.141516", \ "0.006317,0.009325,0.012693,0.020638,0.037723,0.072284,0.141531", \ "0.006625,0.009666,0.012926,0.020734,0.037749,0.072303,0.141538"); } } timing () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046729,0.050782,0.054112,0.059738,0.069639,0.088289,0.125115", \ "0.048021,0.052072,0.055402,0.061028,0.070928,0.089578,0.126404", \ "0.051984,0.056036,0.059364,0.064989,0.074888,0.093539,0.130362", \ "0.059048,0.063097,0.066425,0.072051,0.081950,0.100600,0.137422", \ "0.066832,0.070899,0.074242,0.079882,0.089795,0.108448,0.145270", \ "0.073366,0.077478,0.080847,0.086516,0.096450,0.115108,0.151925", \ "0.077768,0.081965,0.085388,0.091081,0.100972,0.119637,0.156446"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.106716,0.112672,0.117568,0.126704,0.144879,0.181321,0.254185", \ "0.108197,0.114152,0.119049,0.128183,0.146360,0.182803,0.255665", \ "0.113739,0.119695,0.124592,0.133727,0.151903,0.188346,0.261206", \ "0.122312,0.128268,0.133164,0.142299,0.160476,0.196917,0.269779", \ "0.133502,0.139462,0.144360,0.153498,0.171658,0.208094,0.280953", \ "0.146614,0.152689,0.157636,0.166754,0.184863,0.221272,0.294124", \ "0.161815,0.168042,0.173069,0.182241,0.200315,0.236670,0.309473"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004463,0.006212,0.007954,0.011437,0.018721,0.034149,0.065966", \ "0.004462,0.006211,0.007953,0.011436,0.018720,0.034148,0.065965", \ "0.004454,0.006205,0.007948,0.011432,0.018719,0.034148,0.065965", \ "0.004463,0.006210,0.007952,0.011433,0.018717,0.034146,0.065965", \ "0.004562,0.006295,0.008022,0.011484,0.018744,0.034156,0.065968", \ "0.004752,0.006457,0.008159,0.011583,0.018798,0.034173,0.065969", \ "0.005071,0.006731,0.008394,0.011756,0.018897,0.034207,0.065975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006316,0.009338,0.012704,0.020644,0.037717,0.072302,0.141517", \ "0.006317,0.009337,0.012705,0.020644,0.037722,0.072292,0.141518", \ "0.006316,0.009337,0.012705,0.020644,0.037718,0.072300,0.141516", \ "0.006318,0.009336,0.012704,0.020647,0.037725,0.072293,0.141528", \ "0.006328,0.009347,0.012712,0.020647,0.037726,0.072296,0.141527", \ "0.006548,0.009581,0.012865,0.020705,0.037741,0.072292,0.141518", \ "0.006821,0.009894,0.013094,0.020801,0.037761,0.072302,0.141526"); } } timing () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048592,0.052684,0.056035,0.061684,0.071602,0.090259,0.127083", \ "0.049881,0.053974,0.057324,0.062973,0.072891,0.091548,0.128367", \ "0.053840,0.057931,0.061280,0.066928,0.076845,0.095501,0.132322", \ "0.060957,0.065046,0.068395,0.074043,0.083959,0.102615,0.139438", \ "0.069008,0.073126,0.076493,0.082160,0.092090,0.110750,0.147567", \ "0.075985,0.080158,0.083559,0.089261,0.099207,0.117873,0.154684", \ "0.080922,0.085192,0.088656,0.094388,0.104308,0.122992,0.159796"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.115498,0.121524,0.126448,0.135580,0.153741,0.190165,0.263021", \ "0.117010,0.123036,0.127960,0.137092,0.155252,0.191675,0.264532", \ "0.122587,0.128612,0.133537,0.142670,0.160820,0.197249,0.270108", \ "0.131129,0.137155,0.142080,0.151212,0.169368,0.205797,0.278651", \ "0.142330,0.148358,0.153282,0.162413,0.180566,0.216983,0.289841", \ "0.156091,0.162211,0.167176,0.176285,0.194390,0.230775,0.303616", \ "0.171867,0.178133,0.183180,0.192359,0.210440,0.246798,0.319624"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004603,0.006332,0.008055,0.011512,0.018764,0.034166,0.065966", \ "0.004601,0.006330,0.008054,0.011512,0.018764,0.034166,0.065970", \ "0.004592,0.006324,0.008049,0.011508,0.018761,0.034164,0.065969", \ "0.004603,0.006330,0.008054,0.011509,0.018761,0.034164,0.065967", \ "0.004722,0.006433,0.008140,0.011572,0.018795,0.034176,0.065968", \ "0.004943,0.006623,0.008302,0.011691,0.018862,0.034198,0.065971", \ "0.005298,0.006935,0.008573,0.011893,0.018978,0.034240,0.065980"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006455,0.009479,0.012799,0.020679,0.037729,0.072296,0.141535", \ "0.006455,0.009480,0.012799,0.020679,0.037731,0.072288,0.141518", \ "0.006454,0.009479,0.012798,0.020679,0.037731,0.072294,0.141525", \ "0.006455,0.009480,0.012798,0.020679,0.037726,0.072289,0.141534", \ "0.006459,0.009484,0.012801,0.020681,0.037728,0.072306,0.141517", \ "0.006640,0.009673,0.012930,0.020727,0.037742,0.072311,0.141529", \ "0.006906,0.009985,0.013159,0.020823,0.037768,0.072306,0.141532"); } } timing () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038631,0.042607,0.045884,0.051449,0.061303,0.079934,0.116757", \ "0.040039,0.044015,0.047292,0.052857,0.062712,0.081343,0.118165", \ "0.045148,0.049125,0.052403,0.057968,0.067823,0.086455,0.123277", \ "0.052766,0.056764,0.060056,0.065640,0.075506,0.094139,0.130960", \ "0.058852,0.062897,0.066219,0.071819,0.081715,0.100355,0.137178", \ "0.062731,0.066905,0.070304,0.075992,0.085912,0.104564,0.141368", \ "0.063787,0.068168,0.071709,0.077529,0.087433,0.106108,0.142894"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.067382,0.072998,0.077786,0.086933,0.105177,0.141665,0.214536", \ "0.068049,0.073666,0.078452,0.087600,0.105842,0.142330,0.215207", \ "0.072381,0.077997,0.082785,0.091933,0.110174,0.146663,0.219534", \ "0.083465,0.089081,0.093868,0.103016,0.121258,0.157742,0.230618", \ "0.101184,0.106877,0.111673,0.120797,0.139001,0.175460,0.248332", \ "0.121259,0.127196,0.132070,0.141200,0.159320,0.195741,0.268575", \ "0.143299,0.149524,0.154534,0.163648,0.181672,0.218055,0.290854"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004195,0.005973,0.007742,0.011273,0.018619,0.034104,0.065951", \ "0.004194,0.005974,0.007742,0.011273,0.018620,0.034103,0.065951", \ "0.004198,0.005976,0.007744,0.011275,0.018620,0.034104,0.065951", \ "0.004314,0.006075,0.007825,0.011329,0.018647,0.034111,0.065952", \ "0.004541,0.006260,0.007981,0.011441,0.018709,0.034134,0.065956", \ "0.005013,0.006658,0.008317,0.011681,0.018838,0.034172,0.065962", \ "0.005669,0.007278,0.008871,0.012109,0.019087,0.034261,0.065975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005722,0.008735,0.012329,0.020509,0.037678,0.072265,0.141509", \ "0.005721,0.008734,0.012329,0.020506,0.037674,0.072259,0.141504", \ "0.005721,0.008734,0.012329,0.020507,0.037674,0.072263,0.141510", \ "0.005719,0.008731,0.012328,0.020507,0.037678,0.072269,0.141502", \ "0.005896,0.008874,0.012404,0.020528,0.037679,0.072259,0.141507", \ "0.006342,0.009319,0.012675,0.020622,0.037704,0.072272,0.141511", \ "0.006851,0.009888,0.013070,0.020768,0.037744,0.072299,0.141526"); } } timing () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038629,0.042605,0.045882,0.051447,0.061302,0.079932,0.116755", \ "0.040044,0.044020,0.047296,0.052861,0.062716,0.081348,0.118171", \ "0.045192,0.049169,0.052446,0.058011,0.067866,0.086498,0.123320", \ "0.052832,0.056830,0.060123,0.065705,0.075572,0.094205,0.131026", \ "0.058802,0.062847,0.066170,0.071788,0.081662,0.100303,0.137125", \ "0.062314,0.066488,0.069888,0.075560,0.085462,0.104114,0.140917", \ "0.062659,0.067046,0.070589,0.076408,0.086307,0.104981,0.141765"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.075792,0.081676,0.086547,0.095690,0.113883,0.150341,0.223204", \ "0.076281,0.082165,0.087036,0.096176,0.114374,0.150827,0.223693", \ "0.080262,0.086145,0.091017,0.100157,0.118350,0.154806,0.227674", \ "0.091046,0.096926,0.101796,0.110937,0.129129,0.165588,0.238450", \ "0.109360,0.115247,0.120115,0.129253,0.147398,0.183845,0.256705", \ "0.131276,0.137387,0.142345,0.151491,0.169552,0.205951,0.278799", \ "0.155368,0.161750,0.166863,0.175998,0.194036,0.230370,0.303172"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004195,0.005973,0.007742,0.011273,0.018620,0.034104,0.065951", \ "0.004196,0.005974,0.007742,0.011273,0.018619,0.034104,0.065949", \ "0.004198,0.005976,0.007744,0.011275,0.018620,0.034103,0.065949", \ "0.004313,0.006073,0.007824,0.011328,0.018646,0.034111,0.065951", \ "0.004541,0.006260,0.007981,0.011441,0.018709,0.034135,0.065958", \ "0.005018,0.006663,0.008320,0.011685,0.018839,0.034173,0.065960", \ "0.005683,0.007290,0.008882,0.012118,0.019093,0.034263,0.065974"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006176,0.009195,0.012614,0.020611,0.037716,0.072282,0.141531", \ "0.006176,0.009196,0.012614,0.020613,0.037715,0.072282,0.141529", \ "0.006177,0.009193,0.012613,0.020612,0.037708,0.072285,0.141529", \ "0.006169,0.009187,0.012609,0.020612,0.037706,0.072287,0.141532", \ "0.006206,0.009217,0.012627,0.020617,0.037715,0.072282,0.141517", \ "0.006631,0.009654,0.012912,0.020714,0.037733,0.072291,0.141521", \ "0.007135,0.010233,0.013340,0.020887,0.037779,0.072301,0.141530"); } } timing () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040340,0.044355,0.047654,0.053244,0.063117,0.081755,0.118576", \ "0.041754,0.045769,0.049069,0.054659,0.064532,0.083170,0.119992", \ "0.046904,0.050920,0.054220,0.059810,0.069684,0.088321,0.125147", \ "0.054944,0.058984,0.062299,0.067906,0.077790,0.096430,0.133249", \ "0.061614,0.065720,0.069078,0.074731,0.084630,0.103278,0.140097", \ "0.065882,0.070140,0.073589,0.079324,0.089269,0.107929,0.144726", \ "0.067073,0.071554,0.075159,0.081048,0.090992,0.109678,0.146454"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.084698,0.090654,0.095551,0.104687,0.122861,0.159308,0.232164", \ "0.085315,0.091271,0.096167,0.105302,0.123479,0.159923,0.232781", \ "0.089207,0.095163,0.100059,0.109193,0.127368,0.163809,0.236671", \ "0.099605,0.105559,0.110455,0.119590,0.137765,0.174209,0.247063", \ "0.117862,0.123814,0.128707,0.137834,0.155977,0.192426,0.265282", \ "0.141081,0.147208,0.152172,0.161304,0.179368,0.215752,0.288573", \ "0.166421,0.172807,0.177922,0.187073,0.205060,0.241380,0.314178"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004334,0.006091,0.007842,0.011347,0.018661,0.034120,0.065954", \ "0.004334,0.006091,0.007842,0.011347,0.018661,0.034119,0.065952", \ "0.004336,0.006094,0.007844,0.011348,0.018663,0.034120,0.065954", \ "0.004467,0.006199,0.007931,0.011406,0.018692,0.034128,0.065954", \ "0.004754,0.006442,0.008137,0.011556,0.018774,0.034159,0.065961", \ "0.005294,0.006910,0.008536,0.011847,0.018934,0.034209,0.065967", \ "0.005991,0.007580,0.009148,0.012329,0.019224,0.034318,0.065986"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006316,0.009337,0.012703,0.020645,0.037717,0.072290,0.141519", \ "0.006316,0.009337,0.012704,0.020645,0.037723,0.072296,0.141519", \ "0.006318,0.009336,0.012703,0.020644,0.037717,0.072300,0.141517", \ "0.006315,0.009333,0.012703,0.020645,0.037717,0.072293,0.141520", \ "0.006307,0.009326,0.012697,0.020644,0.037723,0.072286,0.141522", \ "0.006665,0.009690,0.012938,0.020727,0.037746,0.072306,0.141528", \ "0.007160,0.010254,0.013355,0.020892,0.037782,0.072310,0.141530"); } } timing () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.039947,0.043923,0.047199,0.052765,0.062619,0.081251,0.118073", \ "0.041415,0.045392,0.048668,0.054233,0.064088,0.082720,0.119542", \ "0.045392,0.049369,0.052647,0.058212,0.068066,0.086698,0.123519", \ "0.051373,0.055363,0.058652,0.064231,0.074092,0.092725,0.129545", \ "0.056978,0.060999,0.064310,0.069913,0.079799,0.098437,0.135261", \ "0.060790,0.064884,0.068239,0.073891,0.083806,0.102455,0.139268", \ "0.061925,0.066148,0.069583,0.075308,0.085279,0.103942,0.140746"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.073562,0.079236,0.084034,0.093172,0.111401,0.147879,0.220754", \ "0.074356,0.080030,0.084829,0.093968,0.112195,0.148672,0.221546", \ "0.079016,0.084691,0.089490,0.098628,0.116855,0.153332,0.226209", \ "0.090168,0.095841,0.100640,0.109776,0.128004,0.164477,0.237353", \ "0.108570,0.114272,0.119072,0.128189,0.146397,0.182863,0.255729", \ "0.130383,0.136314,0.141183,0.150292,0.168329,0.204751,0.277598", \ "0.154167,0.160368,0.165363,0.174469,0.192520,0.228804,0.301588"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004195,0.005973,0.007742,0.011273,0.018619,0.034104,0.065951", \ "0.004194,0.005973,0.007742,0.011274,0.018619,0.034104,0.065948", \ "0.004197,0.005974,0.007743,0.011274,0.018620,0.034104,0.065949", \ "0.004269,0.006037,0.007796,0.011310,0.018637,0.034109,0.065950", \ "0.004418,0.006161,0.007901,0.011386,0.018681,0.034125,0.065954", \ "0.004700,0.006401,0.008104,0.011536,0.018765,0.034153,0.065958", \ "0.005144,0.006792,0.008444,0.011790,0.018911,0.034208,0.065972"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005833,0.008834,0.012385,0.020527,0.037684,0.072263,0.141502", \ "0.005835,0.008834,0.012386,0.020525,0.037686,0.072264,0.141499", \ "0.005836,0.008834,0.012386,0.020528,0.037686,0.072273,0.141502", \ "0.005834,0.008833,0.012384,0.020524,0.037678,0.072276,0.141510", \ "0.005909,0.008897,0.012419,0.020534,0.037682,0.072266,0.141501", \ "0.006335,0.009309,0.012668,0.020617,0.037703,0.072283,0.141515", \ "0.006823,0.009846,0.013036,0.020753,0.037745,0.072289,0.141518"); } } timing () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.039946,0.043921,0.047197,0.052763,0.062617,0.081249,0.118072", \ "0.041419,0.045395,0.048672,0.054237,0.064092,0.082724,0.119545", \ "0.045437,0.049413,0.052691,0.058255,0.068110,0.086742,0.123564", \ "0.051467,0.055458,0.058747,0.064325,0.074186,0.092818,0.129640", \ "0.057051,0.061074,0.064384,0.069988,0.079869,0.098508,0.135333", \ "0.060663,0.064756,0.068109,0.073762,0.083675,0.102324,0.139139", \ "0.061350,0.065572,0.069007,0.074738,0.084696,0.103357,0.140161"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083318,0.089275,0.094169,0.103304,0.121479,0.157919,0.230782", \ "0.083966,0.089918,0.094814,0.103949,0.122124,0.158566,0.231429", \ "0.088360,0.094313,0.099209,0.108344,0.126520,0.162963,0.235824", \ "0.099211,0.105164,0.110059,0.119193,0.137367,0.173808,0.246670", \ "0.117783,0.123734,0.128627,0.137750,0.155934,0.192363,0.265223", \ "0.141421,0.147540,0.152500,0.161669,0.179680,0.216066,0.288902", \ "0.167346,0.173719,0.178824,0.187983,0.206002,0.242275,0.315066"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004195,0.005973,0.007742,0.011274,0.018619,0.034104,0.065948", \ "0.004194,0.005974,0.007742,0.011274,0.018619,0.034104,0.065948", \ "0.004196,0.005974,0.007743,0.011274,0.018620,0.034104,0.065949", \ "0.004269,0.006037,0.007794,0.011309,0.018637,0.034109,0.065950", \ "0.004418,0.006160,0.007899,0.011386,0.018680,0.034124,0.065954", \ "0.004697,0.006399,0.008102,0.011534,0.018764,0.034152,0.065958", \ "0.005143,0.006792,0.008442,0.011788,0.018910,0.034208,0.065970"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006315,0.009332,0.012701,0.020643,0.037716,0.072288,0.141517", \ "0.006315,0.009333,0.012703,0.020644,0.037719,0.072286,0.141516", \ "0.006315,0.009332,0.012703,0.020642,0.037721,0.072285,0.141516", \ "0.006309,0.009329,0.012699,0.020642,0.037715,0.072296,0.141516", \ "0.006307,0.009325,0.012697,0.020641,0.037722,0.072293,0.141515", \ "0.006650,0.009674,0.012924,0.020721,0.037737,0.072290,0.141525", \ "0.007131,0.010220,0.013328,0.020880,0.037779,0.072311,0.141537"); } } timing () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; sdf_cond : "(A == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.041656,0.045670,0.048969,0.054560,0.064433,0.083070,0.119893", \ "0.043132,0.047146,0.050445,0.056035,0.065908,0.084547,0.121370", \ "0.047152,0.051168,0.054468,0.060058,0.069932,0.088569,0.125393", \ "0.053331,0.057364,0.060675,0.066276,0.076157,0.094796,0.131617", \ "0.059281,0.063354,0.066692,0.072323,0.082227,0.100872,0.137692", \ "0.063431,0.067588,0.070978,0.076661,0.086604,0.105262,0.142070", \ "0.064755,0.069052,0.072534,0.078300,0.088292,0.106977,0.143776"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.092177,0.098204,0.103129,0.112260,0.130415,0.166844,0.239699", \ "0.092884,0.098911,0.103835,0.112966,0.131123,0.167551,0.240408", \ "0.097225,0.103252,0.108176,0.117308,0.135464,0.171890,0.244752", \ "0.107783,0.113810,0.118733,0.127866,0.146022,0.182449,0.255308", \ "0.126160,0.132182,0.137105,0.146198,0.164342,0.200770,0.273622", \ "0.150805,0.156949,0.161921,0.171031,0.189099,0.225477,0.298308", \ "0.177967,0.184353,0.189467,0.198604,0.216581,0.252925,0.325668"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004334,0.006091,0.007842,0.011347,0.018661,0.034120,0.065954", \ "0.004333,0.006091,0.007842,0.011347,0.018661,0.034120,0.065953", \ "0.004336,0.006093,0.007843,0.011347,0.018662,0.034120,0.065954", \ "0.004415,0.006159,0.007898,0.011385,0.018681,0.034125,0.065954", \ "0.004588,0.006308,0.008026,0.011479,0.018734,0.034146,0.065958", \ "0.004909,0.006583,0.008262,0.011653,0.018833,0.034181,0.065962", \ "0.005394,0.007020,0.008644,0.011943,0.019002,0.034245,0.065979"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006456,0.009480,0.012799,0.020681,0.037727,0.072294,0.141529", \ "0.006456,0.009479,0.012798,0.020679,0.037731,0.072297,0.141528", \ "0.006454,0.009479,0.012798,0.020679,0.037726,0.072290,0.141526", \ "0.006454,0.009477,0.012797,0.020680,0.037727,0.072302,0.141525", \ "0.006443,0.009467,0.012792,0.020676,0.037726,0.072293,0.141529", \ "0.006702,0.009730,0.012965,0.020737,0.037747,0.072295,0.141520", \ "0.007180,0.010265,0.013361,0.020896,0.037788,0.072308,0.141543"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.465510,11.369660,11.914650,12.379370,12.671520,12.827090,12.910590", \ "10.449280,11.313230,11.902290,12.364470,12.665850,12.813200,12.897480", \ "10.434420,11.372460,11.878210,12.351560,12.650710,12.798160,12.887580", \ "10.674980,11.549280,12.049690,12.535680,12.844420,12.988240,13.083040", \ "11.311450,12.087690,12.597480,13.052330,13.329430,13.502000,13.600760", \ "12.211800,13.024540,13.517160,14.007740,14.307410,14.422660,14.510880", \ "13.516620,14.188580,14.779640,15.309260,15.659000,15.834350,15.885980"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.687460,15.454910,15.975310,16.462100,16.873760,17.212210,17.275140", \ "14.677090,15.458040,15.818190,16.376490,16.957140,17.090120,17.053410", \ "14.622410,15.393390,15.854080,16.263520,16.823980,17.053790,16.729660", \ "14.577510,15.348180,15.814800,16.402120,16.880230,16.609910,16.723560", \ "14.896380,15.700220,16.063550,16.669700,17.143850,17.154350,16.625030", \ "15.256170,16.232420,16.705000,17.401110,17.921340,17.770500,17.911850", \ "16.185560,17.128470,17.461590,18.216930,18.955380,18.444570,18.617760"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.354170,11.231540,11.826310,12.303130,12.590430,12.747610,12.830390", \ "10.332930,11.207830,11.796130,12.270400,12.564980,12.712290,12.809100", \ "10.330590,11.182950,11.764800,12.249820,12.543630,12.699450,12.783970", \ "10.555250,11.402910,11.947030,12.411310,12.716230,12.881440,12.980540", \ "11.217590,11.983100,12.464970,12.929420,13.197490,13.373930,13.485630", \ "12.085140,12.855240,13.383140,13.872320,14.178350,14.298400,14.384680", \ "13.273490,14.020930,14.600090,15.150380,15.514620,15.697350,15.744440"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.603890,15.357230,15.647140,16.289920,16.602010,16.421200,16.486800", \ "14.543790,15.232560,15.600290,15.992410,16.679880,16.879380,16.537550", \ "14.477950,15.253130,15.601040,16.037800,16.602960,16.654830,16.282290", \ "14.462470,15.231510,15.648920,16.030200,16.620180,16.479230,16.016820", \ "14.696820,15.540450,15.864140,16.445120,16.835620,16.837340,16.272870", \ "15.122310,15.991310,16.416780,16.997240,17.522770,17.203190,17.755560", \ "15.853650,16.855910,17.217730,17.759930,18.122140,18.139000,18.560900"); } } internal_power () { related_pin : "A"; when : "!B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.353650,11.267540,11.796620,12.305270,12.584030,12.744270,12.838020", \ "10.344400,11.260160,11.771120,12.253720,12.571200,12.717940,12.809150", \ "10.316630,11.226440,11.776220,12.257060,12.538880,12.700030,12.794920", \ "10.606130,11.418460,11.960780,12.428890,12.734500,12.903000,13.004590", \ "11.263810,11.976040,12.516510,12.984600,13.250190,13.432850,13.538960", \ "12.240150,12.926220,13.480030,13.974120,14.271030,14.389930,14.480100", \ "13.499110,14.184980,14.735240,15.309370,15.677750,15.844290,15.896740"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.471520,16.184360,16.633840,16.995320,17.612330,17.538800,17.975550", \ "15.399560,16.231420,16.505270,16.989780,17.588870,17.218670,18.018220", \ "15.275910,16.061020,16.510620,16.919260,17.486790,17.768530,17.772640", \ "15.347660,16.067400,16.524920,17.004270,17.490450,17.290150,17.881390", \ "15.485220,16.351580,16.781470,17.337490,17.772080,17.531520,17.888950", \ "16.028410,16.929890,17.359570,17.947980,18.428510,17.909670,18.452160", \ "16.826290,17.679910,18.128510,18.799940,19.455410,19.450470,19.574050"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.458500,11.346700,11.918540,12.404210,12.675530,12.826750,12.914950", \ "10.459570,11.399910,11.910220,12.386190,12.683640,12.837970,12.922240", \ "10.477060,11.399550,11.923430,12.411750,12.699860,12.837900,12.928250", \ "10.745710,11.564870,12.071200,12.557280,12.859070,13.018960,13.112110", \ "11.318930,12.090730,12.579790,13.050990,13.321150,13.491290,13.588110", \ "12.183820,12.916860,13.439200,13.918170,14.227150,14.342290,14.426960", \ "13.328420,14.004020,14.564800,15.125800,15.467690,15.644390,15.693490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.682150,15.566010,15.926360,16.374130,17.142060,17.221550,16.949400", \ "14.638040,15.502900,15.907030,16.472520,17.092430,17.465730,16.885320", \ "14.510620,15.434870,15.787790,16.454660,17.021580,17.093580,16.808820", \ "14.475160,15.377150,15.759460,16.349720,16.600480,17.380180,17.541570", \ "14.733460,15.618410,15.999800,16.555370,16.980530,17.146120,17.581500", \ "15.353980,16.198360,16.718990,17.291640,17.960070,18.026570,18.483490", \ "16.049830,17.124980,17.546460,18.114690,19.074190,19.307160,18.877750"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.368830,11.243420,11.807940,12.313010,12.596560,12.747790,12.834560", \ "10.361510,11.226320,11.821990,12.302350,12.587060,12.733510,12.830160", \ "10.360440,11.231950,11.794570,12.278720,12.576970,12.738460,12.822810", \ "10.545200,11.393670,11.966340,12.439170,12.760430,12.909420,13.005420", \ "11.185830,11.986470,12.473180,12.940670,13.192700,13.372380,13.480300", \ "12.068840,12.783580,13.310310,13.797060,14.098510,14.221220,14.309930", \ "13.094740,13.846160,14.436350,14.970970,15.331770,15.516150,15.570150"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.651680,15.439340,15.801960,16.264250,16.959770,17.214320,16.978750", \ "14.587960,15.388850,15.806130,16.261620,16.511690,17.294710,16.854890", \ "14.549150,15.310620,15.706330,16.084050,16.748300,17.082470,16.719280", \ "14.462350,15.349620,15.697440,16.113700,16.640440,16.954840,17.057080", \ "14.697510,15.553990,15.941350,16.393250,16.919210,17.377920,17.222630", \ "15.249650,16.121570,16.479540,17.139300,17.403020,17.866700,17.040820", \ "16.004940,16.945130,17.338070,17.985680,18.601460,18.947380,18.889170"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.375350,11.274980,11.800560,12.284680,12.604700,12.757820,12.831620", \ "10.367100,11.224370,11.816290,12.278350,12.595000,12.736080,12.830090", \ "10.372760,11.238140,11.787240,12.273340,12.592610,12.735520,12.835140", \ "10.608780,11.432230,11.969320,12.461480,12.770170,12.939920,13.028060", \ "11.237080,11.996580,12.507220,12.977170,13.247540,13.423090,13.528960", \ "12.082190,12.845510,13.412550,13.897470,14.190270,14.324410,14.394980", \ "13.317280,13.976200,14.550900,15.134580,15.499350,15.679320,15.723360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.562420,16.373660,16.824450,17.261210,18.008530,18.187920,18.492040", \ "15.525650,16.345090,16.813140,17.294810,17.985610,18.283880,18.211640", \ "15.485130,16.246920,16.707980,17.283010,17.830500,18.056540,18.367220", \ "15.396590,16.286730,16.676330,17.171700,17.778450,17.941480,18.331670", \ "15.623160,16.417440,16.819230,17.423060,17.988110,18.140990,18.482600", \ "16.110830,17.092830,17.382200,18.028960,18.395630,18.782980,18.978640", \ "16.928380,17.781050,18.251290,18.749200,19.551210,19.755370,19.948340"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.478300,11.361360,11.895130,12.374730,12.691100,12.828870,12.922080", \ "10.468710,11.344240,11.899510,12.403520,12.692130,12.832080,12.924190", \ "10.495650,11.410080,11.909410,12.391620,12.687510,12.841480,12.938520", \ "10.722480,11.581250,12.110580,12.571850,12.892130,13.039790,13.131150", \ "11.356200,12.123060,12.617060,13.080360,13.360750,13.526360,13.634070", \ "12.281260,12.996650,13.519540,13.995400,14.302290,14.421800,14.511180", \ "13.377330,14.157060,14.704310,15.254190,15.625840,15.781380,15.830320"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.559220,16.446670,16.882220,17.440230,18.119400,18.419440,18.261210", \ "15.575360,16.425290,16.772210,17.327650,18.129680,18.467320,18.103240", \ "15.470330,16.347910,16.776370,17.355290,18.078070,18.307330,18.099920", \ "15.385920,16.271140,16.666650,17.263400,17.968080,18.401730,18.068990", \ "15.575230,16.463060,16.970850,17.542370,18.208850,18.082690,17.750660", \ "16.215420,17.127900,17.564820,18.124380,18.875680,19.310840,18.769070", \ "16.906320,18.001460,18.393200,19.011650,19.726480,19.796240,19.521760"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.375350,11.274980,11.800560,12.284680,12.604700,12.757820,12.831620", \ "10.367100,11.224370,11.816290,12.278350,12.595000,12.736080,12.830090", \ "10.372760,11.238140,11.787240,12.273340,12.592610,12.735520,12.835140", \ "10.608780,11.432230,11.969320,12.461480,12.770170,12.939920,13.028060", \ "11.237080,11.996580,12.507220,12.977170,13.247540,13.423090,13.528960", \ "12.082190,12.845510,13.412550,13.897470,14.190270,14.324410,14.394980", \ "13.317280,13.976200,14.550900,15.134580,15.499350,15.679320,15.723360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.562420,16.373660,16.824450,17.261210,18.008530,18.187920,18.492040", \ "15.525650,16.345090,16.813140,17.294810,17.985610,18.283880,18.211640", \ "15.485130,16.246920,16.707980,17.283010,17.830500,18.056540,18.367220", \ "15.396590,16.286730,16.676330,17.171700,17.778450,17.941480,18.331670", \ "15.623160,16.417440,16.819230,17.423060,17.988110,18.140990,18.482600", \ "16.110830,17.092830,17.382200,18.028960,18.395630,18.782980,18.978640", \ "16.928380,17.781050,18.251290,18.749200,19.551210,19.755370,19.948340"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.410580,11.258870,11.817390,12.284400,12.607430,12.759520,12.852050", \ "10.372430,11.247330,11.794620,12.299830,12.586290,12.744840,12.839440", \ "10.445900,11.271730,11.804370,12.276640,12.590410,12.752990,12.845170", \ "10.656910,11.448660,11.984870,12.477690,12.797810,12.966110,13.059030", \ "11.298760,12.024580,12.568390,13.017660,13.298330,13.479980,13.596840", \ "12.239540,12.970880,13.486760,13.992170,14.299460,14.416590,14.509360", \ "13.443190,14.111170,14.694850,15.288520,15.661180,15.842110,15.884830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.444770,17.390000,17.795920,18.357410,18.978990,19.098690,19.520320", \ "16.317900,17.373290,17.772420,18.240180,18.922960,19.312110,19.556480", \ "16.426540,17.227920,17.638400,18.172730,18.893880,19.260690,19.426350", \ "16.377190,17.267750,17.676790,18.038470,18.908240,19.223000,19.436540", \ "16.494900,17.323020,17.824010,18.308540,18.768790,19.068540,18.716200", \ "17.080320,17.903750,18.349230,18.791820,19.338320,19.880310,19.239820", \ "17.694230,18.722740,19.076360,19.678950,20.568360,20.897690,20.778440"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.150350,11.912550,12.538420,13.092580,13.466080,13.676580,13.801170", \ "11.028410,11.861260,12.486140,13.029380,13.408950,13.622940,13.753250", \ "11.048350,11.869980,12.435310,12.983950,13.353070,13.563740,13.703690", \ "11.237350,11.985700,12.588550,13.153920,13.515080,13.730810,13.868680", \ "11.772050,12.471820,13.035180,13.585770,13.968040,14.197220,14.344010", \ "12.708960,13.377530,13.941550,14.464970,14.825100,15.005170,15.158350", \ "13.915230,14.552100,15.145910,15.722870,16.123660,16.303880,16.394000"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.816870,16.727660,17.132310,17.680430,18.350680,18.464900,18.501070", \ "15.777700,16.674770,16.985380,17.613730,18.264160,18.457350,18.229510", \ "15.682620,16.570240,16.883440,17.540840,17.937210,18.233950,17.955080", \ "15.628850,16.509720,16.844460,17.420970,18.000980,18.206420,18.365110", \ "15.746030,16.623090,16.970060,17.482220,18.231170,18.535290,17.651230", \ "15.965530,16.839290,17.372090,17.803810,18.630930,18.803050,18.558810", \ "16.292720,17.327660,17.847000,18.483680,19.316770,19.447900,19.162600"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.948020,11.729480,12.349080,12.925460,13.309320,13.537370,13.692670", \ "10.895470,11.668660,12.297210,12.855750,13.259220,13.483430,13.637670", \ "10.837180,11.605740,12.236100,12.791630,13.189500,13.421620,13.575020", \ "11.067060,11.817440,12.408480,12.981640,13.383710,13.601240,13.761560", \ "11.627900,12.376160,12.886390,13.442990,13.827440,14.064020,14.229550", \ "12.543110,13.261380,13.762900,14.333190,14.700420,14.885290,15.050040", \ "13.731870,14.451320,14.980790,15.563560,15.984030,16.199830,16.290960"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.684360,16.599950,17.028730,17.386180,18.152830,18.373160,17.498010", \ "15.685330,16.595960,16.910120,17.384330,18.042690,18.323960,18.594000", \ "15.656630,16.427820,16.854650,17.240580,18.007530,17.982880,18.364040", \ "15.590460,16.366590,16.757220,17.221810,17.705490,17.910330,17.604040", \ "15.672060,16.538660,16.846580,17.317560,17.967910,18.382900,18.269660", \ "15.891950,16.778880,17.208690,17.625160,18.416050,18.374600,18.161030", \ "16.224860,17.288800,17.734940,18.338050,18.755030,18.898500,18.664000"); } } internal_power () { related_pin : "B1"; when : "!A & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.981330,11.732210,12.343650,12.947590,13.341510,13.579430,13.734250", \ "10.853330,11.719400,12.306660,12.879510,13.283710,13.524530,13.676050", \ "10.889180,11.639550,12.245110,12.816450,13.220410,13.461750,13.607440", \ "11.096450,11.887110,12.430480,13.031310,13.416450,13.646550,13.806650", \ "11.653420,12.420610,12.968710,13.495510,13.923430,14.162530,14.318750", \ "12.621160,13.334680,13.882530,14.444890,14.835270,15.019480,15.194010", \ "14.036980,14.605200,15.159910,15.756900,16.196510,16.401980,16.491440"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.737100,17.545450,18.013450,18.561760,19.090050,19.497830,19.652610", \ "16.662440,17.546100,17.892250,18.521360,18.976840,18.734800,19.609700", \ "16.581090,17.385580,17.827740,18.404180,18.872600,19.271500,19.498840", \ "16.523550,17.320500,17.744860,18.350050,18.972900,19.324830,19.438350", \ "16.576510,17.450530,17.803710,18.229900,18.785250,19.368370,18.246070", \ "16.888000,17.765440,18.187980,18.581290,19.080730,18.812910,19.069670", \ "17.168280,18.139980,18.626000,19.283390,19.986810,20.215790,19.561960"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.158860,11.945210,12.511140,13.075130,13.451590,13.679060,13.801200", \ "11.099890,11.887180,12.477650,13.035010,13.406890,13.619990,13.760260", \ "11.059490,11.811590,12.404950,12.971130,13.346190,13.562000,13.708290", \ "11.085640,11.852440,12.453200,13.023970,13.384820,13.599390,13.740720", \ "11.399320,12.132310,12.694740,13.227130,13.603760,13.825160,13.973780", \ "11.937900,12.674600,13.273370,13.814730,14.169340,14.335330,14.481570", \ "12.851900,13.532850,14.096960,14.664210,15.052550,15.254380,15.335730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.586100,17.472150,17.873240,18.432400,19.169950,19.527640,19.194490", \ "16.553510,17.409410,17.839320,18.332330,19.130960,19.449320,19.182700", \ "16.491060,17.425840,17.791080,18.468530,19.062370,19.428090,19.681890", \ "16.500000,17.352680,17.764400,18.285810,19.026850,19.268780,19.078700", \ "16.544970,17.464910,17.823420,18.494810,18.886760,19.037300,19.256950", \ "16.813480,17.806590,18.131890,18.657540,19.592450,19.736140,19.926900", \ "17.227560,18.171200,18.731010,19.349590,20.176110,20.133190,20.255950"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.947310,11.727190,12.334800,12.904870,13.302350,13.543150,13.691840", \ "10.899410,11.669650,12.295880,12.875930,13.252440,13.485320,13.640250", \ "10.837110,11.605620,12.233600,12.804760,13.190840,13.423950,13.573710", \ "10.895070,11.679990,12.274670,12.846760,13.239280,13.473180,13.618500", \ "11.216810,11.976810,12.518030,13.079640,13.457750,13.696090,13.858720", \ "11.825130,12.557440,13.084880,13.666230,14.026250,14.207160,14.368440", \ "12.599540,13.390210,13.940700,14.510940,14.914050,15.130390,15.225700"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.617190,17.429910,17.815310,18.381640,18.970400,19.336190,19.510510", \ "16.569930,17.444130,17.805890,18.405520,18.940760,19.302850,19.481240", \ "16.520390,17.413050,17.771600,18.358190,18.921990,19.137360,19.434830", \ "16.472020,17.297060,17.754920,18.231780,18.853920,18.702310,18.888410", \ "16.560160,17.396780,17.782910,18.290960,18.842930,19.379920,18.942620", \ "16.690960,17.776740,18.173240,18.633200,19.119560,19.752950,19.500240", \ "17.288220,18.140060,18.594940,19.214130,19.964290,20.196130,19.535510"); } } internal_power () { related_pin : "B2"; when : "!A & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.998880,11.779790,12.365420,12.932210,13.341400,13.578890,13.732540", \ "10.946020,11.720650,12.292850,12.884420,13.282040,13.527850,13.677940", \ "10.887360,11.667730,12.255160,12.825320,13.231400,13.457940,13.614320", \ "10.938250,11.740200,12.296730,12.866160,13.276090,13.506650,13.659500", \ "11.239100,12.013800,12.545110,13.109280,13.510660,13.759560,13.910590", \ "11.836320,12.561630,13.156260,13.722000,14.112290,14.291710,14.452740", \ "12.801830,13.453520,14.020450,14.609160,15.040370,15.262300,15.354360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.578680,18.431420,18.833430,19.358240,19.768170,20.481210,20.496530", \ "17.545510,18.367740,18.735230,19.317120,19.746450,20.407880,20.444550", \ "17.383460,18.385070,18.764610,19.268100,19.705980,20.333710,19.848860", \ "17.291340,18.339200,18.747460,19.206140,19.768610,20.293770,20.267550", \ "17.380640,18.327180,18.779930,19.361160,19.928190,20.089200,19.966870", \ "17.737210,18.699060,19.131570,19.705710,20.356540,20.322960,20.258230", \ "18.177910,19.189720,19.551190,20.159460,20.686120,21.168270,21.062870"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.598889,10.459540,10.976480,11.462370,11.762460,11.890590,11.954250", \ "9.656029,10.447660,10.991300,11.479240,11.765640,11.897820,11.963370", \ "9.631812,10.420000,11.007870,11.484330,11.782550,11.917130,11.985230", \ "9.906891,10.704470,11.228830,11.712040,12.015220,12.150320,12.224270", \ "10.467120,11.244620,11.792720,12.256460,12.545180,12.693890,12.770940", \ "11.500710,12.223060,12.721810,13.248840,13.580770,13.691540,13.747710", \ "12.708940,13.412890,13.959910,14.582340,15.012560,15.204560,15.229580"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.595160,14.285940,14.621950,15.281670,15.700990,15.813890,15.513930", \ "13.515850,14.296540,14.559690,15.079820,15.501230,15.860250,15.416400", \ "13.412190,14.240240,14.495200,15.023240,15.598140,15.162140,15.780200", \ "13.632680,14.357810,14.672450,15.302740,15.494390,15.524060,15.413250", \ "14.298790,15.116530,15.486690,15.826910,16.403880,16.439130,16.249710", \ "15.241500,16.047070,16.511410,17.115070,17.622720,17.601430,17.281260", \ "16.454260,17.482060,17.822010,18.275870,18.939210,19.108960,19.332080"); } } internal_power () { related_pin : "C1"; when : "!A & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.629731,10.411770,10.987730,11.474220,11.756350,11.890490,11.955870", \ "9.659869,10.467340,10.986400,11.468250,11.764640,11.898670,11.967220", \ "9.650100,10.449130,11.025000,11.499150,11.796900,11.930790,11.999870", \ "9.901980,10.694440,11.246090,11.736430,12.033300,12.170060,12.239740", \ "10.464410,11.239320,11.787890,12.252370,12.544200,12.694410,12.766490", \ "11.401060,12.126020,12.683780,13.190470,13.528170,13.636120,13.688420", \ "12.565380,13.210830,13.805670,14.422300,14.862740,15.062040,15.087550"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.590680,14.416420,14.792330,15.254500,15.850660,16.347540,16.246200", \ "13.514480,14.419080,14.724710,15.124050,15.667660,16.279380,16.195570", \ "13.445700,14.289860,14.698620,15.059990,15.607690,15.838410,16.247320", \ "13.658320,14.453090,14.785930,15.192710,16.010090,16.238350,16.236630", \ "14.268280,15.025830,15.418240,15.869810,16.600660,16.822710,16.922200", \ "15.184640,16.143030,16.525690,16.914190,17.634110,17.283130,17.432590", \ "16.433710,17.434520,17.846550,18.386040,19.120250,18.969450,19.022560"); } } internal_power () { related_pin : "C1"; when : "!A & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.558291,10.426890,10.974050,11.472930,11.772590,11.903690,11.975500", \ "9.635829,10.436540,10.976740,11.472710,11.775050,11.916170,11.985710", \ "9.668282,10.460240,11.009490,11.511170,11.808040,11.950600,12.018880", \ "9.927865,10.732020,11.261360,11.762970,12.064520,12.209430,12.283490", \ "10.626200,11.307080,11.813010,12.317410,12.614950,12.771730,12.838080", \ "11.529850,12.244960,12.761310,13.309220,13.648640,13.754010,13.819570", \ "12.897020,13.447900,13.966500,14.598040,15.070050,15.260020,15.292740"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.546250,15.350270,15.720630,16.376740,16.927160,16.626200,17.452500", \ "14.484170,15.384600,15.749100,16.116700,16.781770,17.286520,17.400550", \ "14.460840,15.325190,15.655890,16.259390,16.857140,17.117240,17.331020", \ "14.546620,15.349310,15.771550,16.379420,16.922380,17.130080,16.317200", \ "15.055940,15.919250,16.335860,16.769110,17.123610,17.601950,17.075200", \ "15.994540,17.014170,17.383520,17.961350,18.596700,18.893920,18.424440", \ "17.302710,18.242490,18.682420,19.232950,19.895620,20.239080,19.998840"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.603058,10.411330,10.979550,11.473510,11.759090,11.892290,11.961930", \ "9.609681,10.406380,10.991210,11.475310,11.778630,11.900510,11.960770", \ "9.581450,10.431530,10.954920,11.436860,11.734110,11.873650,11.933200", \ "9.678976,10.456270,11.020300,11.499110,11.808230,11.947010,12.000460", \ "10.082340,10.808230,11.361990,11.858050,12.120660,12.266790,12.329900", \ "10.758360,11.495520,12.031290,12.563990,12.871510,12.969990,13.019180", \ "11.636750,12.311170,12.890060,13.508480,13.927020,14.120200,14.141900"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.281990,15.093380,15.554840,16.117160,16.482490,16.245440,16.089740", \ "14.271200,15.103630,15.434090,15.890110,16.127880,16.727930,16.684880", \ "14.185530,14.982540,15.377450,16.000180,16.430290,16.728220,16.273160", \ "14.421200,15.236200,15.546480,16.013680,16.698380,16.724390,16.612170", \ "15.093860,15.890320,16.257070,16.827340,17.358040,17.217040,17.528360", \ "16.092720,16.955970,17.381350,17.804010,18.488330,18.384960,18.461030", \ "17.340520,18.290980,18.733320,19.307280,19.941150,20.107560,20.051800"); } } internal_power () { related_pin : "C2"; when : "!A & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.597664,10.415790,10.987240,11.471280,11.758050,11.902220,11.961850", \ "9.616843,10.459310,10.998110,11.483140,11.766130,11.902060,11.962640", \ "9.596479,10.437170,10.981530,11.457150,11.746930,11.882380,11.946250", \ "9.727450,10.495820,11.020490,11.522900,11.825680,11.966150,12.020600", \ "10.104300,10.873950,11.378160,11.866510,12.132000,12.271850,12.338920", \ "10.723440,11.441040,11.996080,12.527620,12.831160,12.940500,12.986630", \ "11.468100,12.212560,12.795140,13.400520,13.824180,14.019970,14.047650"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.492020,15.315410,15.648540,16.272730,16.907930,17.125740,17.362190", \ "14.397060,15.192490,15.619980,16.216240,16.722880,17.168660,17.302220", \ "14.351140,15.241110,15.588690,16.134660,16.321920,17.024930,17.272040", \ "14.464180,15.336870,15.805220,16.353460,16.890220,16.876360,17.402100", \ "14.915710,15.889520,16.279730,16.826410,17.209720,17.628120,17.840570", \ "15.984010,17.027300,17.448500,17.853990,18.519500,18.573530,18.625890", \ "17.290260,18.338110,18.738390,19.292900,19.988550,20.362570,19.617370"); } } internal_power () { related_pin : "C2"; when : "!A & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.628612,10.428250,10.973840,11.461240,11.784570,11.912290,11.976440", \ "9.608506,10.417470,10.958070,11.482010,11.783650,11.916330,11.985250", \ "9.617892,10.427720,10.938090,11.461720,11.761190,11.900520,11.968630", \ "9.684171,10.493050,11.026950,11.536730,11.845020,11.987190,12.051870", \ "10.128110,10.876520,11.368570,11.888710,12.180440,12.324240,12.389810", \ "10.769050,11.456890,12.029730,12.573450,12.896230,13.011650,13.062950", \ "11.675140,12.344730,12.876800,13.491730,13.931140,14.123810,14.156730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.423040,16.229470,16.625570,17.151430,17.651980,17.933070,17.974650", \ "15.348150,16.164180,16.650350,17.153630,17.452340,17.736270,17.929130", \ "15.290920,16.210690,16.525600,17.105020,17.847980,18.195150,17.780310", \ "15.471630,16.365950,16.749470,17.178590,17.900340,17.763640,17.830170", \ "15.865230,16.740750,17.175120,17.738050,18.353930,18.478410,18.359900", \ "16.923170,17.856240,18.185310,18.704130,19.356730,19.869520,19.891510", \ "18.231260,19.204960,19.602890,20.097410,20.477690,20.983670,21.437890"); } } } } /****************************************************************************************** Module : AOI222_X1 Cell Description : Combinational cell (AOI222_X1) with drive strength X1 *******************************************************************************************/ cell (AOI222_X1) { drive_strength : 1; area : 2.128000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 47.398844; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 10.446689; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 31.761840; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 11.049171; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 32.355532; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 31.761840; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 53.075110; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 32.364201; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 46.946757; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 11.049171; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 32.364202; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 11.651861; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 46.943127; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 32.819237; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 47.439326; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 47.435564; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 59.102247; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 31.761840; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 53.075110; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 32.364311; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 47.029741; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 53.075220; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 74.386070; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 53.677471; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 61.645111; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 32.364312; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 53.677472; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 32.967113; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 61.641360; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 47.384601; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 62.004712; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 62.000961; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 73.847092; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 11.049170; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 32.364310; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 11.651860; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 47.025891; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 32.364310; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 53.677472; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 32.967110; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 61.641360; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 11.651860; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 32.967112; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 12.254881; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 61.635200; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 47.380729; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 62.000851; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 61.994559; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 73.844233; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 37.518481; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 52.137228; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 52.132740; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 59.177190; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 52.137272; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 66.756041; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 66.751553; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 73.869372; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 52.132795; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 66.751553; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 66.744436; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 73.866511; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 59.321584; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 73.941926; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 73.939063; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 88.409023; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.636678; fall_capacitance : 1.402766; rise_capacitance : 1.636678; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.695263; fall_capacitance : 1.399063; rise_capacitance : 1.695263; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.579130; fall_capacitance : 1.474216; rise_capacitance : 1.579130; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.621925; fall_capacitance : 1.450570; rise_capacitance : 1.621925; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.547208; fall_capacitance : 1.532896; rise_capacitance : 1.547208; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.586715; fall_capacitance : 1.500883; rise_capacitance : 1.586715; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 13.008100; function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008528,0.009163,0.010304,0.012363,0.016076,0.022782,0.034918", \ "0.009834,0.010475,0.011632,0.013715,0.017456,0.024193,0.036354", \ "0.013799,0.014672,0.016165,0.018639,0.022572,0.029260,0.041397", \ "0.016172,0.017437,0.019621,0.023246,0.029065,0.038042,0.051407", \ "0.016078,0.017764,0.020661,0.025449,0.033134,0.045040,0.062844", \ "0.013271,0.015390,0.019001,0.024960,0.034532,0.049354,0.071568", \ "0.007526,0.010020,0.014335,0.021477,0.032951,0.050748,0.077382"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.016709,0.018263,0.021066,0.026133,0.035249,0.051634,0.081164", \ "0.017556,0.019101,0.021922,0.027041,0.036258,0.052786,0.082460", \ "0.023452,0.024817,0.027378,0.032203,0.041187,0.057589,0.087265", \ "0.033564,0.035447,0.038694,0.044146,0.052998,0.068638,0.097780", \ "0.044690,0.047062,0.051114,0.057984,0.069307,0.087288,0.115844", \ "0.057550,0.060335,0.065069,0.073149,0.086586,0.108204,0.141690", \ "0.072332,0.075487,0.080954,0.090170,0.105490,0.130323,0.169304"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005188,0.005724,0.006694,0.008456,0.011649,0.017437,0.027936", \ "0.005190,0.005724,0.006693,0.008453,0.011649,0.017437,0.027935", \ "0.007601,0.008011,0.008736,0.009922,0.012300,0.017465,0.027936", \ "0.012405,0.012958,0.013898,0.015502,0.018126,0.022359,0.029825", \ "0.018852,0.019535,0.020697,0.022689,0.025963,0.031165,0.039267", \ "0.026975,0.027804,0.029227,0.031636,0.035547,0.041744,0.051376", \ "0.036771,0.037800,0.039543,0.042394,0.047025,0.054249,0.065363"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.014165,0.015656,0.018306,0.023043,0.031469,0.046469,0.073539", \ "0.013973,0.015485,0.018190,0.022976,0.031420,0.046463,0.073528", \ "0.014020,0.015290,0.017721,0.022487,0.031222,0.046417,0.073520", \ "0.019269,0.020401,0.022390,0.025619,0.032302,0.046120,0.073478", \ "0.025462,0.026797,0.029147,0.033226,0.040020,0.051150,0.074181", \ "0.032727,0.034218,0.036865,0.041532,0.049445,0.062266,0.082556", \ "0.041530,0.043133,0.045991,0.051054,0.059829,0.074388,0.097380"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008528,0.009161,0.010304,0.012362,0.016074,0.022781,0.034915", \ "0.009837,0.010480,0.011636,0.013718,0.017460,0.024196,0.036357", \ "0.013868,0.014737,0.016225,0.018692,0.022616,0.029301,0.041437", \ "0.016272,0.017538,0.019720,0.023347,0.029164,0.038128,0.051476", \ "0.015919,0.017612,0.020542,0.025372,0.033107,0.045071,0.062903", \ "0.012422,0.014586,0.018247,0.024323,0.034058,0.049065,0.071450", \ "0.005548,0.008109,0.012554,0.019877,0.031641,0.049796,0.076813"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.018219,0.020107,0.023569,0.029944,0.041562,0.062524,0.100319", \ "0.018930,0.020802,0.024262,0.030675,0.042410,0.063563,0.101530", \ "0.024915,0.026540,0.029642,0.035618,0.046994,0.067997,0.105995", \ "0.036406,0.038494,0.042119,0.048246,0.058526,0.078565,0.115934", \ "0.049213,0.051854,0.056378,0.064093,0.076874,0.097387,0.133229", \ "0.064016,0.067106,0.072371,0.081420,0.096575,0.121087,0.159461", \ "0.080996,0.084486,0.090542,0.100831,0.118056,0.146145,0.190554"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005191,0.005724,0.006695,0.008455,0.011647,0.017437,0.027937", \ "0.005188,0.005721,0.006692,0.008453,0.011649,0.017436,0.027934", \ "0.007571,0.007985,0.008707,0.009897,0.012286,0.017462,0.027937", \ "0.012337,0.012890,0.013840,0.015446,0.018080,0.022323,0.029805", \ "0.018752,0.019446,0.020620,0.022634,0.025927,0.031143,0.039236", \ "0.026879,0.027719,0.029162,0.031626,0.035572,0.041803,0.051422", \ "0.036698,0.037754,0.039539,0.042469,0.047171,0.054451,0.065573"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.017599,0.019642,0.023265,0.029629,0.040679,0.059961,0.094239", \ "0.017204,0.019278,0.022970,0.029441,0.040597,0.059934,0.094227", \ "0.016632,0.018444,0.021889,0.028562,0.040165,0.059846,0.094225", \ "0.021661,0.023088,0.025364,0.030028,0.039704,0.059063,0.094159", \ "0.028055,0.029628,0.032446,0.037394,0.045768,0.061249,0.093490", \ "0.035416,0.037186,0.040295,0.045817,0.055278,0.070925,0.098226", \ "0.044158,0.046044,0.049408,0.055375,0.065751,0.083116,0.111055"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008711,0.009346,0.010489,0.012551,0.016269,0.022987,0.035144", \ "0.010022,0.010665,0.011824,0.013909,0.017656,0.024404,0.036586", \ "0.014137,0.014994,0.016467,0.018912,0.022810,0.029508,0.041665", \ "0.016701,0.017952,0.020104,0.023699,0.029477,0.038401,0.051706", \ "0.016565,0.018230,0.021119,0.025900,0.033578,0.045473,0.063250", \ "0.013334,0.015463,0.019082,0.025077,0.034727,0.049643,0.071944", \ "0.006934,0.009386,0.013731,0.020948,0.032578,0.050594,0.077490"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024855,0.026822,0.030394,0.036865,0.048549,0.069557,0.107395", \ "0.025622,0.027593,0.031183,0.037708,0.049491,0.070652,0.108643", \ "0.030867,0.032704,0.036103,0.042404,0.054003,0.075087,0.113121", \ "0.043403,0.045307,0.048660,0.054328,0.065063,0.085477,0.122982", \ "0.058039,0.060453,0.064659,0.071891,0.084003,0.103767,0.140107", \ "0.074343,0.077152,0.082137,0.090660,0.105086,0.128686,0.166017", \ "0.092719,0.095914,0.101569,0.111333,0.127782,0.154914,0.198198"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006476,0.007078,0.008156,0.010058,0.013384,0.019247,0.029769", \ "0.006475,0.007079,0.008157,0.010058,0.013384,0.019246,0.029764", \ "0.009218,0.009613,0.010273,0.011464,0.013998,0.019269,0.029769", \ "0.015290,0.015721,0.016495,0.017864,0.020207,0.024176,0.031608", \ "0.023138,0.023627,0.024493,0.026089,0.028900,0.033615,0.041251", \ "0.032836,0.033396,0.034399,0.036261,0.039505,0.045013,0.053978", \ "0.044367,0.045072,0.046261,0.048416,0.052187,0.058488,0.068739"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022058,0.024026,0.027526,0.033720,0.044601,0.063819,0.098223", \ "0.021817,0.023818,0.027363,0.033617,0.044575,0.063819,0.098231", \ "0.020750,0.022844,0.026557,0.033064,0.044308,0.063759,0.098224", \ "0.023590,0.024981,0.027705,0.033055,0.043376,0.063278,0.098164", \ "0.030264,0.031867,0.034697,0.039641,0.047949,0.064361,0.097503", \ "0.037607,0.039435,0.042633,0.048209,0.057634,0.073157,0.101269", \ "0.046138,0.048122,0.051638,0.057786,0.068273,0.085583,0.113310"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008537,0.009170,0.010313,0.012371,0.016084,0.022790,0.034924", \ "0.009871,0.010515,0.011671,0.013753,0.017495,0.024231,0.036393", \ "0.013905,0.014775,0.016263,0.018729,0.022650,0.029338,0.041474", \ "0.016234,0.017503,0.019699,0.023331,0.029163,0.038135,0.051488", \ "0.015793,0.017505,0.020444,0.025300,0.033058,0.045038,0.062888", \ "0.012266,0.014418,0.018117,0.024207,0.033982,0.049017,0.071420", \ "0.005366,0.007946,0.012404,0.019754,0.031554,0.049736,0.076775"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020599,0.022595,0.026197,0.032678,0.044297,0.065173,0.102812", \ "0.021096,0.023103,0.026739,0.033305,0.045082,0.066144,0.103954", \ "0.026452,0.028252,0.031614,0.037889,0.049438,0.070390,0.108239", \ "0.037700,0.039856,0.043572,0.049863,0.060507,0.080775,0.118017", \ "0.050262,0.052956,0.057555,0.065403,0.078377,0.099112,0.135219", \ "0.064794,0.067943,0.073290,0.082450,0.097772,0.122528,0.161186", \ "0.081628,0.085148,0.091247,0.101639,0.119010,0.147322,0.192005"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005189,0.005724,0.006694,0.008453,0.011648,0.017437,0.027934", \ "0.005189,0.005721,0.006692,0.008454,0.011648,0.017436,0.027936", \ "0.007552,0.007967,0.008692,0.009884,0.012277,0.017459,0.027935", \ "0.012353,0.012905,0.013852,0.015456,0.018083,0.022318,0.029800", \ "0.018839,0.019537,0.020698,0.022698,0.025973,0.031156,0.039239", \ "0.027046,0.027887,0.029329,0.031764,0.035681,0.041865,0.051445", \ "0.036938,0.037994,0.039772,0.042667,0.047339,0.054579,0.065639"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.018492,0.020362,0.023686,0.029599,0.040147,0.059018,0.093111", \ "0.018273,0.020184,0.023569,0.029546,0.040130,0.059019,0.093111", \ "0.017611,0.019402,0.022817,0.029142,0.039988,0.058996,0.093104", \ "0.022125,0.023533,0.025806,0.030480,0.039879,0.058727,0.093090", \ "0.028227,0.029821,0.032670,0.037650,0.045940,0.061137,0.092850", \ "0.035434,0.037211,0.040348,0.045917,0.055410,0.070988,0.097861", \ "0.044050,0.045944,0.049333,0.055355,0.065786,0.083171,0.110953"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008536,0.009170,0.010311,0.012369,0.016082,0.022788,0.034922", \ "0.009874,0.010517,0.011674,0.013756,0.017496,0.024232,0.036392", \ "0.013957,0.014823,0.016306,0.018767,0.022680,0.029367,0.041500", \ "0.016322,0.017593,0.019786,0.023420,0.029242,0.038204,0.051540", \ "0.015704,0.017418,0.020371,0.025263,0.033057,0.045074,0.062939", \ "0.011618,0.013822,0.017558,0.023742,0.033628,0.048803,0.071333", \ "0.003823,0.006449,0.011008,0.018501,0.030525,0.048993,0.076325"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022333,0.024711,0.029051,0.036912,0.051092,0.076572,0.122468", \ "0.022668,0.025039,0.029396,0.037353,0.051706,0.077420,0.123539", \ "0.028029,0.030139,0.034134,0.041691,0.055757,0.081342,0.127527", \ "0.040343,0.042708,0.046800,0.053669,0.066573,0.091356,0.136850", \ "0.054412,0.057375,0.062445,0.071102,0.085511,0.109169,0.153478", \ "0.070609,0.074070,0.079956,0.090074,0.107045,0.134642,0.178656", \ "0.089351,0.093199,0.099879,0.111316,0.130523,0.162028,0.212023"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005187,0.005725,0.006694,0.008456,0.011650,0.017437,0.027936", \ "0.005189,0.005723,0.006694,0.008454,0.011649,0.017438,0.027937", \ "0.007530,0.007949,0.008673,0.009868,0.012270,0.017458,0.027937", \ "0.012296,0.012850,0.013800,0.015402,0.018041,0.022292,0.029778", \ "0.018722,0.019434,0.020620,0.022634,0.025924,0.031134,0.039218", \ "0.026915,0.027773,0.029232,0.031707,0.035676,0.041891,0.051471", \ "0.036812,0.037893,0.039692,0.042655,0.047397,0.054693,0.065781"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022386,0.024789,0.029036,0.036465,0.049454,0.072399,0.113660", \ "0.021968,0.024439,0.028780,0.036337,0.049397,0.072413,0.113670", \ "0.020794,0.023154,0.027675,0.035661,0.049165,0.072390,0.113660", \ "0.024610,0.026196,0.029370,0.035693,0.048120,0.072051,0.113635", \ "0.030866,0.032731,0.036098,0.042075,0.052207,0.072402,0.113345", \ "0.038140,0.040168,0.043802,0.050265,0.061410,0.079950,0.115212", \ "0.046688,0.048872,0.052763,0.059698,0.071770,0.092075,0.125243"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008720,0.009354,0.010497,0.012558,0.016277,0.022994,0.035150", \ "0.010059,0.010702,0.011860,0.013945,0.017692,0.024439,0.036621", \ "0.014222,0.015078,0.016546,0.018985,0.022876,0.029573,0.041728", \ "0.016752,0.018006,0.020173,0.023773,0.029553,0.038474,0.051769", \ "0.016338,0.018042,0.020953,0.025792,0.033530,0.045477,0.063285", \ "0.012540,0.014710,0.018395,0.024508,0.034308,0.049386,0.071831", \ "0.005187,0.007727,0.012199,0.019596,0.031477,0.049799,0.077007"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030716,0.033145,0.037532,0.045436,0.059635,0.085152,0.131122", \ "0.031173,0.033619,0.038045,0.046033,0.060374,0.086062,0.132232", \ "0.035792,0.038116,0.042377,0.050176,0.064361,0.090003,0.136225", \ "0.048305,0.050478,0.054180,0.061277,0.074795,0.099807,0.145451", \ "0.064363,0.067056,0.071776,0.079906,0.093634,0.117284,0.161872", \ "0.082212,0.085321,0.090922,0.100461,0.116655,0.143253,0.186814", \ "0.102372,0.105914,0.112180,0.123067,0.141473,0.171888,0.220676"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006475,0.007080,0.008157,0.010059,0.013384,0.019249,0.029768", \ "0.006476,0.007079,0.008157,0.010058,0.013384,0.019246,0.029766", \ "0.009174,0.009572,0.010231,0.011435,0.013980,0.019265,0.029768", \ "0.015249,0.015676,0.016448,0.017813,0.020169,0.024144,0.031583", \ "0.023129,0.023612,0.024502,0.026098,0.028904,0.033598,0.041232", \ "0.032932,0.033479,0.034503,0.036374,0.039618,0.045109,0.054031", \ "0.044573,0.045308,0.046486,0.048664,0.052442,0.058740,0.068952"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027575,0.029877,0.033979,0.041245,0.054126,0.077129,0.118566", \ "0.027381,0.029721,0.033867,0.041189,0.054117,0.077145,0.118573", \ "0.026460,0.028921,0.033265,0.040845,0.054002,0.077108,0.118537", \ "0.027440,0.029406,0.033062,0.039952,0.052982,0.076977,0.118521", \ "0.033742,0.035664,0.039075,0.044891,0.055570,0.076650,0.118345", \ "0.040980,0.043099,0.046793,0.053303,0.064443,0.083060,0.119353", \ "0.049357,0.051637,0.055692,0.062784,0.074926,0.095184,0.128517"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008720,0.009354,0.010498,0.012559,0.016278,0.022996,0.035152", \ "0.010056,0.010699,0.011858,0.013943,0.017690,0.024438,0.036620", \ "0.014172,0.015030,0.016503,0.018948,0.022844,0.029544,0.041701", \ "0.016662,0.017920,0.020085,0.023690,0.029476,0.038408,0.051717", \ "0.016439,0.018120,0.021027,0.025829,0.033531,0.045444,0.063237", \ "0.013186,0.015313,0.018948,0.024971,0.034652,0.049597,0.071915", \ "0.006684,0.009229,0.013577,0.020832,0.032496,0.050536,0.077453"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027512,0.029517,0.033127,0.039613,0.051252,0.072166,0.109863", \ "0.028149,0.030170,0.033815,0.040372,0.052124,0.073185,0.111039", \ "0.032938,0.034870,0.038393,0.044806,0.056434,0.077434,0.115333", \ "0.044894,0.046853,0.050286,0.056128,0.067185,0.087647,0.125022", \ "0.059253,0.061695,0.065976,0.073307,0.085593,0.105630,0.142046", \ "0.075279,0.078126,0.083170,0.091790,0.106363,0.130175,0.167769", \ "0.093448,0.096670,0.102373,0.112222,0.128803,0.156129,0.199656"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006475,0.007080,0.008158,0.010058,0.013384,0.019249,0.029766", \ "0.006475,0.007079,0.008157,0.010059,0.013384,0.019247,0.029765", \ "0.009198,0.009595,0.010253,0.011450,0.013989,0.019267,0.029767", \ "0.015309,0.015738,0.016503,0.017866,0.020212,0.024171,0.031607", \ "0.023233,0.023729,0.024583,0.026164,0.028945,0.033630,0.041260", \ "0.033073,0.033581,0.034578,0.036415,0.039613,0.045081,0.054004", \ "0.044701,0.045316,0.046519,0.048635,0.052360,0.058614,0.068801"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022362,0.024193,0.027477,0.033363,0.043894,0.062861,0.097102", \ "0.022275,0.024123,0.027433,0.033338,0.043895,0.062865,0.097088", \ "0.021671,0.023620,0.027077,0.033153,0.043838,0.062881,0.097073", \ "0.024006,0.025433,0.028156,0.033362,0.043376,0.062750,0.097054", \ "0.030459,0.032080,0.034922,0.039873,0.048091,0.064127,0.096777", \ "0.037638,0.039493,0.042711,0.048310,0.057753,0.073130,0.100801", \ "0.046031,0.048057,0.051597,0.057781,0.068298,0.085604,0.113132"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008720,0.009354,0.010497,0.012558,0.016277,0.022994,0.035150", \ "0.010059,0.010702,0.011860,0.013945,0.017692,0.024439,0.036621", \ "0.014222,0.015078,0.016546,0.018985,0.022876,0.029573,0.041728", \ "0.016752,0.018006,0.020173,0.023773,0.029553,0.038474,0.051769", \ "0.016338,0.018042,0.020953,0.025792,0.033530,0.045477,0.063285", \ "0.012540,0.014710,0.018395,0.024508,0.034308,0.049386,0.071831", \ "0.005187,0.007727,0.012199,0.019596,0.031477,0.049799,0.077007"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030716,0.033145,0.037532,0.045436,0.059635,0.085152,0.131122", \ "0.031173,0.033619,0.038045,0.046033,0.060374,0.086062,0.132232", \ "0.035792,0.038116,0.042377,0.050176,0.064361,0.090003,0.136225", \ "0.048305,0.050478,0.054180,0.061277,0.074795,0.099807,0.145451", \ "0.064363,0.067056,0.071776,0.079906,0.093634,0.117284,0.161872", \ "0.082212,0.085321,0.090922,0.100461,0.116655,0.143253,0.186814", \ "0.102372,0.105914,0.112180,0.123067,0.141473,0.171888,0.220676"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006475,0.007080,0.008157,0.010059,0.013384,0.019249,0.029768", \ "0.006476,0.007079,0.008157,0.010058,0.013384,0.019246,0.029766", \ "0.009174,0.009572,0.010231,0.011435,0.013980,0.019265,0.029768", \ "0.015249,0.015676,0.016448,0.017813,0.020169,0.024144,0.031583", \ "0.023129,0.023612,0.024502,0.026098,0.028904,0.033598,0.041232", \ "0.032932,0.033479,0.034503,0.036374,0.039618,0.045109,0.054031", \ "0.044573,0.045308,0.046486,0.048664,0.052442,0.058740,0.068952"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027575,0.029877,0.033979,0.041245,0.054126,0.077129,0.118566", \ "0.027381,0.029721,0.033867,0.041189,0.054117,0.077145,0.118573", \ "0.026460,0.028921,0.033265,0.040845,0.054002,0.077108,0.118537", \ "0.027440,0.029406,0.033062,0.039952,0.052982,0.076977,0.118521", \ "0.033742,0.035664,0.039075,0.044891,0.055570,0.076650,0.118345", \ "0.040980,0.043099,0.046793,0.053303,0.064443,0.083060,0.119353", \ "0.049357,0.051637,0.055692,0.062784,0.074926,0.095184,0.128517"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008905,0.009539,0.010684,0.012748,0.016473,0.023203,0.035381", \ "0.010246,0.010890,0.012048,0.014136,0.017889,0.024648,0.036851", \ "0.014487,0.015332,0.016784,0.019203,0.023070,0.029782,0.041959", \ "0.017180,0.018418,0.020557,0.024124,0.029866,0.038746,0.052001", \ "0.016994,0.018671,0.021541,0.026319,0.033999,0.045882,0.063634", \ "0.013519,0.015646,0.019258,0.025279,0.034981,0.049969,0.072326", \ "0.006608,0.009182,0.013460,0.020719,0.032450,0.050622,0.077700"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.039277,0.041709,0.046104,0.054013,0.068217,0.093747,0.139796", \ "0.039867,0.042325,0.046753,0.054726,0.069042,0.094722,0.140915", \ "0.044159,0.046555,0.050907,0.058782,0.073013,0.098670,0.144937", \ "0.055657,0.057823,0.061898,0.069376,0.083169,0.108317,0.154073", \ "0.073624,0.076135,0.080603,0.088307,0.101390,0.125531,0.170326", \ "0.093035,0.095993,0.101287,0.110389,0.125886,0.151658,0.195063", \ "0.114645,0.117994,0.123914,0.134383,0.152026,0.181511,0.229157"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008580,0.009147,0.010166,0.011989,0.015246,0.021081,0.031602", \ "0.008580,0.009147,0.010164,0.011988,0.015248,0.021081,0.031603", \ "0.011287,0.011548,0.012118,0.013314,0.015817,0.021095,0.031605", \ "0.018083,0.018414,0.019031,0.020182,0.022271,0.025946,0.033398", \ "0.027055,0.027399,0.028067,0.029346,0.031750,0.036008,0.043220", \ "0.038159,0.038520,0.039252,0.040677,0.043356,0.048225,0.056547", \ "0.051323,0.051694,0.052569,0.054155,0.057191,0.062668,0.072075"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032421,0.034667,0.038705,0.045916,0.058794,0.081900,0.123502", \ "0.032332,0.034599,0.038657,0.045895,0.058783,0.081897,0.123476", \ "0.031845,0.034189,0.038355,0.045722,0.058740,0.081863,0.123439", \ "0.031303,0.033445,0.037365,0.044624,0.058196,0.081805,0.123427", \ "0.036906,0.038828,0.042083,0.047937,0.059295,0.081127,0.123291", \ "0.044248,0.046340,0.050013,0.056493,0.067543,0.086443,0.123684", \ "0.052686,0.054973,0.059025,0.066112,0.078200,0.098300,0.131954"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009855,0.010483,0.011621,0.013674,0.017380,0.024081,0.036214", \ "0.011193,0.011839,0.012998,0.015081,0.018822,0.025556,0.037715", \ "0.014455,0.015223,0.016565,0.018887,0.022834,0.029639,0.041868", \ "0.017238,0.018321,0.020178,0.023275,0.028293,0.036331,0.049433", \ "0.017896,0.019381,0.021919,0.026107,0.032780,0.043051,0.058658", \ "0.015846,0.017750,0.021032,0.026428,0.034980,0.048013,0.067299", \ "0.010797,0.013160,0.017217,0.023853,0.034366,0.050379,0.073886"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021338,0.022876,0.025660,0.030690,0.039759,0.056112,0.085638", \ "0.022301,0.023851,0.026659,0.031729,0.040860,0.057282,0.086877", \ "0.027973,0.029435,0.032122,0.037045,0.046046,0.062384,0.091943", \ "0.039676,0.041354,0.044302,0.049330,0.057796,0.073607,0.102701", \ "0.052772,0.054884,0.058595,0.064953,0.075557,0.092653,0.120907", \ "0.067539,0.070025,0.074423,0.081928,0.094541,0.115114,0.147408", \ "0.084490,0.087298,0.092324,0.100867,0.115277,0.138915,0.176480"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005187,0.005721,0.006695,0.008454,0.011648,0.017437,0.027937", \ "0.005189,0.005725,0.006694,0.008456,0.011650,0.017436,0.027938", \ "0.006287,0.006751,0.007601,0.009112,0.011963,0.017459,0.027937", \ "0.009490,0.009944,0.010757,0.012206,0.014834,0.019711,0.028874", \ "0.014297,0.014836,0.015765,0.017375,0.020087,0.024793,0.033367", \ "0.020374,0.021024,0.022126,0.024022,0.027139,0.032231,0.040780", \ "0.027601,0.028361,0.029660,0.031901,0.035577,0.041394,0.050606"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.017190,0.018655,0.021286,0.025982,0.034386,0.049469,0.076574", \ "0.017084,0.018570,0.021217,0.025949,0.034379,0.049453,0.076589", \ "0.016609,0.018044,0.020769,0.025667,0.034265,0.049449,0.076578", \ "0.020738,0.021848,0.023696,0.027365,0.034620,0.049097,0.076549", \ "0.026884,0.028256,0.030638,0.034709,0.041462,0.053048,0.076877", \ "0.033543,0.035182,0.037999,0.042835,0.050860,0.063678,0.084359", \ "0.040984,0.042854,0.046039,0.051576,0.060801,0.075663,0.098722"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009854,0.010483,0.011621,0.013673,0.017379,0.024081,0.036212", \ "0.011197,0.011844,0.013003,0.015086,0.018825,0.025560,0.037718", \ "0.014513,0.015278,0.016619,0.018937,0.022879,0.029682,0.041907", \ "0.017379,0.018458,0.020310,0.023399,0.028404,0.036427,0.049517", \ "0.017981,0.019463,0.022001,0.026198,0.032881,0.043159,0.058761", \ "0.015577,0.017501,0.020807,0.026248,0.034858,0.047986,0.067333", \ "0.009788,0.012189,0.016312,0.023067,0.033751,0.049962,0.073673"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023981,0.025904,0.029410,0.035798,0.047384,0.068311,0.106086", \ "0.024801,0.026731,0.030258,0.036689,0.048349,0.069365,0.107227", \ "0.030438,0.032244,0.035586,0.041802,0.053277,0.074198,0.112020", \ "0.043247,0.045134,0.048455,0.054104,0.064726,0.084993,0.122289", \ "0.058242,0.060628,0.064787,0.071957,0.083974,0.103634,0.139780", \ "0.075099,0.077879,0.082776,0.091228,0.105513,0.128923,0.166033", \ "0.094235,0.097389,0.102982,0.112625,0.128881,0.155740,0.198671"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005186,0.005721,0.006693,0.008456,0.011648,0.017437,0.027936", \ "0.005187,0.005723,0.006694,0.008454,0.011648,0.017439,0.027938", \ "0.006276,0.006737,0.007592,0.009104,0.011958,0.017457,0.027936", \ "0.009436,0.009893,0.010707,0.012165,0.014793,0.019689,0.028864", \ "0.014186,0.014732,0.015675,0.017292,0.020031,0.024749,0.033336", \ "0.020208,0.020862,0.021991,0.023910,0.027081,0.032198,0.040746", \ "0.027398,0.028199,0.029529,0.031811,0.035522,0.041391,0.050631"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021920,0.023898,0.027417,0.033639,0.044552,0.063810,0.098196", \ "0.021669,0.023677,0.027243,0.033528,0.044516,0.063815,0.098185", \ "0.020659,0.022729,0.026441,0.032977,0.044253,0.063733,0.098194", \ "0.023608,0.025024,0.027755,0.033084,0.043374,0.063257,0.098139", \ "0.030061,0.031692,0.034544,0.039518,0.047917,0.064378,0.097479", \ "0.036982,0.038877,0.042141,0.047816,0.057348,0.072976,0.101224", \ "0.044570,0.046698,0.050384,0.056770,0.067539,0.085109,0.113029"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010037,0.010667,0.011806,0.013861,0.017574,0.024287,0.036440", \ "0.011384,0.012029,0.013190,0.015275,0.019022,0.025766,0.037947", \ "0.014738,0.015499,0.016834,0.019146,0.023078,0.029889,0.042136", \ "0.017717,0.018784,0.020617,0.023679,0.028660,0.036667,0.049756", \ "0.018482,0.019948,0.022453,0.026609,0.033243,0.043473,0.059047", \ "0.016288,0.018185,0.021446,0.026827,0.035365,0.048412,0.067702", \ "0.010779,0.013130,0.017200,0.023869,0.034438,0.050546,0.074161"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030775,0.032743,0.036303,0.042751,0.054383,0.075341,0.113169", \ "0.031646,0.033624,0.037211,0.043694,0.055387,0.076422,0.114313", \ "0.036916,0.038828,0.042316,0.048687,0.060274,0.081242,0.119115", \ "0.049670,0.051432,0.054508,0.060358,0.071414,0.091897,0.129295", \ "0.066329,0.068559,0.072494,0.079268,0.090763,0.110181,0.146637", \ "0.084649,0.087234,0.091931,0.099940,0.113610,0.136226,0.172617", \ "0.105078,0.108053,0.113325,0.122550,0.138151,0.164179,0.206095"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006476,0.007078,0.008156,0.010058,0.013383,0.019250,0.029768", \ "0.006475,0.007079,0.008157,0.010058,0.013384,0.019248,0.029768", \ "0.007745,0.008246,0.009128,0.010693,0.013684,0.019264,0.029767", \ "0.011599,0.012006,0.012751,0.014139,0.016709,0.021537,0.030685", \ "0.017380,0.017790,0.018540,0.019897,0.022345,0.026843,0.035252", \ "0.024562,0.025024,0.025860,0.027365,0.030066,0.034705,0.042895", \ "0.033038,0.033599,0.034525,0.036242,0.039316,0.044499,0.053145"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026115,0.028044,0.031482,0.037601,0.048441,0.067705,0.102203", \ "0.025968,0.027916,0.031388,0.037546,0.048419,0.067680,0.102181", \ "0.025268,0.027289,0.030876,0.037210,0.048269,0.067643,0.102163", \ "0.026094,0.027737,0.030800,0.036520,0.047286,0.067369,0.102128", \ "0.032539,0.034150,0.036959,0.041843,0.050523,0.067683,0.101655", \ "0.039783,0.041638,0.044837,0.050405,0.059806,0.075284,0.104383", \ "0.047576,0.049679,0.053332,0.059639,0.070270,0.087657,0.115412"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009863,0.010491,0.011629,0.013682,0.017388,0.024089,0.036221", \ "0.011232,0.011878,0.013037,0.015119,0.018859,0.025594,0.037752", \ "0.014554,0.015319,0.016660,0.018979,0.022918,0.029722,0.041947", \ "0.017371,0.018454,0.020313,0.023407,0.028418,0.036447,0.049537", \ "0.017884,0.019378,0.021935,0.026151,0.032852,0.043146,0.058761", \ "0.015395,0.017335,0.020664,0.026135,0.034784,0.047937,0.067311", \ "0.009545,0.011957,0.016111,0.022906,0.033631,0.049878,0.073633"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026627,0.028595,0.032149,0.038561,0.050112,0.070952,0.108568", \ "0.027317,0.029305,0.032897,0.039369,0.051008,0.071937,0.109653", \ "0.032501,0.034405,0.037879,0.044218,0.055733,0.076575,0.114267", \ "0.044741,0.046678,0.050080,0.055910,0.066867,0.087186,0.124364", \ "0.059452,0.061874,0.066101,0.073385,0.085578,0.105512,0.141744", \ "0.076028,0.078843,0.083797,0.092347,0.106796,0.130419,0.167806", \ "0.094948,0.098138,0.103776,0.113503,0.129896,0.156958,0.200150"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005188,0.005722,0.006695,0.008455,0.011649,0.017438,0.027936", \ "0.005189,0.005723,0.006696,0.008456,0.011648,0.017437,0.027938", \ "0.006263,0.006728,0.007583,0.009097,0.011954,0.017455,0.027935", \ "0.009429,0.009886,0.010703,0.012163,0.014794,0.019683,0.028861", \ "0.014220,0.014763,0.015700,0.017312,0.020038,0.024750,0.033339", \ "0.020301,0.020957,0.022077,0.023986,0.027119,0.032228,0.040766", \ "0.027569,0.028337,0.029662,0.031930,0.035633,0.041458,0.050672"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022328,0.024164,0.027457,0.033347,0.043902,0.062858,0.097100", \ "0.022231,0.024086,0.027407,0.033322,0.043894,0.062868,0.097105", \ "0.021608,0.023565,0.027029,0.033124,0.043828,0.062843,0.097092", \ "0.024046,0.025483,0.028217,0.033418,0.043385,0.062702,0.097053", \ "0.030275,0.031918,0.034789,0.039759,0.048065,0.064166,0.096758", \ "0.037049,0.038957,0.042248,0.047938,0.057486,0.072979,0.100794", \ "0.044530,0.046660,0.050375,0.056795,0.067598,0.085154,0.112885"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009862,0.010491,0.011628,0.013681,0.017388,0.024087,0.036217", \ "0.011234,0.011880,0.013039,0.015121,0.018861,0.025594,0.037752", \ "0.014597,0.015362,0.016700,0.019016,0.022950,0.029751,0.041973", \ "0.017495,0.018573,0.020424,0.023508,0.028506,0.036522,0.049600", \ "0.017986,0.019478,0.022031,0.026248,0.032951,0.043240,0.058844", \ "0.015231,0.017182,0.020528,0.026030,0.034725,0.047929,0.067344", \ "0.008804,0.011250,0.015456,0.022323,0.033159,0.049571,0.073472"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.029636,0.032016,0.036331,0.044142,0.058234,0.083646,0.129536", \ "0.030159,0.032560,0.036917,0.044798,0.058995,0.084525,0.130520", \ "0.035262,0.037549,0.041748,0.049454,0.063499,0.088939,0.134921", \ "0.048129,0.050271,0.053977,0.061004,0.074401,0.099241,0.144636", \ "0.064534,0.067199,0.071879,0.079956,0.093582,0.117113,0.161489", \ "0.082890,0.085993,0.091501,0.100974,0.117038,0.143464,0.186829", \ "0.103760,0.107275,0.113490,0.124254,0.142490,0.172652,0.221124"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.005188,0.005721,0.006695,0.008455,0.011648,0.017437,0.027935", \ "0.005187,0.005724,0.006696,0.008455,0.011648,0.017436,0.027938", \ "0.006252,0.006721,0.007578,0.009090,0.011951,0.017454,0.027937", \ "0.009388,0.009848,0.010662,0.012122,0.014764,0.019667,0.028853", \ "0.014118,0.014668,0.015614,0.017236,0.019980,0.024718,0.033316", \ "0.020144,0.020808,0.021944,0.023871,0.027045,0.032176,0.040739", \ "0.027380,0.028178,0.029514,0.031819,0.035560,0.041417,0.050677"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027465,0.029788,0.033903,0.041200,0.054110,0.077129,0.118547", \ "0.027258,0.029612,0.033782,0.041137,0.054100,0.077130,0.118535", \ "0.026346,0.028810,0.033174,0.040781,0.053980,0.077126,0.118544", \ "0.027488,0.029443,0.033107,0.039972,0.052968,0.076952,0.118519", \ "0.033574,0.035514,0.038943,0.044811,0.055570,0.076663,0.118335", \ "0.040472,0.042638,0.046403,0.052988,0.064208,0.082988,0.119384", \ "0.048059,0.050469,0.054671,0.061979,0.074351,0.094798,0.128399"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010044,0.010674,0.011814,0.013869,0.017582,0.024295,0.036446", \ "0.011419,0.012065,0.013226,0.015311,0.019057,0.025801,0.037981", \ "0.014821,0.015581,0.016914,0.019224,0.023148,0.029958,0.042202", \ "0.017829,0.018897,0.020729,0.023789,0.028762,0.036762,0.049839", \ "0.018484,0.019960,0.022482,0.026657,0.033314,0.043554,0.059130", \ "0.015947,0.017868,0.021175,0.026615,0.035235,0.048359,0.067714", \ "0.009791,0.012206,0.016339,0.023128,0.033868,0.050163,0.073964"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.038068,0.040471,0.044814,0.052649,0.066766,0.092209,0.138174", \ "0.038690,0.041114,0.045489,0.053382,0.067580,0.093116,0.139175", \ "0.043480,0.045844,0.050146,0.057943,0.072052,0.097528,0.143554", \ "0.055439,0.057591,0.061594,0.069007,0.082685,0.107668,0.153190", \ "0.073673,0.076172,0.080591,0.088257,0.101290,0.125286,0.169860", \ "0.093625,0.096548,0.101789,0.110802,0.126180,0.151762,0.194983", \ "0.115882,0.119197,0.125071,0.135422,0.152919,0.182145,0.229533"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006475,0.007079,0.008158,0.010058,0.013385,0.019249,0.029766", \ "0.006476,0.007079,0.008157,0.010058,0.013382,0.019247,0.029766", \ "0.007725,0.008227,0.009112,0.010678,0.013676,0.019262,0.029766", \ "0.011543,0.011953,0.012702,0.014091,0.016672,0.021515,0.030673", \ "0.017308,0.017722,0.018477,0.019844,0.022308,0.026798,0.035230", \ "0.024507,0.024966,0.025811,0.027331,0.030022,0.034694,0.042886", \ "0.033077,0.033597,0.034531,0.036287,0.039353,0.044543,0.053176"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032345,0.034615,0.038659,0.045883,0.058769,0.081868,0.123453", \ "0.032251,0.034533,0.038602,0.045854,0.058768,0.081874,0.123457", \ "0.031752,0.034106,0.038288,0.045681,0.058707,0.081839,0.123412", \ "0.031342,0.033464,0.037372,0.044613,0.058148,0.081764,0.123395", \ "0.036757,0.038703,0.042011,0.047918,0.059292,0.081122,0.123268", \ "0.043862,0.045993,0.049705,0.056224,0.067333,0.086390,0.123658", \ "0.051706,0.054088,0.058258,0.065471,0.077706,0.097937,0.131850"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010044,0.010675,0.011814,0.013870,0.017583,0.024296,0.036449", \ "0.011416,0.012062,0.013224,0.015309,0.019055,0.025801,0.037981", \ "0.014777,0.015538,0.016874,0.019186,0.023116,0.029929,0.042175", \ "0.017708,0.018780,0.020618,0.023687,0.028673,0.036686,0.049776", \ "0.018391,0.019863,0.022384,0.026559,0.033215,0.043462,0.059046", \ "0.016109,0.018013,0.021305,0.026716,0.035291,0.048367,0.067680", \ "0.010526,0.012900,0.016995,0.023700,0.034329,0.050473,0.074127"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.033511,0.035486,0.039053,0.045481,0.057057,0.077925,0.115593", \ "0.034294,0.036286,0.039879,0.046351,0.057992,0.078932,0.116694", \ "0.039240,0.041193,0.044728,0.051122,0.062687,0.083569,0.121307", \ "0.051326,0.053067,0.056333,0.062395,0.073587,0.094046,0.131337", \ "0.067660,0.069916,0.073916,0.080787,0.092429,0.112124,0.148547", \ "0.085648,0.088291,0.093044,0.101144,0.114947,0.137756,0.174424", \ "0.105873,0.108870,0.114186,0.123484,0.139217,0.165413,0.207562"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006476,0.007080,0.008158,0.010059,0.013383,0.019247,0.029766", \ "0.006476,0.007079,0.008158,0.010058,0.013384,0.019249,0.029767", \ "0.007735,0.008235,0.009119,0.010686,0.013679,0.019263,0.029767", \ "0.011596,0.012000,0.012744,0.014126,0.016703,0.021532,0.030682", \ "0.017419,0.017828,0.018571,0.019925,0.022364,0.026848,0.035254", \ "0.024668,0.025130,0.025941,0.027446,0.030104,0.034744,0.042913", \ "0.033249,0.033752,0.034668,0.036399,0.039407,0.044551,0.053196"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026070,0.027896,0.031178,0.037072,0.047664,0.066720,0.101029", \ "0.026031,0.027865,0.031155,0.037061,0.047654,0.066697,0.101059", \ "0.025738,0.027622,0.030983,0.036978,0.047637,0.066675,0.101022", \ "0.026527,0.028145,0.031132,0.036656,0.047140,0.066608,0.101017", \ "0.032760,0.034374,0.037180,0.041994,0.050578,0.067332,0.100828", \ "0.039870,0.041719,0.044935,0.050517,0.059893,0.075226,0.103839", \ "0.047563,0.049669,0.053334,0.059666,0.070319,0.087667,0.115194"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010044,0.010674,0.011814,0.013869,0.017582,0.024295,0.036446", \ "0.011419,0.012065,0.013226,0.015311,0.019057,0.025801,0.037981", \ "0.014821,0.015581,0.016914,0.019224,0.023148,0.029958,0.042202", \ "0.017829,0.018897,0.020729,0.023789,0.028762,0.036762,0.049839", \ "0.018484,0.019960,0.022482,0.026657,0.033314,0.043554,0.059130", \ "0.015947,0.017868,0.021175,0.026615,0.035235,0.048359,0.067714", \ "0.009791,0.012206,0.016339,0.023128,0.033868,0.050163,0.073964"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.038068,0.040471,0.044814,0.052649,0.066766,0.092209,0.138174", \ "0.038690,0.041114,0.045489,0.053382,0.067580,0.093116,0.139175", \ "0.043480,0.045844,0.050146,0.057943,0.072052,0.097528,0.143554", \ "0.055439,0.057591,0.061594,0.069007,0.082685,0.107668,0.153190", \ "0.073673,0.076172,0.080591,0.088257,0.101290,0.125286,0.169860", \ "0.093625,0.096548,0.101789,0.110802,0.126180,0.151762,0.194983", \ "0.115882,0.119197,0.125071,0.135422,0.152919,0.182145,0.229533"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.006475,0.007079,0.008158,0.010058,0.013385,0.019249,0.029766", \ "0.006476,0.007079,0.008157,0.010058,0.013382,0.019247,0.029766", \ "0.007725,0.008227,0.009112,0.010678,0.013676,0.019262,0.029766", \ "0.011543,0.011953,0.012702,0.014091,0.016672,0.021515,0.030673", \ "0.017308,0.017722,0.018477,0.019844,0.022308,0.026798,0.035230", \ "0.024507,0.024966,0.025811,0.027331,0.030022,0.034694,0.042886", \ "0.033077,0.033597,0.034531,0.036287,0.039353,0.044543,0.053176"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032345,0.034615,0.038659,0.045883,0.058769,0.081868,0.123453", \ "0.032251,0.034533,0.038602,0.045854,0.058768,0.081874,0.123457", \ "0.031752,0.034106,0.038288,0.045681,0.058707,0.081839,0.123412", \ "0.031342,0.033464,0.037372,0.044613,0.058148,0.081764,0.123395", \ "0.036757,0.038703,0.042011,0.047918,0.059292,0.081122,0.123268", \ "0.043862,0.045993,0.049705,0.056224,0.067333,0.086390,0.123658", \ "0.051706,0.054088,0.058258,0.065471,0.077706,0.097937,0.131850"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010229,0.010860,0.012000,0.014059,0.017779,0.024503,0.036678", \ "0.011607,0.012254,0.013415,0.015502,0.019254,0.026010,0.038212", \ "0.015046,0.015801,0.017127,0.019431,0.023347,0.030168,0.042433", \ "0.018163,0.019220,0.021031,0.024068,0.029017,0.037002,0.050079", \ "0.018988,0.020441,0.022932,0.027063,0.033673,0.043869,0.059417", \ "0.016672,0.018571,0.021819,0.027191,0.035741,0.048794,0.068088", \ "0.010809,0.013168,0.017252,0.023941,0.034573,0.050759,0.074463"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.046603,0.049015,0.053364,0.061208,0.075337,0.100800,0.146775", \ "0.047316,0.049735,0.054109,0.061999,0.076190,0.101730,0.147793", \ "0.051949,0.054342,0.058681,0.066506,0.080643,0.106145,0.152185", \ "0.063216,0.065498,0.069663,0.077260,0.091065,0.116158,0.161746", \ "0.082349,0.084695,0.088869,0.096228,0.109192,0.133553,0.178292", \ "0.103779,0.106586,0.111572,0.120223,0.135025,0.159851,0.203230", \ "0.127422,0.130579,0.136193,0.146144,0.163012,0.191419,0.237801"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008580,0.009147,0.010165,0.011988,0.015248,0.021084,0.031604", \ "0.008580,0.009144,0.010165,0.011989,0.015245,0.021083,0.031603", \ "0.009834,0.010251,0.011042,0.012587,0.015529,0.021095,0.031604", \ "0.013863,0.014218,0.014889,0.016162,0.018625,0.023363,0.032498", \ "0.020269,0.020588,0.021196,0.022363,0.024586,0.028863,0.037135", \ "0.028412,0.028762,0.029377,0.030594,0.032907,0.037157,0.045014", \ "0.038099,0.038434,0.039083,0.040416,0.042965,0.047559,0.055644"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.037042,0.039284,0.043301,0.050520,0.063436,0.086606,0.128292", \ "0.036996,0.039247,0.043280,0.050504,0.063433,0.086587,0.128299", \ "0.036748,0.039043,0.043130,0.050421,0.063410,0.086601,0.128251", \ "0.035717,0.037965,0.042054,0.049644,0.063130,0.086566,0.128236", \ "0.039928,0.041727,0.045100,0.051459,0.063303,0.085851,0.128182", \ "0.047309,0.049387,0.053048,0.059467,0.070362,0.089955,0.128150", \ "0.055476,0.057812,0.061871,0.068990,0.081059,0.101105,0.135371"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013948,0.014658,0.015919,0.018164,0.022131,0.029153,0.041619", \ "0.015284,0.015994,0.017260,0.019509,0.023485,0.030510,0.042984", \ "0.020419,0.021121,0.022338,0.024526,0.028459,0.035463,0.047921", \ "0.026501,0.027510,0.029281,0.032308,0.037305,0.045276,0.057798", \ "0.030200,0.031524,0.033846,0.037829,0.044429,0.054998,0.071289", \ "0.031273,0.032908,0.035796,0.040729,0.048913,0.062058,0.082415", \ "0.029603,0.031551,0.034966,0.040780,0.050559,0.066267,0.090661"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032798,0.034453,0.037426,0.042765,0.052354,0.069604,0.100739", \ "0.033793,0.035478,0.038502,0.043928,0.053625,0.071004,0.102263", \ "0.039007,0.040667,0.043664,0.049060,0.058772,0.076237,0.107645", \ "0.048820,0.050639,0.053769,0.059207,0.068853,0.086213,0.117569", \ "0.059231,0.061516,0.065505,0.072291,0.083655,0.102184,0.133439", \ "0.070822,0.073591,0.078370,0.086461,0.099970,0.121790,0.156426", \ "0.085166,0.088345,0.093836,0.103209,0.118665,0.143637,0.183000"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009052,0.009597,0.010583,0.012363,0.015574,0.021390,0.031927", \ "0.009041,0.009591,0.010578,0.012359,0.015572,0.021390,0.031927", \ "0.009607,0.010054,0.010891,0.012478,0.015534,0.021380,0.031929", \ "0.014546,0.015058,0.015950,0.017461,0.019997,0.024171,0.032722", \ "0.020933,0.021609,0.022767,0.024713,0.027926,0.033025,0.041007", \ "0.028652,0.029509,0.030949,0.033370,0.037324,0.043579,0.053200", \ "0.037654,0.038698,0.040498,0.043495,0.048263,0.055718,0.067103"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.017624,0.019050,0.021645,0.026339,0.034857,0.050215,0.077907", \ "0.017629,0.019062,0.021646,0.026350,0.034863,0.050194,0.077916", \ "0.017663,0.019085,0.021660,0.026352,0.034843,0.050193,0.077931", \ "0.019699,0.020853,0.023005,0.027099,0.035005,0.050199,0.077915", \ "0.026210,0.027392,0.029532,0.033341,0.039908,0.052464,0.078052", \ "0.034142,0.035389,0.037638,0.041722,0.048822,0.061130,0.082965", \ "0.043320,0.044621,0.046884,0.051138,0.058736,0.071918,0.094408"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013960,0.014667,0.015929,0.018172,0.022142,0.029161,0.041627", \ "0.015340,0.016048,0.017314,0.019563,0.023541,0.030564,0.043037", \ "0.020535,0.021236,0.022449,0.024640,0.028574,0.035577,0.048037", \ "0.026635,0.027648,0.029419,0.032443,0.037438,0.045397,0.057920", \ "0.030136,0.031475,0.033825,0.037825,0.044462,0.055064,0.071381", \ "0.030672,0.032335,0.035261,0.040277,0.048578,0.061848,0.082346", \ "0.028042,0.030047,0.033548,0.039484,0.049482,0.065467,0.090158"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.040770,0.042877,0.046654,0.053439,0.065611,0.087510,0.127004", \ "0.041449,0.043597,0.047438,0.054328,0.066651,0.088706,0.128362", \ "0.046035,0.048148,0.051956,0.058813,0.071156,0.093319,0.133175", \ "0.055646,0.057773,0.061556,0.068354,0.080569,0.102616,0.142416", \ "0.067108,0.069749,0.074350,0.082260,0.095621,0.117822,0.157428", \ "0.079889,0.083035,0.088501,0.097777,0.113362,0.138853,0.179864", \ "0.095852,0.099394,0.105680,0.116360,0.134051,0.162845,0.208763"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009055,0.009598,0.010583,0.012361,0.015575,0.021390,0.031927", \ "0.009043,0.009592,0.010578,0.012359,0.015574,0.021391,0.031926", \ "0.009577,0.010027,0.010865,0.012465,0.015527,0.021379,0.031927", \ "0.014488,0.015005,0.015894,0.017411,0.019943,0.024126,0.032701", \ "0.020927,0.021600,0.022752,0.024699,0.027899,0.032981,0.040975", \ "0.028772,0.029626,0.031086,0.033498,0.037442,0.043665,0.053241", \ "0.037964,0.039027,0.040820,0.043835,0.048608,0.056020,0.067328"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023265,0.025069,0.028336,0.034263,0.044985,0.064307,0.099250", \ "0.023281,0.025079,0.028341,0.034262,0.044965,0.064303,0.099244", \ "0.023291,0.025087,0.028344,0.034262,0.044991,0.064305,0.099240", \ "0.024297,0.025886,0.028851,0.034437,0.045005,0.064305,0.099229", \ "0.030669,0.032148,0.034819,0.039460,0.048009,0.065182,0.099227", \ "0.038877,0.040402,0.043157,0.048138,0.056898,0.072052,0.101670", \ "0.048389,0.049959,0.052713,0.057879,0.067110,0.083221,0.110904"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.014193,0.014898,0.016160,0.018403,0.022373,0.029398,0.041883", \ "0.015572,0.016279,0.017544,0.019793,0.023770,0.030802,0.043293", \ "0.020769,0.021462,0.022666,0.024862,0.028802,0.035815,0.048292", \ "0.027006,0.028007,0.029769,0.032773,0.037734,0.045663,0.058173", \ "0.030694,0.032016,0.034343,0.038323,0.044912,0.055459,0.071737", \ "0.031473,0.033123,0.036007,0.040976,0.049216,0.062421,0.082849", \ "0.029169,0.031146,0.034605,0.040467,0.050387,0.066262,0.090869"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.048094,0.050190,0.053965,0.060752,0.072941,0.094884,0.134441", \ "0.048947,0.051071,0.054893,0.061757,0.074061,0.096129,0.135813", \ "0.053471,0.055585,0.059396,0.066262,0.078608,0.100793,0.140676", \ "0.063061,0.065154,0.068924,0.075723,0.087978,0.110058,0.149894", \ "0.076205,0.078664,0.083002,0.090484,0.103229,0.125213,0.164882", \ "0.090704,0.093604,0.098738,0.107433,0.122311,0.146940,0.187249", \ "0.108106,0.111398,0.117206,0.127341,0.144181,0.171960,0.216800"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011030,0.011569,0.012551,0.014326,0.017529,0.023315,0.033818", \ "0.011020,0.011563,0.012546,0.014323,0.017528,0.023316,0.033819", \ "0.011500,0.011958,0.012813,0.014417,0.017483,0.023308,0.033818", \ "0.017095,0.017533,0.018300,0.019643,0.021976,0.026007,0.034576", \ "0.024616,0.025162,0.026119,0.027784,0.030630,0.035315,0.042934", \ "0.033646,0.034322,0.035514,0.037542,0.040987,0.046655,0.055684", \ "0.044116,0.044954,0.046411,0.048944,0.053058,0.059759,0.070332"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026991,0.028817,0.032123,0.038098,0.048894,0.068304,0.103340", \ "0.026991,0.028817,0.032123,0.038105,0.048881,0.068316,0.103349", \ "0.026995,0.028824,0.032123,0.038103,0.048876,0.068313,0.103354", \ "0.027332,0.029062,0.032266,0.038155,0.048904,0.068303,0.103342", \ "0.032891,0.034420,0.037028,0.041781,0.050915,0.068718,0.103326", \ "0.040829,0.042424,0.045260,0.050353,0.059230,0.074611,0.105098", \ "0.050008,0.051678,0.054673,0.060022,0.069413,0.085670,0.113567"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011738,0.012486,0.013813,0.016151,0.020239,0.027388,0.039975", \ "0.013080,0.013823,0.015147,0.017481,0.021566,0.028714,0.041302", \ "0.018298,0.019065,0.020395,0.022624,0.026574,0.033644,0.046196", \ "0.023530,0.024631,0.026546,0.029780,0.035046,0.043345,0.056093", \ "0.026259,0.027689,0.030212,0.034464,0.041428,0.052434,0.069194", \ "0.026231,0.028003,0.031125,0.036393,0.045044,0.058747,0.079714", \ "0.023319,0.025426,0.029095,0.035320,0.045650,0.062052,0.087213"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.036665,0.038664,0.042251,0.048690,0.060253,0.081072,0.118653", \ "0.037534,0.039570,0.043216,0.049751,0.061450,0.082427,0.120165", \ "0.042568,0.044575,0.048186,0.054687,0.066400,0.087466,0.125391", \ "0.051824,0.053896,0.057550,0.064033,0.075630,0.096576,0.134418", \ "0.061660,0.064178,0.068593,0.076185,0.089119,0.110636,0.148299", \ "0.073062,0.076008,0.081148,0.089880,0.104597,0.128929,0.168691", \ "0.087446,0.090786,0.096624,0.106566,0.123016,0.149993,0.193733"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008552,0.009106,0.010095,0.011877,0.015079,0.020853,0.031319", \ "0.008451,0.009015,0.010026,0.011831,0.015051,0.020839,0.031320", \ "0.009454,0.009843,0.010595,0.012067,0.014989,0.020768,0.031306", \ "0.014569,0.015074,0.015946,0.017432,0.019932,0.024027,0.032264", \ "0.021125,0.021785,0.022909,0.024816,0.027961,0.032983,0.040883", \ "0.029086,0.029922,0.031315,0.033685,0.037554,0.043680,0.053168", \ "0.038382,0.039420,0.041176,0.044104,0.048764,0.056056,0.067243"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.019098,0.020839,0.024001,0.029757,0.040164,0.059002,0.093118", \ "0.019117,0.020855,0.024014,0.029760,0.040163,0.059022,0.093125", \ "0.019163,0.020894,0.024036,0.029772,0.040177,0.059032,0.093113", \ "0.020764,0.022255,0.025034,0.030250,0.040299,0.059015,0.093120", \ "0.026090,0.027627,0.030386,0.035352,0.043922,0.060442,0.093156", \ "0.032881,0.034434,0.037251,0.042345,0.051407,0.067355,0.096376", \ "0.041244,0.042725,0.045566,0.050731,0.060019,0.076516,0.105427"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011747,0.012495,0.013823,0.016161,0.020249,0.027397,0.039982", \ "0.013133,0.013876,0.015201,0.017535,0.021621,0.028769,0.041355", \ "0.018412,0.019179,0.020507,0.022733,0.026687,0.033759,0.046311", \ "0.023670,0.024771,0.026692,0.029919,0.035187,0.043475,0.056214", \ "0.026183,0.027625,0.030195,0.034482,0.041471,0.052509,0.069289", \ "0.025649,0.027454,0.030614,0.035964,0.044735,0.058560,0.079664", \ "0.021791,0.023953,0.027718,0.034072,0.044624,0.061307,0.086765"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.044773,0.047221,0.051610,0.059483,0.073608,0.099002,0.144843", \ "0.045324,0.047818,0.052283,0.060279,0.074568,0.100170,0.146192", \ "0.049769,0.052226,0.056647,0.064603,0.078914,0.104625,0.150883", \ "0.058816,0.061269,0.065654,0.073541,0.087705,0.113270,0.159447", \ "0.069516,0.072423,0.077492,0.086275,0.101327,0.126874,0.172816", \ "0.081905,0.085249,0.091088,0.101054,0.117969,0.146163,0.192812", \ "0.097712,0.101470,0.108098,0.119378,0.138089,0.169064,0.219678"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008557,0.009108,0.010096,0.011880,0.015079,0.020852,0.031320", \ "0.008460,0.009023,0.010032,0.011835,0.015054,0.020840,0.031319", \ "0.009417,0.009815,0.010564,0.012050,0.014980,0.020771,0.031308", \ "0.014507,0.015014,0.015880,0.017376,0.019876,0.023971,0.032242", \ "0.021103,0.021765,0.022872,0.024783,0.027928,0.032940,0.040842", \ "0.029127,0.029975,0.031379,0.033750,0.037624,0.043739,0.053184", \ "0.038574,0.039613,0.041388,0.044350,0.049010,0.056291,0.067418"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024038,0.026153,0.029987,0.036966,0.049595,0.072420,0.113678", \ "0.024065,0.026173,0.030005,0.036970,0.049601,0.072408,0.113663", \ "0.024099,0.026204,0.030021,0.036980,0.049606,0.072419,0.113659", \ "0.024954,0.026880,0.030440,0.037181,0.049666,0.072422,0.113670", \ "0.030264,0.032127,0.035489,0.041265,0.052016,0.072968,0.113671", \ "0.037145,0.039036,0.042394,0.048501,0.059401,0.078388,0.115276", \ "0.045704,0.047529,0.050893,0.057041,0.068155,0.087834,0.122376"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011989,0.012734,0.014059,0.016393,0.020480,0.027634,0.040235", \ "0.013373,0.014114,0.015436,0.017767,0.021852,0.029005,0.041607", \ "0.018671,0.019428,0.020740,0.022952,0.026912,0.033994,0.046564", \ "0.024078,0.025164,0.027065,0.030267,0.035500,0.043748,0.056462", \ "0.026807,0.028221,0.030759,0.035005,0.041948,0.052921,0.069648", \ "0.026537,0.028309,0.031428,0.036716,0.045406,0.059154,0.080172", \ "0.023046,0.025174,0.028860,0.035134,0.045567,0.062131,0.087454"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.053312,0.055743,0.060123,0.067993,0.082135,0.107580,0.153500", \ "0.054069,0.056533,0.060966,0.068924,0.083191,0.108793,0.154864", \ "0.058440,0.060891,0.065308,0.073267,0.087580,0.113307,0.159594", \ "0.067452,0.069877,0.074242,0.082114,0.096315,0.121923,0.168154", \ "0.079569,0.082317,0.087148,0.095565,0.109997,0.135467,0.181487", \ "0.093419,0.096546,0.102062,0.111539,0.127795,0.155262,0.201405", \ "0.110638,0.114122,0.120254,0.131008,0.148980,0.178998,0.228701"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010634,0.011170,0.012140,0.013897,0.017064,0.022792,0.033216", \ "0.010534,0.011085,0.012074,0.013853,0.017039,0.022783,0.033212", \ "0.011355,0.011765,0.012534,0.014028,0.016954,0.022715,0.033204", \ "0.017322,0.017729,0.018445,0.019725,0.021979,0.025855,0.034123", \ "0.025075,0.025588,0.026456,0.028029,0.030764,0.035327,0.042817", \ "0.034394,0.035024,0.036106,0.038022,0.041319,0.046805,0.055656", \ "0.045222,0.045997,0.047350,0.049725,0.053657,0.060125,0.070456"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028347,0.030508,0.034410,0.041469,0.054197,0.077145,0.118579", \ "0.028354,0.030517,0.034417,0.041474,0.054208,0.077161,0.118561", \ "0.028370,0.030531,0.034426,0.041479,0.054212,0.077156,0.118558", \ "0.028685,0.030783,0.034596,0.041565,0.054237,0.077149,0.118549", \ "0.033311,0.035174,0.038437,0.044446,0.055761,0.077360,0.118545", \ "0.039814,0.041773,0.045297,0.051576,0.062631,0.081901,0.119640", \ "0.047891,0.049888,0.053471,0.059856,0.071227,0.091161,0.126046"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011979,0.012725,0.014050,0.016384,0.020471,0.027625,0.040226", \ "0.013321,0.014062,0.015382,0.017712,0.021797,0.028950,0.041555", \ "0.018556,0.019314,0.020629,0.022843,0.026799,0.033878,0.046447", \ "0.023948,0.025035,0.026926,0.030130,0.035366,0.043622,0.056341", \ "0.026865,0.028281,0.030782,0.034994,0.041906,0.052848,0.069550", \ "0.027106,0.028854,0.031931,0.037142,0.045710,0.059324,0.080219", \ "0.024544,0.026609,0.030228,0.036355,0.046591,0.062855,0.087894"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.043569,0.045560,0.049146,0.055591,0.067179,0.088042,0.125701", \ "0.044604,0.046618,0.050248,0.056764,0.068455,0.089440,0.127221", \ "0.049578,0.051581,0.055198,0.061715,0.073442,0.094532,0.132480", \ "0.058955,0.060964,0.064544,0.070991,0.082617,0.103595,0.141504", \ "0.070310,0.072698,0.076853,0.084074,0.096546,0.117604,0.155315", \ "0.083145,0.085862,0.090701,0.098933,0.113037,0.136661,0.175684", \ "0.098765,0.101848,0.107310,0.116755,0.132452,0.158562,0.201453"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010627,0.011164,0.012136,0.013892,0.017061,0.022791,0.033213", \ "0.010524,0.011075,0.012065,0.013846,0.017035,0.022780,0.033211", \ "0.011388,0.011788,0.012558,0.014042,0.016960,0.022711,0.033203", \ "0.017385,0.017792,0.018510,0.019794,0.022025,0.025916,0.034146", \ "0.025106,0.025614,0.026486,0.028062,0.030795,0.035365,0.042858", \ "0.034318,0.034951,0.036006,0.037929,0.041233,0.046737,0.055637", \ "0.044950,0.045730,0.047088,0.049445,0.053380,0.059866,0.070266"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022609,0.024386,0.027603,0.033421,0.043922,0.062867,0.097092", \ "0.022615,0.024394,0.027607,0.033415,0.043910,0.062864,0.097077", \ "0.022633,0.024409,0.027616,0.033424,0.043926,0.062856,0.097093", \ "0.023425,0.025043,0.028017,0.033610,0.043968,0.062871,0.097062", \ "0.028425,0.030012,0.032847,0.037793,0.046647,0.063770,0.097057", \ "0.034872,0.036527,0.039461,0.044734,0.053948,0.069930,0.099653", \ "0.042778,0.044467,0.047470,0.052894,0.062446,0.079156,0.108135"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011989,0.012734,0.014059,0.016393,0.020480,0.027634,0.040235", \ "0.013373,0.014114,0.015436,0.017767,0.021852,0.029005,0.041607", \ "0.018671,0.019428,0.020740,0.022952,0.026912,0.033994,0.046564", \ "0.024078,0.025164,0.027065,0.030267,0.035500,0.043748,0.056462", \ "0.026807,0.028221,0.030759,0.035005,0.041948,0.052921,0.069648", \ "0.026537,0.028309,0.031428,0.036716,0.045406,0.059154,0.080172", \ "0.023046,0.025174,0.028860,0.035134,0.045567,0.062131,0.087454"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.053312,0.055743,0.060123,0.067993,0.082135,0.107580,0.153500", \ "0.054069,0.056533,0.060966,0.068924,0.083191,0.108793,0.154864", \ "0.058440,0.060891,0.065308,0.073267,0.087580,0.113307,0.159594", \ "0.067452,0.069877,0.074242,0.082114,0.096315,0.121923,0.168154", \ "0.079569,0.082317,0.087148,0.095565,0.109997,0.135467,0.181487", \ "0.093419,0.096546,0.102062,0.111539,0.127795,0.155262,0.201405", \ "0.110638,0.114122,0.120254,0.131008,0.148980,0.178998,0.228701"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010634,0.011170,0.012140,0.013897,0.017064,0.022792,0.033216", \ "0.010534,0.011085,0.012074,0.013853,0.017039,0.022783,0.033212", \ "0.011355,0.011765,0.012534,0.014028,0.016954,0.022715,0.033204", \ "0.017322,0.017729,0.018445,0.019725,0.021979,0.025855,0.034123", \ "0.025075,0.025588,0.026456,0.028029,0.030764,0.035327,0.042817", \ "0.034394,0.035024,0.036106,0.038022,0.041319,0.046805,0.055656", \ "0.045222,0.045997,0.047350,0.049725,0.053657,0.060125,0.070456"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028347,0.030508,0.034410,0.041469,0.054197,0.077145,0.118579", \ "0.028354,0.030517,0.034417,0.041474,0.054208,0.077161,0.118561", \ "0.028370,0.030531,0.034426,0.041479,0.054212,0.077156,0.118558", \ "0.028685,0.030783,0.034596,0.041565,0.054237,0.077149,0.118549", \ "0.033311,0.035174,0.038437,0.044446,0.055761,0.077360,0.118545", \ "0.039814,0.041773,0.045297,0.051576,0.062631,0.081901,0.119640", \ "0.047891,0.049888,0.053471,0.059856,0.071227,0.091161,0.126046"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012232,0.012974,0.014295,0.016624,0.020710,0.027868,0.040486", \ "0.013615,0.014355,0.015670,0.017997,0.022081,0.029241,0.041859", \ "0.018928,0.019678,0.020972,0.023172,0.027138,0.034228,0.046815", \ "0.024493,0.025566,0.027439,0.030608,0.035803,0.044014,0.056713", \ "0.027455,0.028862,0.031319,0.035512,0.042404,0.053321,0.069992", \ "0.027430,0.029178,0.032242,0.037452,0.046057,0.059720,0.080650", \ "0.024347,0.026422,0.030033,0.036170,0.046486,0.062911,0.088123"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.061865,0.064287,0.068656,0.076526,0.090679,0.116160,0.162131", \ "0.062762,0.065214,0.069627,0.077556,0.091810,0.117415,0.163516", \ "0.067129,0.069574,0.073990,0.081941,0.096254,0.121999,0.168285", \ "0.076043,0.078458,0.082813,0.090693,0.104919,0.130578,0.176859", \ "0.089170,0.091781,0.096411,0.104376,0.118551,0.144038,0.190105", \ "0.104350,0.107297,0.112504,0.121587,0.137292,0.164125,0.209941", \ "0.122790,0.126061,0.131845,0.142153,0.159442,0.188716,0.237640"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012861,0.013363,0.014280,0.015964,0.019055,0.024723,0.035109", \ "0.012765,0.013280,0.014215,0.015920,0.019031,0.024714,0.035105", \ "0.013527,0.013911,0.014639,0.016072,0.018936,0.024647,0.035099", \ "0.019944,0.020273,0.020881,0.021989,0.024009,0.027741,0.036001", \ "0.028601,0.029007,0.029730,0.031053,0.033452,0.037636,0.044758", \ "0.039019,0.039504,0.040368,0.041963,0.044804,0.049766,0.058097", \ "0.051047,0.051660,0.052727,0.054683,0.058034,0.063813,0.073473"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032754,0.034944,0.038889,0.046015,0.058836,0.081894,0.123471", \ "0.032758,0.034948,0.038892,0.046019,0.058838,0.081915,0.123461", \ "0.032768,0.034952,0.038896,0.046016,0.058842,0.081922,0.123460", \ "0.032893,0.035057,0.038967,0.046052,0.058836,0.081896,0.123487", \ "0.036383,0.038244,0.041670,0.047976,0.059743,0.081967,0.123425", \ "0.042914,0.044911,0.048478,0.054833,0.065880,0.085612,0.124103", \ "0.050729,0.052783,0.056445,0.063011,0.074514,0.094562,0.129823"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015243,0.015952,0.017214,0.019458,0.023428,0.030447,0.042914", \ "0.016651,0.017362,0.018626,0.020874,0.024847,0.031870,0.044345", \ "0.020575,0.021309,0.022599,0.024858,0.028858,0.035918,0.048431", \ "0.025841,0.026730,0.028284,0.030977,0.035553,0.043200,0.056041", \ "0.029975,0.031132,0.033148,0.036602,0.042323,0.051548,0.066292", \ "0.031759,0.033218,0.035788,0.040170,0.047367,0.058818,0.076554", \ "0.030789,0.032575,0.035728,0.041080,0.049875,0.063820,0.085180"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.037643,0.039272,0.042215,0.047520,0.057078,0.074314,0.105457", \ "0.038911,0.040554,0.043518,0.048853,0.058454,0.075734,0.106911", \ "0.044492,0.046136,0.049100,0.054444,0.064067,0.081396,0.112641", \ "0.054795,0.056466,0.059447,0.064782,0.074377,0.091671,0.122904", \ "0.066902,0.069011,0.072720,0.079094,0.089908,0.107791,0.138951", \ "0.080259,0.082784,0.087219,0.094798,0.107548,0.128511,0.162263", \ "0.096536,0.099401,0.104488,0.113259,0.127799,0.151691,0.189872"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009047,0.009594,0.010578,0.012357,0.015572,0.021390,0.031927", \ "0.009044,0.009591,0.010577,0.012356,0.015573,0.021390,0.031927", \ "0.009313,0.009824,0.010759,0.012466,0.015595,0.021391,0.031927", \ "0.011710,0.012199,0.013089,0.014687,0.017565,0.022702,0.032404", \ "0.016131,0.016675,0.017626,0.019269,0.022121,0.027131,0.036140", \ "0.021880,0.022534,0.023659,0.025575,0.028778,0.034040,0.042996", \ "0.028642,0.029444,0.030792,0.033076,0.036834,0.042808,0.052338"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020525,0.021974,0.024590,0.029335,0.037878,0.053298,0.081109", \ "0.020524,0.021976,0.024593,0.029330,0.037890,0.053296,0.081118", \ "0.020536,0.021980,0.024596,0.029334,0.037893,0.053324,0.081122", \ "0.021676,0.022940,0.025281,0.029643,0.037944,0.053287,0.081111", \ "0.027763,0.028990,0.031179,0.035054,0.041775,0.054891,0.081129", \ "0.035314,0.036657,0.039037,0.043240,0.050493,0.062917,0.085325", \ "0.043474,0.044946,0.047540,0.052114,0.060094,0.073560,0.096238"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015254,0.015962,0.017223,0.019467,0.023436,0.030455,0.042922", \ "0.016707,0.017416,0.018682,0.020926,0.024901,0.031926,0.044397", \ "0.020700,0.021432,0.022719,0.024980,0.028978,0.036038,0.048550", \ "0.025998,0.026885,0.028442,0.031131,0.035703,0.043347,0.056182", \ "0.030081,0.031243,0.033270,0.036722,0.042448,0.051681,0.066426", \ "0.031582,0.033061,0.035652,0.040059,0.047305,0.058825,0.076612", \ "0.029983,0.031806,0.035020,0.040459,0.049364,0.063468,0.085003"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.047008,0.049081,0.052811,0.059542,0.071663,0.093535,0.133040", \ "0.048040,0.050126,0.053886,0.060654,0.072835,0.094761,0.134316", \ "0.053092,0.055178,0.058942,0.065720,0.077934,0.099916,0.139560", \ "0.062906,0.064987,0.068734,0.075484,0.087655,0.109609,0.149238", \ "0.076044,0.078497,0.082823,0.090281,0.103028,0.124945,0.164477", \ "0.090690,0.093586,0.098678,0.107419,0.122195,0.146757,0.186990", \ "0.108637,0.111915,0.117700,0.127751,0.144478,0.172094,0.216795"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.009047,0.009595,0.010579,0.012359,0.015573,0.021390,0.031927", \ "0.009043,0.009590,0.010578,0.012358,0.015572,0.021390,0.031927", \ "0.009304,0.009815,0.010753,0.012461,0.015592,0.021391,0.031929", \ "0.011667,0.012165,0.013051,0.014645,0.017521,0.022680,0.032394", \ "0.016076,0.016619,0.017566,0.019216,0.022075,0.027096,0.036108", \ "0.021853,0.022507,0.023635,0.025553,0.028759,0.034022,0.042965", \ "0.028706,0.029508,0.030862,0.033150,0.036908,0.042877,0.052383"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026993,0.028821,0.032121,0.038099,0.048881,0.068294,0.103324", \ "0.026995,0.028822,0.032127,0.038096,0.048883,0.068290,0.103327", \ "0.026999,0.028825,0.032128,0.038096,0.048884,0.068290,0.103333", \ "0.027363,0.029079,0.032272,0.038161,0.048883,0.068292,0.103329", \ "0.032857,0.034389,0.037051,0.041827,0.050954,0.068766,0.103311", \ "0.040695,0.042297,0.045181,0.050264,0.059181,0.074665,0.105112", \ "0.049301,0.051053,0.054137,0.059617,0.069162,0.085538,0.113551"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015487,0.016193,0.017454,0.019697,0.023667,0.030693,0.043178", \ "0.016940,0.017647,0.018911,0.021158,0.025132,0.032163,0.044653", \ "0.020939,0.021668,0.022946,0.025205,0.029207,0.036277,0.048806", \ "0.026301,0.027181,0.028729,0.031405,0.035960,0.043597,0.056436", \ "0.030510,0.031659,0.033668,0.037097,0.042787,0.051997,0.066720", \ "0.032185,0.033642,0.036212,0.040579,0.047772,0.059243,0.076989", \ "0.030808,0.032610,0.035784,0.041162,0.050004,0.064035,0.085502"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.054296,0.056372,0.060111,0.066855,0.079004,0.100912,0.140466", \ "0.055388,0.057471,0.061232,0.068003,0.080195,0.102151,0.141755", \ "0.060458,0.062543,0.066308,0.073097,0.085320,0.107347,0.147014", \ "0.070246,0.072323,0.076071,0.082835,0.095032,0.117016,0.156699", \ "0.084585,0.086908,0.091013,0.098153,0.110425,0.132336,0.171910", \ "0.100762,0.103479,0.108267,0.116614,0.130783,0.154627,0.194392", \ "0.120031,0.123101,0.128516,0.138082,0.154131,0.180917,0.224686"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011025,0.011569,0.012550,0.014324,0.017528,0.023317,0.033819", \ "0.011022,0.011565,0.012547,0.014323,0.017527,0.023316,0.033818", \ "0.011264,0.011779,0.012713,0.014421,0.017543,0.023317,0.033819", \ "0.013899,0.014364,0.015205,0.016737,0.019533,0.024590,0.034282", \ "0.018891,0.019358,0.020188,0.021686,0.024360,0.029178,0.038038", \ "0.025486,0.026026,0.026972,0.028633,0.031516,0.036431,0.045094", \ "0.033282,0.033930,0.035047,0.036986,0.040298,0.045761,0.054807"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030757,0.032603,0.035938,0.041953,0.052798,0.072314,0.107434", \ "0.030760,0.032602,0.035935,0.041951,0.052795,0.072307,0.107427", \ "0.030761,0.032603,0.035934,0.041950,0.052799,0.072317,0.107447", \ "0.030863,0.032682,0.035988,0.041975,0.052807,0.072291,0.107434", \ "0.035230,0.036720,0.039432,0.044507,0.054093,0.072441,0.107421", \ "0.043031,0.044647,0.047532,0.052673,0.061660,0.077398,0.108686", \ "0.051682,0.053440,0.056564,0.062070,0.071666,0.088080,0.116343"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013045,0.013791,0.015116,0.017451,0.021536,0.028682,0.041268", \ "0.014435,0.015181,0.016505,0.018838,0.022923,0.030070,0.042659", \ "0.018345,0.019118,0.020476,0.022829,0.026908,0.034077,0.046697", \ "0.023202,0.024161,0.025828,0.028671,0.033421,0.041263,0.054280", \ "0.026496,0.027761,0.029959,0.033665,0.039700,0.049288,0.064323", \ "0.027203,0.028802,0.031610,0.036328,0.043967,0.055957,0.074201", \ "0.024980,0.026933,0.030391,0.036164,0.045520,0.060160,0.082239"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.042585,0.044548,0.048091,0.054486,0.066008,0.086808,0.124413", \ "0.043783,0.045762,0.049331,0.055760,0.067336,0.088187,0.125848", \ "0.049244,0.051222,0.054792,0.061232,0.072832,0.093745,0.131477", \ "0.058830,0.060818,0.064374,0.070785,0.082340,0.103201,0.140918", \ "0.070172,0.072535,0.076697,0.083916,0.096363,0.117392,0.154990", \ "0.083132,0.085838,0.090660,0.098931,0.112979,0.136532,0.175521", \ "0.099367,0.102390,0.107808,0.117187,0.132772,0.158765,0.201489"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008500,0.009056,0.010054,0.011844,0.015057,0.020841,0.031318", \ "0.008462,0.009019,0.010027,0.011823,0.015043,0.020834,0.031315", \ "0.008826,0.009329,0.010249,0.011946,0.015063,0.020820,0.031317", \ "0.011497,0.011971,0.012828,0.014376,0.017177,0.022272,0.031854", \ "0.016132,0.016662,0.017589,0.019197,0.021971,0.026859,0.035728", \ "0.022058,0.022701,0.023793,0.025669,0.028793,0.033952,0.042745", \ "0.029032,0.029810,0.031116,0.033356,0.037025,0.042890,0.052256"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.022616,0.024396,0.027608,0.033422,0.043916,0.062874,0.097101", \ "0.022627,0.024403,0.027610,0.033423,0.043922,0.062847,0.097080", \ "0.022637,0.024415,0.027618,0.033425,0.043912,0.062874,0.097078", \ "0.023459,0.025076,0.028047,0.033610,0.043955,0.062852,0.097065", \ "0.028393,0.029984,0.032820,0.037808,0.046692,0.063790,0.097060", \ "0.034695,0.036380,0.039363,0.044634,0.053887,0.069929,0.099686", \ "0.041916,0.043727,0.046856,0.052403,0.062154,0.078999,0.108079"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013054,0.013801,0.015126,0.017460,0.021544,0.028690,0.041275", \ "0.014490,0.015236,0.016559,0.018893,0.022978,0.030124,0.042711", \ "0.018467,0.019238,0.020596,0.022947,0.027027,0.034196,0.046817", \ "0.023361,0.024314,0.025980,0.028822,0.033569,0.041406,0.054418", \ "0.026602,0.027871,0.030072,0.033781,0.039831,0.049417,0.064458", \ "0.027051,0.028665,0.031494,0.036236,0.043927,0.055964,0.074266", \ "0.024218,0.026223,0.029737,0.035583,0.045053,0.059851,0.082093"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.052087,0.054490,0.058818,0.066621,0.080681,0.106054,0.151898", \ "0.053050,0.055470,0.059831,0.067680,0.081806,0.107239,0.153145", \ "0.058019,0.060437,0.064801,0.072660,0.086815,0.112320,0.158340", \ "0.067278,0.069694,0.074030,0.081852,0.095957,0.121419,0.167413", \ "0.079392,0.082125,0.086959,0.095355,0.109784,0.135164,0.181044", \ "0.093388,0.096482,0.101995,0.111478,0.127655,0.155069,0.201117", \ "0.111104,0.114556,0.120682,0.131326,0.149189,0.179110,0.228661"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.008503,0.009055,0.010056,0.011846,0.015056,0.020841,0.031318", \ "0.008466,0.009027,0.010031,0.011826,0.015046,0.020836,0.031316", \ "0.008820,0.009320,0.010244,0.011943,0.015061,0.020821,0.031316", \ "0.011453,0.011927,0.012788,0.014341,0.017153,0.022250,0.031842", \ "0.016065,0.016596,0.017522,0.019138,0.021924,0.026817,0.035695", \ "0.021998,0.022640,0.023739,0.025622,0.028762,0.033922,0.042716", \ "0.029022,0.029807,0.031114,0.033371,0.037055,0.042927,0.052283"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028359,0.030519,0.034419,0.041468,0.054190,0.077159,0.118547", \ "0.028363,0.030527,0.034420,0.041470,0.054206,0.077146,0.118545", \ "0.028374,0.030529,0.034428,0.041475,0.054193,0.077152,0.118561", \ "0.028691,0.030785,0.034599,0.041558,0.054220,0.077155,0.118539", \ "0.033260,0.035170,0.038471,0.044486,0.055786,0.077387,0.118525", \ "0.039678,0.041667,0.045206,0.051495,0.062570,0.081934,0.119656", \ "0.047226,0.049313,0.052986,0.059532,0.071018,0.091038,0.126037"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013296,0.014040,0.015361,0.017693,0.021775,0.028926,0.041529", \ "0.014729,0.015473,0.016795,0.019125,0.023208,0.030360,0.042966", \ "0.018717,0.019483,0.020835,0.023176,0.027256,0.034432,0.047068", \ "0.023684,0.024633,0.026284,0.029104,0.033831,0.041658,0.054670", \ "0.027070,0.028324,0.030503,0.034178,0.040187,0.049732,0.064752", \ "0.027707,0.029306,0.032102,0.036793,0.044430,0.056407,0.074638", \ "0.025129,0.027100,0.030566,0.036336,0.045735,0.060442,0.082603"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.060564,0.062964,0.067300,0.075112,0.089199,0.114614,0.160540", \ "0.061592,0.064005,0.068361,0.076213,0.090348,0.115812,0.161772", \ "0.066577,0.068991,0.073356,0.081219,0.095392,0.120922,0.166967", \ "0.075808,0.078218,0.082545,0.090374,0.104506,0.130001,0.176047", \ "0.088980,0.091584,0.096197,0.104226,0.118336,0.143724,0.189644", \ "0.104276,0.107194,0.112383,0.121492,0.137131,0.163917,0.209648", \ "0.123173,0.126419,0.132178,0.142405,0.159633,0.188776,0.237554"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010575,0.011116,0.012094,0.013861,0.017040,0.022781,0.033212", \ "0.010538,0.011084,0.012067,0.013842,0.017029,0.022775,0.033210", \ "0.010843,0.011339,0.012260,0.013946,0.017041,0.022762,0.033210", \ "0.013801,0.014234,0.015027,0.016488,0.019188,0.024172,0.033735", \ "0.019081,0.019515,0.020287,0.021694,0.024252,0.028933,0.037638", \ "0.025911,0.026406,0.027285,0.028856,0.031617,0.036376,0.044839", \ "0.033941,0.034556,0.035577,0.037422,0.040579,0.045887,0.054732"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032755,0.034942,0.038890,0.046007,0.058824,0.081882,0.123485", \ "0.032755,0.034946,0.038887,0.046011,0.058824,0.081872,0.123433", \ "0.032763,0.034946,0.038892,0.046008,0.058816,0.081876,0.123435", \ "0.032894,0.035055,0.038965,0.046043,0.058821,0.081865,0.123445", \ "0.036401,0.038286,0.041695,0.048010,0.059756,0.081964,0.123405", \ "0.042845,0.044840,0.048413,0.054753,0.065857,0.085670,0.124099", \ "0.050289,0.052390,0.056117,0.062743,0.074348,0.094454,0.129830"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013287,0.014030,0.015352,0.017683,0.021767,0.028917,0.041520", \ "0.014675,0.015419,0.016740,0.019069,0.023154,0.030306,0.042909", \ "0.018595,0.019363,0.020716,0.023057,0.027137,0.034312,0.046949", \ "0.023528,0.024477,0.026131,0.028952,0.033683,0.041515,0.054532", \ "0.026964,0.028213,0.030385,0.034058,0.040057,0.049604,0.064617", \ "0.027857,0.029438,0.032220,0.036879,0.044470,0.056393,0.074572", \ "0.025877,0.027804,0.031221,0.036913,0.046189,0.060739,0.082750"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.049460,0.051424,0.054977,0.061387,0.072940,0.093775,0.131427", \ "0.050711,0.052688,0.056261,0.062698,0.074288,0.095175,0.132880", \ "0.056181,0.058163,0.061738,0.068188,0.079810,0.100740,0.138518", \ "0.065788,0.067766,0.071306,0.077714,0.089296,0.110193,0.147951", \ "0.078372,0.080625,0.084587,0.091518,0.103511,0.124366,0.161998", \ "0.092587,0.095148,0.099700,0.107583,0.121112,0.144083,0.182476", \ "0.109912,0.112774,0.117847,0.126805,0.141793,0.167097,0.209087"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010573,0.011114,0.012094,0.013860,0.017040,0.022781,0.033212", \ "0.010531,0.011078,0.012063,0.013839,0.017027,0.022774,0.033209", \ "0.010851,0.011345,0.012264,0.013949,0.017042,0.022761,0.033211", \ "0.013853,0.014276,0.015071,0.016534,0.019234,0.024195,0.033747", \ "0.019157,0.019584,0.020355,0.021764,0.024310,0.028976,0.037675", \ "0.025970,0.026465,0.027337,0.028899,0.031651,0.036405,0.044870", \ "0.033953,0.034552,0.035564,0.037395,0.040542,0.045839,0.054704"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026187,0.027988,0.031235,0.037100,0.047676,0.066705,0.101045", \ "0.026192,0.027992,0.031236,0.037103,0.047667,0.066700,0.101062", \ "0.026199,0.027997,0.031239,0.037101,0.047670,0.066711,0.101052", \ "0.026499,0.028232,0.031401,0.037172,0.047689,0.066712,0.101041", \ "0.030975,0.032577,0.035405,0.040362,0.049613,0.067223,0.101007", \ "0.037193,0.038878,0.041891,0.047214,0.056532,0.072637,0.103045", \ "0.044355,0.046159,0.049338,0.054993,0.064792,0.081729,0.110866"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013296,0.014040,0.015361,0.017693,0.021775,0.028926,0.041529", \ "0.014729,0.015473,0.016795,0.019125,0.023208,0.030360,0.042966", \ "0.018717,0.019483,0.020835,0.023176,0.027256,0.034432,0.047068", \ "0.023684,0.024633,0.026284,0.029104,0.033831,0.041658,0.054670", \ "0.027070,0.028324,0.030503,0.034178,0.040187,0.049732,0.064752", \ "0.027707,0.029306,0.032102,0.036793,0.044430,0.056407,0.074638", \ "0.025129,0.027100,0.030566,0.036336,0.045735,0.060442,0.082603"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.060564,0.062964,0.067300,0.075112,0.089199,0.114614,0.160540", \ "0.061592,0.064005,0.068361,0.076213,0.090348,0.115812,0.161772", \ "0.066577,0.068991,0.073356,0.081219,0.095392,0.120922,0.166967", \ "0.075808,0.078218,0.082545,0.090374,0.104506,0.130001,0.176047", \ "0.088980,0.091584,0.096197,0.104226,0.118336,0.143724,0.189644", \ "0.104276,0.107194,0.112383,0.121492,0.137131,0.163917,0.209648", \ "0.123173,0.126419,0.132178,0.142405,0.159633,0.188776,0.237554"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010575,0.011116,0.012094,0.013861,0.017040,0.022781,0.033212", \ "0.010538,0.011084,0.012067,0.013842,0.017029,0.022775,0.033210", \ "0.010843,0.011339,0.012260,0.013946,0.017041,0.022762,0.033210", \ "0.013801,0.014234,0.015027,0.016488,0.019188,0.024172,0.033735", \ "0.019081,0.019515,0.020287,0.021694,0.024252,0.028933,0.037638", \ "0.025911,0.026406,0.027285,0.028856,0.031617,0.036376,0.044839", \ "0.033941,0.034556,0.035577,0.037422,0.040579,0.045887,0.054732"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032755,0.034942,0.038890,0.046007,0.058824,0.081882,0.123485", \ "0.032755,0.034946,0.038887,0.046011,0.058824,0.081872,0.123433", \ "0.032763,0.034946,0.038892,0.046008,0.058816,0.081876,0.123435", \ "0.032894,0.035055,0.038965,0.046043,0.058821,0.081865,0.123445", \ "0.036401,0.038286,0.041695,0.048010,0.059756,0.081964,0.123405", \ "0.042845,0.044840,0.048413,0.054753,0.065857,0.085670,0.124099", \ "0.050289,0.052390,0.056117,0.062743,0.074348,0.094454,0.129830"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013537,0.014279,0.015596,0.017923,0.022005,0.029161,0.041779", \ "0.014970,0.015712,0.017029,0.019355,0.023438,0.030596,0.043216", \ "0.018966,0.019729,0.021074,0.023405,0.027487,0.034668,0.047322", \ "0.024008,0.024947,0.026582,0.029384,0.034094,0.041911,0.054925", \ "0.027536,0.028775,0.030929,0.034570,0.040537,0.050047,0.065049", \ "0.028368,0.029945,0.032705,0.037334,0.044916,0.056832,0.075016", \ "0.026052,0.027994,0.031394,0.037079,0.046395,0.061016,0.083091"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.069078,0.071482,0.075828,0.083643,0.097743,0.123174,0.169168", \ "0.070165,0.072582,0.076928,0.084779,0.098915,0.124400,0.170395", \ "0.075174,0.077595,0.081949,0.089819,0.104002,0.129534,0.175606", \ "0.084376,0.086787,0.091118,0.098952,0.113092,0.138606,0.184695", \ "0.098188,0.100670,0.105033,0.112830,0.126902,0.152316,0.198252", \ "0.114593,0.117410,0.122408,0.131235,0.146412,0.172576,0.218271", \ "0.134601,0.137680,0.143185,0.153031,0.169770,0.198267,0.246367"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012806,0.013312,0.014238,0.015932,0.019034,0.024714,0.035107", \ "0.012772,0.013281,0.014213,0.015913,0.019023,0.024708,0.035105", \ "0.013051,0.013523,0.014392,0.016010,0.019031,0.024695,0.035105", \ "0.016139,0.016531,0.017258,0.018626,0.021207,0.026085,0.035623", \ "0.021866,0.022226,0.022895,0.024157,0.026520,0.031013,0.039573", \ "0.029434,0.029830,0.030556,0.031897,0.034350,0.038782,0.046961", \ "0.038370,0.038854,0.039665,0.041202,0.043944,0.048760,0.057155"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.037224,0.039434,0.043412,0.050572,0.063461,0.086621,0.128362", \ "0.037224,0.039436,0.043409,0.050575,0.063453,0.086632,0.128311", \ "0.037230,0.039435,0.043412,0.050570,0.063466,0.086616,0.128290", \ "0.037279,0.039479,0.043440,0.050587,0.063452,0.086619,0.128315", \ "0.039730,0.041694,0.045259,0.051815,0.063931,0.086648,0.128231", \ "0.046153,0.048159,0.051738,0.058149,0.069162,0.089516,0.128670", \ "0.053576,0.055674,0.059426,0.066096,0.077719,0.097940,0.133768"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.016350,0.017155,0.018590,0.021106,0.025480,0.033041,0.046144", \ "0.017622,0.018427,0.019857,0.022373,0.026744,0.034304,0.047406", \ "0.022933,0.023667,0.025002,0.027411,0.031691,0.039185,0.052252", \ "0.031407,0.032361,0.034054,0.036944,0.041730,0.049418,0.062098", \ "0.037665,0.038897,0.041079,0.044846,0.051088,0.061167,0.076871", \ "0.041466,0.042975,0.045639,0.050207,0.057895,0.070351,0.089863", \ "0.042677,0.044458,0.047603,0.052881,0.061998,0.076783,0.100033"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.042408,0.044106,0.047137,0.052564,0.062266,0.079676,0.111061", \ "0.043647,0.045369,0.048454,0.053960,0.063783,0.081328,0.112830", \ "0.049254,0.050954,0.054012,0.059498,0.069327,0.086960,0.118618", \ "0.059298,0.061016,0.064073,0.069529,0.079278,0.096813,0.128432", \ "0.070307,0.072361,0.075979,0.082242,0.092964,0.110949,0.142475", \ "0.080309,0.082765,0.087058,0.094391,0.106876,0.127606,0.161564", \ "0.090753,0.093617,0.098617,0.107097,0.121421,0.144941,0.182936"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012292,0.012870,0.013905,0.015755,0.019053,0.024942,0.035507", \ "0.012200,0.012786,0.013834,0.015704,0.019023,0.024927,0.035501", \ "0.011726,0.012285,0.013303,0.015182,0.018669,0.024795,0.035469", \ "0.016417,0.016920,0.017783,0.019268,0.021753,0.026209,0.035564", \ "0.023125,0.023777,0.024875,0.026745,0.029847,0.034796,0.042654", \ "0.031035,0.031841,0.033231,0.035585,0.039406,0.045488,0.054896", \ "0.040161,0.041145,0.042856,0.045772,0.050386,0.057673,0.068849"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.018616,0.020047,0.022658,0.027401,0.035974,0.051441,0.079388", \ "0.018647,0.020067,0.022676,0.027404,0.035981,0.051463,0.079399", \ "0.018688,0.020109,0.022701,0.027425,0.035965,0.051472,0.079371", \ "0.019265,0.020595,0.023052,0.027614,0.036036,0.051456,0.079397", \ "0.023887,0.025154,0.027439,0.031522,0.038723,0.052588,0.079437", \ "0.030406,0.031702,0.034073,0.038371,0.045976,0.059262,0.082954", \ "0.039450,0.040711,0.043002,0.047280,0.054979,0.068647,0.092577"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015342,0.016144,0.017577,0.020084,0.024456,0.032004,0.045085", \ "0.016615,0.017413,0.018837,0.021340,0.025696,0.033239,0.046317", \ "0.022045,0.022755,0.024061,0.026430,0.030664,0.038116,0.051143", \ "0.029991,0.030972,0.032711,0.035659,0.040527,0.048330,0.061000", \ "0.035599,0.036871,0.039111,0.042974,0.049361,0.059614,0.075517", \ "0.038550,0.040116,0.042874,0.047592,0.055477,0.068199,0.088026", \ "0.038759,0.040607,0.043863,0.049339,0.058739,0.073888,0.097582"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.049335,0.051443,0.055224,0.062008,0.074181,0.096081,0.135574", \ "0.050398,0.052545,0.056389,0.063279,0.075599,0.097664,0.137314", \ "0.055660,0.057776,0.061582,0.068442,0.080780,0.102948,0.142802", \ "0.064701,0.066805,0.070580,0.077373,0.089600,0.111650,0.151445", \ "0.074810,0.077186,0.081406,0.088806,0.101610,0.123673,0.163323", \ "0.084159,0.086885,0.091676,0.099975,0.114329,0.138673,0.179465", \ "0.094474,0.097556,0.102956,0.112196,0.128070,0.154758,0.199107"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011607,0.012196,0.013240,0.015109,0.018427,0.024327,0.034891", \ "0.011471,0.012071,0.013135,0.015026,0.018369,0.024294,0.034876", \ "0.011228,0.011767,0.012750,0.014571,0.017983,0.024139,0.034831", \ "0.016160,0.016666,0.017529,0.019011,0.021511,0.025858,0.035030", \ "0.022939,0.023594,0.024696,0.026564,0.029654,0.034593,0.042443", \ "0.031033,0.031845,0.033219,0.035558,0.039366,0.045415,0.054777", \ "0.040413,0.041428,0.043111,0.046022,0.050596,0.057817,0.068900"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023274,0.025071,0.028335,0.034259,0.044981,0.064330,0.099244", \ "0.023286,0.025087,0.028348,0.034262,0.044969,0.064309,0.099248", \ "0.023313,0.025103,0.028355,0.034275,0.044991,0.064313,0.099242", \ "0.023614,0.025343,0.028520,0.034349,0.044997,0.064330,0.099248", \ "0.027449,0.029069,0.031969,0.037084,0.046632,0.064729,0.099231", \ "0.033085,0.034725,0.037716,0.043138,0.052785,0.069661,0.101108", \ "0.041250,0.042819,0.045671,0.051000,0.060642,0.077966,0.108478"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015626,0.016427,0.017852,0.020358,0.024720,0.032270,0.045368", \ "0.016898,0.017694,0.019112,0.021610,0.025963,0.033506,0.046600", \ "0.022288,0.023003,0.024312,0.026686,0.030922,0.038380,0.051423", \ "0.030388,0.031358,0.033069,0.035993,0.040836,0.048604,0.061272", \ "0.036174,0.037431,0.039645,0.043467,0.049811,0.060016,0.075871", \ "0.039378,0.040918,0.043626,0.048290,0.056123,0.068775,0.088524", \ "0.039904,0.041716,0.044907,0.050310,0.059614,0.074672,0.098273"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.056656,0.058753,0.062528,0.069314,0.081507,0.103438,0.142997", \ "0.057894,0.060017,0.063839,0.070701,0.083007,0.105074,0.144754", \ "0.063095,0.065206,0.069021,0.075885,0.088226,0.110410,0.150278", \ "0.072058,0.074152,0.077926,0.084728,0.096980,0.119065,0.158913", \ "0.083064,0.085351,0.089430,0.096607,0.109041,0.131039,0.170740", \ "0.093638,0.096227,0.100809,0.108748,0.122681,0.146531,0.186816", \ "0.105181,0.108095,0.113217,0.122032,0.137300,0.163344,0.207042"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.014093,0.014636,0.015620,0.017399,0.020608,0.026395,0.036864", \ "0.013956,0.014511,0.015512,0.017315,0.020552,0.026362,0.036849", \ "0.013628,0.014139,0.015083,0.016843,0.020169,0.026211,0.036807", \ "0.018914,0.019331,0.020067,0.021363,0.023606,0.027870,0.036994", \ "0.026677,0.027200,0.028104,0.029683,0.032396,0.036938,0.044403", \ "0.035830,0.036482,0.037605,0.039573,0.042886,0.048379,0.057203", \ "0.046354,0.047154,0.048553,0.050997,0.054948,0.061480,0.071878"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026988,0.028818,0.032122,0.038102,0.048870,0.068333,0.103321", \ "0.026990,0.028817,0.032120,0.038095,0.048882,0.068299,0.103330", \ "0.027004,0.028828,0.032128,0.038103,0.048868,0.068292,0.103334", \ "0.027126,0.028925,0.032192,0.038124,0.048891,0.068327,0.103319", \ "0.030297,0.031901,0.034785,0.040094,0.049959,0.068501,0.103297", \ "0.035750,0.037457,0.040536,0.046055,0.055772,0.072735,0.104725", \ "0.043276,0.044965,0.048016,0.053555,0.063458,0.080974,0.111554"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012265,0.013130,0.014661,0.017332,0.021935,0.029808,0.043288", \ "0.013668,0.014516,0.016022,0.018665,0.023240,0.031088,0.044553", \ "0.019734,0.020506,0.021836,0.024174,0.028450,0.036075,0.049412", \ "0.027125,0.028191,0.030066,0.033223,0.038386,0.046529,0.059415", \ "0.032272,0.033632,0.036060,0.040154,0.046868,0.057533,0.073875", \ "0.034867,0.036531,0.039456,0.044430,0.052681,0.065850,0.086160", \ "0.034733,0.036694,0.040116,0.045883,0.055649,0.071269,0.095504"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.046675,0.048717,0.052370,0.058892,0.070551,0.091483,0.129204", \ "0.047780,0.049858,0.053575,0.060199,0.071993,0.093076,0.130954", \ "0.053245,0.055297,0.058976,0.065573,0.077389,0.098562,0.136636", \ "0.063119,0.065178,0.068848,0.075397,0.087112,0.108175,0.146185", \ "0.074095,0.076441,0.080595,0.087808,0.100203,0.121391,0.159260", \ "0.084058,0.086775,0.091524,0.099691,0.113683,0.137228,0.176484", \ "0.094623,0.097702,0.103099,0.112255,0.127850,0.153824,0.196697"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010881,0.011545,0.012713,0.014750,0.018278,0.024385,0.035081", \ "0.010628,0.011315,0.012512,0.014594,0.018166,0.024317,0.035047", \ "0.011021,0.011507,0.012425,0.014193,0.017584,0.023951,0.034906", \ "0.016595,0.017092,0.017939,0.019403,0.021861,0.026064,0.035066", \ "0.023692,0.024336,0.025410,0.027240,0.030248,0.035096,0.042816", \ "0.032080,0.032872,0.034229,0.036505,0.040202,0.046098,0.055269", \ "0.041774,0.042766,0.044433,0.047275,0.051700,0.058700,0.069521"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.020039,0.021770,0.024922,0.030664,0.041110,0.060028,0.094234", \ "0.020084,0.021805,0.024951,0.030684,0.041097,0.060035,0.094233", \ "0.020154,0.021869,0.024998,0.030714,0.041117,0.060033,0.094228", \ "0.020616,0.022259,0.025283,0.030878,0.041199,0.060055,0.094224", \ "0.024522,0.026085,0.028919,0.033907,0.043087,0.060660,0.094256", \ "0.029956,0.031573,0.034505,0.039828,0.049283,0.065874,0.096416", \ "0.037691,0.039282,0.042176,0.047518,0.057092,0.074175,0.104209"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011810,0.012656,0.014158,0.016786,0.021329,0.029127,0.042518", \ "0.013214,0.014041,0.015517,0.018110,0.022619,0.030386,0.043757", \ "0.019177,0.019953,0.021306,0.023608,0.027820,0.035362,0.048600", \ "0.026066,0.027152,0.029061,0.032266,0.037491,0.045721,0.058596", \ "0.030585,0.031985,0.034453,0.038634,0.045470,0.056272,0.072789", \ "0.032336,0.034058,0.037075,0.042197,0.050631,0.064043,0.084618", \ "0.031223,0.033230,0.036786,0.042742,0.052775,0.068745,0.093365"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.053234,0.055688,0.060075,0.067951,0.082070,0.107478,0.153314", \ "0.054167,0.056665,0.061128,0.069123,0.083415,0.109008,0.155036", \ "0.059299,0.061754,0.066173,0.074135,0.088435,0.114154,0.160403", \ "0.068216,0.070662,0.075038,0.082914,0.097090,0.122659,0.168848", \ "0.078215,0.080905,0.085687,0.094079,0.108585,0.134080,0.180085", \ "0.087439,0.090456,0.095740,0.104941,0.120936,0.148306,0.194801", \ "0.097746,0.101073,0.106897,0.116920,0.134229,0.163675,0.213295"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010310,0.010969,0.012129,0.014158,0.017671,0.023764,0.034443", \ "0.010054,0.010732,0.011923,0.013989,0.017548,0.023679,0.034398", \ "0.010699,0.011165,0.012032,0.013739,0.017038,0.023334,0.034258", \ "0.016315,0.016813,0.017660,0.019127,0.021574,0.025723,0.034567", \ "0.023457,0.024096,0.025178,0.027004,0.030020,0.034857,0.042565", \ "0.032000,0.032787,0.034145,0.036417,0.040086,0.045944,0.055082", \ "0.041977,0.042954,0.044604,0.047413,0.051812,0.058746,0.069481"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.024044,0.026154,0.029997,0.036974,0.049611,0.072419,0.113669", \ "0.024075,0.026182,0.030007,0.036979,0.049605,0.072411,0.113668", \ "0.024122,0.026216,0.030036,0.036987,0.049613,0.072405,0.113675", \ "0.024387,0.026437,0.030184,0.037064,0.049613,0.072426,0.113676", \ "0.027723,0.029659,0.033071,0.039247,0.050797,0.072646,0.113641", \ "0.032516,0.034491,0.038081,0.044591,0.056176,0.076474,0.114780", \ "0.039671,0.041578,0.045055,0.051492,0.063121,0.083951,0.120622"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012096,0.012939,0.014436,0.017058,0.021598,0.029396,0.042799", \ "0.013493,0.014319,0.015790,0.018379,0.022886,0.030655,0.044038", \ "0.019451,0.020218,0.021551,0.023851,0.028073,0.035624,0.048879", \ "0.026499,0.027570,0.029455,0.032627,0.037813,0.046002,0.058864", \ "0.031230,0.032610,0.035042,0.039178,0.045951,0.056698,0.073151", \ "0.033277,0.034963,0.037910,0.042961,0.051323,0.064640,0.085140", \ "0.032533,0.034509,0.037964,0.043816,0.053729,0.069570,0.094079"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.061775,0.064207,0.068586,0.076455,0.090594,0.116038,0.161948", \ "0.062909,0.065374,0.069807,0.077763,0.092029,0.117627,0.163687", \ "0.067963,0.070413,0.074834,0.082792,0.097107,0.122831,0.169104", \ "0.076784,0.079214,0.083591,0.091473,0.105680,0.131304,0.177545", \ "0.087593,0.090194,0.094815,0.102913,0.117159,0.142646,0.188721", \ "0.097930,0.100800,0.105875,0.114718,0.130311,0.157223,0.203349", \ "0.109316,0.112469,0.118023,0.127627,0.144386,0.173252,0.222304"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012986,0.013588,0.014664,0.016577,0.019949,0.025893,0.036448", \ "0.012709,0.013335,0.014445,0.016399,0.019820,0.025809,0.036404", \ "0.013107,0.013564,0.014416,0.016070,0.019284,0.025460,0.036264", \ "0.019350,0.019731,0.020409,0.021637,0.023798,0.027765,0.036549", \ "0.027585,0.028058,0.028874,0.030350,0.032927,0.037285,0.044567", \ "0.037306,0.037876,0.038903,0.040706,0.043797,0.049031,0.057571", \ "0.048528,0.049244,0.050502,0.052743,0.056407,0.062549,0.072523"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028342,0.030504,0.034410,0.041469,0.054198,0.077151,0.118556", \ "0.028352,0.030515,0.034412,0.041473,0.054195,0.077130,0.118563", \ "0.028375,0.030528,0.034425,0.041481,0.054214,0.077141,0.118549", \ "0.028490,0.030624,0.034489,0.041504,0.054207,0.077136,0.118541", \ "0.031095,0.033026,0.036548,0.042989,0.054916,0.077223,0.118525", \ "0.035812,0.037877,0.041583,0.048209,0.059902,0.080406,0.119288", \ "0.042366,0.044412,0.048124,0.054795,0.066698,0.087744,0.124597"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012564,0.013425,0.014950,0.017613,0.022211,0.030083,0.043571", \ "0.013960,0.014805,0.016307,0.018944,0.023514,0.031362,0.044837", \ "0.020016,0.020774,0.022078,0.024425,0.028709,0.036342,0.049695", \ "0.027569,0.028621,0.030462,0.033587,0.038706,0.046817,0.059687", \ "0.032926,0.034272,0.036651,0.040693,0.047351,0.057952,0.074243", \ "0.035797,0.037426,0.040294,0.045197,0.053368,0.066449,0.086683", \ "0.036056,0.037949,0.041288,0.046948,0.056589,0.072088,0.096210"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.053710,0.055727,0.059354,0.065856,0.077518,0.098471,0.136267", \ "0.054984,0.057027,0.060698,0.067273,0.079037,0.100121,0.138020", \ "0.060396,0.062429,0.066091,0.072667,0.084467,0.105650,0.143731", \ "0.070246,0.072269,0.075902,0.082423,0.094143,0.115240,0.153295", \ "0.082213,0.084452,0.088414,0.095360,0.107362,0.128427,0.166339", \ "0.093444,0.095995,0.100484,0.108260,0.121787,0.144810,0.183559", \ "0.105265,0.108142,0.113214,0.121888,0.136819,0.162124,0.204373"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013664,0.014261,0.015331,0.017236,0.020602,0.026544,0.037103", \ "0.013401,0.014023,0.015128,0.017074,0.020489,0.026474,0.037068", \ "0.013558,0.014022,0.014904,0.016603,0.019882,0.026110,0.036928", \ "0.019639,0.020022,0.020702,0.021921,0.024091,0.028136,0.037071", \ "0.027787,0.028267,0.029091,0.030565,0.033139,0.037517,0.044819", \ "0.037299,0.037884,0.038942,0.040757,0.043885,0.049156,0.057748", \ "0.048201,0.048921,0.050223,0.052509,0.056238,0.062472,0.072546"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023451,0.025231,0.028454,0.034283,0.044821,0.063860,0.098198", \ "0.023470,0.025249,0.028463,0.034296,0.044840,0.063851,0.098207", \ "0.023507,0.025279,0.028491,0.034315,0.044836,0.063874,0.098211", \ "0.023743,0.025481,0.028643,0.034397,0.044872,0.063859,0.098218", \ "0.027068,0.028666,0.031502,0.036647,0.046211,0.064185,0.098199", \ "0.032308,0.034014,0.037076,0.042523,0.052125,0.068770,0.099880", \ "0.039523,0.041251,0.044356,0.049932,0.059771,0.077059,0.107174"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012096,0.012939,0.014436,0.017058,0.021598,0.029396,0.042799", \ "0.013493,0.014319,0.015790,0.018379,0.022886,0.030655,0.044038", \ "0.019451,0.020218,0.021551,0.023851,0.028073,0.035624,0.048879", \ "0.026499,0.027570,0.029455,0.032627,0.037813,0.046002,0.058864", \ "0.031230,0.032610,0.035042,0.039178,0.045951,0.056698,0.073151", \ "0.033277,0.034963,0.037910,0.042961,0.051323,0.064640,0.085140", \ "0.032533,0.034509,0.037964,0.043816,0.053729,0.069570,0.094079"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.061775,0.064207,0.068586,0.076455,0.090594,0.116038,0.161948", \ "0.062909,0.065374,0.069807,0.077763,0.092029,0.117627,0.163687", \ "0.067963,0.070413,0.074834,0.082792,0.097107,0.122831,0.169104", \ "0.076784,0.079214,0.083591,0.091473,0.105680,0.131304,0.177545", \ "0.087593,0.090194,0.094815,0.102913,0.117159,0.142646,0.188721", \ "0.097930,0.100800,0.105875,0.114718,0.130311,0.157223,0.203349", \ "0.109316,0.112469,0.118023,0.127627,0.144386,0.173252,0.222304"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012986,0.013588,0.014664,0.016577,0.019949,0.025893,0.036448", \ "0.012709,0.013335,0.014445,0.016399,0.019820,0.025809,0.036404", \ "0.013107,0.013564,0.014416,0.016070,0.019284,0.025460,0.036264", \ "0.019350,0.019731,0.020409,0.021637,0.023798,0.027765,0.036549", \ "0.027585,0.028058,0.028874,0.030350,0.032927,0.037285,0.044567", \ "0.037306,0.037876,0.038903,0.040706,0.043797,0.049031,0.057571", \ "0.048528,0.049244,0.050502,0.052743,0.056407,0.062549,0.072523"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028342,0.030504,0.034410,0.041469,0.054198,0.077151,0.118556", \ "0.028352,0.030515,0.034412,0.041473,0.054195,0.077130,0.118563", \ "0.028375,0.030528,0.034425,0.041481,0.054214,0.077141,0.118549", \ "0.028490,0.030624,0.034489,0.041504,0.054207,0.077136,0.118541", \ "0.031095,0.033026,0.036548,0.042989,0.054916,0.077223,0.118525", \ "0.035812,0.037877,0.041583,0.048209,0.059902,0.080406,0.119288", \ "0.042366,0.044412,0.048124,0.054795,0.066698,0.087744,0.124597"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012384,0.013224,0.014716,0.017332,0.021866,0.029665,0.043078", \ "0.013774,0.014598,0.016064,0.018650,0.023152,0.030922,0.044316", \ "0.019724,0.020482,0.021790,0.024097,0.028326,0.035886,0.049156", \ "0.026938,0.027993,0.029845,0.032987,0.038132,0.046278,0.059139", \ "0.031875,0.033233,0.035627,0.039711,0.046424,0.057112,0.073512", \ "0.034212,0.035873,0.038758,0.043729,0.052001,0.065225,0.085636", \ "0.033869,0.035802,0.039174,0.044911,0.054690,0.070387,0.094774"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.070321,0.072745,0.077117,0.084978,0.099131,0.124607,0.170595", \ "0.071599,0.074045,0.078457,0.086388,0.100637,0.126238,0.172356", \ "0.076649,0.079091,0.083504,0.091460,0.105768,0.131495,0.177783", \ "0.085373,0.087795,0.092171,0.100066,0.114297,0.139948,0.186229", \ "0.096734,0.099231,0.103664,0.111555,0.125729,0.151242,0.197354", \ "0.108043,0.110797,0.115688,0.124280,0.139524,0.166001,0.211971", \ "0.120367,0.123357,0.128733,0.137969,0.154317,0.182699,0.231209"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015516,0.016078,0.017089,0.018906,0.022161,0.027983,0.038438", \ "0.015238,0.015823,0.016866,0.018726,0.022030,0.027900,0.038394", \ "0.015525,0.015950,0.016760,0.018348,0.021476,0.027550,0.038255", \ "0.022070,0.022378,0.022933,0.023986,0.025913,0.029780,0.038520", \ "0.031166,0.031539,0.032194,0.033408,0.035654,0.039622,0.046536", \ "0.041892,0.042327,0.043143,0.044625,0.047277,0.051991,0.059994", \ "0.054198,0.054748,0.055738,0.057588,0.060703,0.066203,0.075500"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032749,0.034937,0.038885,0.045999,0.058810,0.081870,0.123487", \ "0.032748,0.034941,0.038885,0.046004,0.058817,0.081871,0.123484", \ "0.032762,0.034948,0.038890,0.046007,0.058826,0.081891,0.123429", \ "0.032810,0.034989,0.038920,0.046020,0.058822,0.081866,0.123442", \ "0.034634,0.036637,0.040285,0.046942,0.059166,0.081900,0.123385", \ "0.039447,0.041531,0.045271,0.051938,0.063588,0.084459,0.123844", \ "0.045651,0.047764,0.051557,0.058370,0.070406,0.091559,0.128654"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.017641,0.018448,0.019880,0.022396,0.026768,0.034327,0.047431", \ "0.018968,0.019774,0.021207,0.023720,0.028090,0.035650,0.048757", \ "0.023054,0.023837,0.025240,0.027722,0.032077,0.039642,0.052765", \ "0.029638,0.030526,0.032082,0.034792,0.039432,0.047226,0.060387", \ "0.035809,0.036910,0.038832,0.042126,0.047635,0.056656,0.071310", \ "0.039956,0.041341,0.043747,0.047838,0.054623,0.065537,0.082708", \ "0.041687,0.043347,0.046228,0.051139,0.059315,0.072462,0.092900"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.047361,0.049016,0.052002,0.057375,0.067033,0.084426,0.115817", \ "0.048873,0.050546,0.053553,0.058954,0.068657,0.086097,0.117519", \ "0.054855,0.056525,0.059535,0.064948,0.074675,0.092159,0.123653", \ "0.065084,0.066759,0.069767,0.075169,0.084871,0.102334,0.133823", \ "0.077250,0.079191,0.082619,0.088613,0.098972,0.116612,0.148059", \ "0.088613,0.090910,0.094952,0.101925,0.113888,0.134022,0.167366", \ "0.100619,0.103287,0.107963,0.115994,0.129577,0.152314,0.189504"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012250,0.012831,0.013869,0.015727,0.019037,0.024930,0.035504", \ "0.012213,0.012795,0.013840,0.015704,0.019019,0.024922,0.035501", \ "0.011962,0.012552,0.013604,0.015491,0.018864,0.024869,0.035490", \ "0.013836,0.014382,0.015339,0.017059,0.020095,0.025498,0.035630", \ "0.018046,0.018594,0.019556,0.021249,0.024208,0.029432,0.038715", \ "0.023827,0.024468,0.025563,0.027453,0.030646,0.035966,0.045111", \ "0.030659,0.031417,0.032731,0.034964,0.038641,0.044561,0.054137"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.021497,0.022958,0.025604,0.030393,0.039017,0.054577,0.082591", \ "0.021507,0.022965,0.025609,0.030394,0.039023,0.054593,0.082611", \ "0.021520,0.022977,0.025613,0.030393,0.039025,0.054586,0.082586", \ "0.021802,0.023213,0.025786,0.030493,0.039056,0.054595,0.082583", \ "0.025853,0.027155,0.029490,0.033599,0.041101,0.055320,0.082603", \ "0.032100,0.033479,0.035947,0.040351,0.048084,0.061426,0.085563", \ "0.040190,0.041609,0.044142,0.048723,0.056766,0.070707,0.094773"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.016652,0.017454,0.018879,0.021385,0.025749,0.033292,0.046378", \ "0.017964,0.018765,0.020190,0.022691,0.027048,0.034586,0.047669", \ "0.022077,0.022851,0.024234,0.026693,0.031021,0.038554,0.051646", \ "0.028450,0.029346,0.030920,0.033642,0.038300,0.046112,0.059264", \ "0.034157,0.035281,0.037248,0.040616,0.046202,0.055336,0.070066", \ "0.037598,0.039027,0.041520,0.045728,0.052672,0.063811,0.081183", \ "0.038421,0.040156,0.043149,0.048236,0.056658,0.070123,0.090925"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.055571,0.057641,0.061372,0.068104,0.080233,0.102102,0.141614", \ "0.056983,0.059069,0.062831,0.069605,0.081790,0.103719,0.143273", \ "0.062717,0.064802,0.068564,0.075344,0.087559,0.109548,0.149197", \ "0.071922,0.073999,0.077751,0.084507,0.096685,0.118645,0.158283", \ "0.082969,0.085254,0.089318,0.096477,0.108897,0.130831,0.170412", \ "0.093488,0.096077,0.100661,0.108660,0.122542,0.146343,0.186634", \ "0.105156,0.108066,0.113189,0.122038,0.137282,0.163284,0.206914"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.011540,0.012127,0.013184,0.015062,0.018393,0.024304,0.034879", \ "0.011468,0.012064,0.013125,0.015012,0.018356,0.024282,0.034870", \ "0.011295,0.011880,0.012934,0.014824,0.018198,0.024222,0.034856", \ "0.013388,0.013919,0.014866,0.016570,0.019596,0.024956,0.035038", \ "0.017751,0.018299,0.019254,0.020930,0.023864,0.029023,0.038249", \ "0.023644,0.024291,0.025382,0.027269,0.030441,0.035728,0.044787", \ "0.030626,0.031395,0.032705,0.034933,0.038600,0.044486,0.053973"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.026996,0.028824,0.032128,0.038096,0.048880,0.068299,0.103345", \ "0.026998,0.028825,0.032132,0.038099,0.048891,0.068308,0.103329", \ "0.027010,0.028833,0.032130,0.038101,0.048887,0.068304,0.103348", \ "0.027132,0.028931,0.032196,0.038132,0.048890,0.068297,0.103323", \ "0.030274,0.031899,0.034807,0.040111,0.049994,0.068529,0.103321", \ "0.035677,0.037388,0.040472,0.045988,0.055735,0.072753,0.104746", \ "0.042840,0.044568,0.047696,0.053315,0.063320,0.080909,0.111563"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.016935,0.017734,0.019155,0.021657,0.026014,0.033560,0.046658", \ "0.018247,0.019044,0.020463,0.022960,0.027312,0.034854,0.047949", \ "0.022345,0.023116,0.024498,0.026958,0.031285,0.038822,0.051929", \ "0.028775,0.029662,0.031225,0.033940,0.038585,0.046382,0.059540", \ "0.034602,0.035711,0.037658,0.040998,0.046567,0.055658,0.070372", \ "0.038219,0.039618,0.042081,0.046248,0.053152,0.064237,0.081569", \ "0.039267,0.040960,0.043906,0.048940,0.057292,0.070694,0.091424"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.062859,0.064930,0.068669,0.075410,0.087563,0.109472,0.149052", \ "0.064335,0.066417,0.070177,0.076947,0.089141,0.111105,0.150702", \ "0.070073,0.072159,0.075924,0.082711,0.094936,0.116949,0.156628", \ "0.079244,0.081322,0.085077,0.091846,0.104046,0.126034,0.165747", \ "0.090957,0.093164,0.097113,0.104034,0.116264,0.138201,0.177819", \ "0.102566,0.105055,0.109469,0.117187,0.130734,0.154121,0.194026", \ "0.115301,0.118063,0.122970,0.131482,0.146260,0.171722,0.214760"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.014022,0.014570,0.015561,0.017351,0.020575,0.026374,0.036855", \ "0.013949,0.014502,0.015501,0.017302,0.020538,0.026352,0.036845", \ "0.013751,0.014301,0.015298,0.017109,0.020379,0.026293,0.036831", \ "0.015935,0.016413,0.017285,0.018881,0.021763,0.027002,0.037007", \ "0.020726,0.021189,0.022019,0.023525,0.026256,0.031188,0.040231", \ "0.027340,0.027857,0.028764,0.030391,0.033230,0.038181,0.046935", \ "0.035122,0.035772,0.036850,0.038734,0.041961,0.047364,0.056404"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.030756,0.032603,0.035937,0.041958,0.052792,0.072307,0.107484", \ "0.030759,0.032605,0.035937,0.041954,0.052790,0.072306,0.107456", \ "0.030760,0.032604,0.035940,0.041956,0.052790,0.072300,0.107440", \ "0.030808,0.032640,0.035958,0.041967,0.052789,0.072291,0.107456", \ "0.033187,0.034827,0.037826,0.043322,0.053468,0.072367,0.107422", \ "0.038617,0.040359,0.043468,0.049013,0.058783,0.075938,0.108474", \ "0.045523,0.047292,0.050488,0.056197,0.066328,0.083984,0.114721"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013601,0.014461,0.015985,0.018647,0.023242,0.031105,0.044579", \ "0.014988,0.015843,0.017356,0.020005,0.024586,0.032437,0.045902", \ "0.019395,0.020223,0.021682,0.024212,0.028676,0.036456,0.049896", \ "0.025625,0.026589,0.028271,0.031164,0.036022,0.044109,0.057561", \ "0.030876,0.032095,0.034234,0.037838,0.043753,0.053248,0.068345", \ "0.033809,0.035361,0.038048,0.042538,0.049873,0.061472,0.079346", \ "0.034133,0.035998,0.039219,0.044625,0.053470,0.067478,0.088859"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.052716,0.054708,0.058294,0.064746,0.076348,0.097247,0.134984", \ "0.054155,0.056165,0.059778,0.066266,0.077916,0.098872,0.136659", \ "0.060053,0.062062,0.065679,0.072178,0.083856,0.104863,0.142730", \ "0.070114,0.072122,0.075736,0.082220,0.093872,0.114850,0.152707", \ "0.082101,0.084333,0.088286,0.095222,0.107209,0.128225,0.166019", \ "0.093290,0.095848,0.100346,0.108138,0.121637,0.144629,0.183349", \ "0.105229,0.108123,0.113188,0.121908,0.136806,0.162068,0.204221"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010796,0.011455,0.012633,0.014687,0.018230,0.024352,0.035064", \ "0.010672,0.011343,0.012529,0.014595,0.018159,0.024305,0.035036", \ "0.010708,0.011305,0.012397,0.014362,0.017879,0.024120,0.034968", \ "0.013401,0.013921,0.014854,0.016536,0.019547,0.024935,0.035069", \ "0.018179,0.018717,0.019647,0.021288,0.024152,0.029228,0.038390", \ "0.024376,0.024993,0.026053,0.027898,0.030985,0.036162,0.045068", \ "0.031592,0.032350,0.033630,0.035794,0.039366,0.045101,0.054405"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.023488,0.025267,0.028480,0.034310,0.044846,0.063880,0.098222", \ "0.023505,0.025281,0.028491,0.034316,0.044842,0.063894,0.098212", \ "0.023523,0.025295,0.028504,0.034322,0.044848,0.063876,0.098227", \ "0.023759,0.025497,0.028652,0.034408,0.044890,0.063874,0.098222", \ "0.027033,0.028653,0.031522,0.036682,0.046238,0.064220,0.098208", \ "0.032246,0.033955,0.037017,0.042479,0.052094,0.068811,0.099934", \ "0.039071,0.040848,0.044022,0.049682,0.059639,0.076997,0.107175"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013164,0.014005,0.015497,0.018112,0.022643,0.030429,0.043812", \ "0.014547,0.015380,0.016860,0.019458,0.023970,0.031738,0.045108", \ "0.018886,0.019702,0.021142,0.023641,0.028039,0.035738,0.049082", \ "0.024846,0.025816,0.027507,0.030401,0.035261,0.043327,0.056731", \ "0.029611,0.030854,0.033031,0.036687,0.042674,0.052245,0.067377", \ "0.031862,0.033471,0.036228,0.040820,0.048289,0.060073,0.078106", \ "0.031319,0.033258,0.036578,0.042145,0.051220,0.065511,0.087195"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.060550,0.062952,0.067282,0.075083,0.089144,0.114517,0.160369", \ "0.061891,0.064311,0.068675,0.076523,0.090645,0.116081,0.161996", \ "0.067540,0.069959,0.074324,0.082182,0.096341,0.121851,0.167865", \ "0.076633,0.079042,0.083396,0.091227,0.105345,0.130822,0.176832", \ "0.087490,0.090084,0.094695,0.102800,0.116995,0.142416,0.188333", \ "0.097776,0.100645,0.105723,0.114626,0.130163,0.157028,0.203149", \ "0.109266,0.112422,0.117986,0.127633,0.144351,0.173174,0.222125"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.010220,0.010880,0.012048,0.014089,0.017618,0.023723,0.034420", \ "0.010078,0.010745,0.011925,0.013978,0.017530,0.023664,0.034386", \ "0.010209,0.010792,0.011863,0.013801,0.017283,0.023487,0.034316", \ "0.013013,0.013529,0.014445,0.016110,0.019078,0.024424,0.034481", \ "0.017871,0.018407,0.019335,0.020968,0.023806,0.028827,0.037921", \ "0.024143,0.024756,0.025824,0.027666,0.030752,0.035871,0.044718", \ "0.031510,0.032247,0.033529,0.035693,0.039252,0.044956,0.054195"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.028362,0.030525,0.034422,0.041478,0.054200,0.077172,0.118559", \ "0.028375,0.030532,0.034426,0.041481,0.054197,0.077146,0.118575", \ "0.028387,0.030542,0.034436,0.041481,0.054198,0.077150,0.118578", \ "0.028502,0.030636,0.034498,0.041509,0.054214,0.077153,0.118571", \ "0.031100,0.033046,0.036572,0.043010,0.054936,0.077222,0.118530", \ "0.035748,0.037813,0.041518,0.048141,0.059843,0.080430,0.119313", \ "0.041924,0.044031,0.047808,0.054580,0.066569,0.087663,0.124633"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013447,0.014285,0.015774,0.018384,0.022911,0.030697,0.044092", \ "0.014827,0.015658,0.017133,0.019727,0.024236,0.032006,0.045389", \ "0.019162,0.019975,0.021408,0.023898,0.028299,0.036003,0.049362", \ "0.025191,0.026150,0.027827,0.030702,0.035545,0.043599,0.057007", \ "0.030108,0.031336,0.033481,0.037105,0.043053,0.052571,0.067687", \ "0.032557,0.034132,0.036847,0.041386,0.048809,0.060519,0.078493", \ "0.032285,0.034166,0.037423,0.042921,0.051909,0.066113,0.087713"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.069033,0.071434,0.075764,0.083581,0.097673,0.123087,0.169005", \ "0.070442,0.072856,0.077215,0.085066,0.099205,0.124672,0.170657", \ "0.076103,0.078517,0.082884,0.090754,0.104926,0.130466,0.176519", \ "0.085161,0.087567,0.091918,0.099762,0.113912,0.139425,0.185479", \ "0.096583,0.099094,0.103543,0.111414,0.125540,0.150987,0.196964", \ "0.107878,0.110643,0.115549,0.124174,0.139398,0.165852,0.211739", \ "0.120306,0.123316,0.128677,0.137981,0.154271,0.182600,0.231049"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012889,0.013494,0.014578,0.016502,0.019892,0.025854,0.036425", \ "0.012733,0.013348,0.014448,0.016390,0.019803,0.025792,0.036391", \ "0.012748,0.013303,0.014320,0.016177,0.019543,0.025615,0.036321", \ "0.015716,0.016160,0.016986,0.018510,0.021327,0.026512,0.036480", \ "0.021107,0.021529,0.022295,0.023707,0.026280,0.031051,0.039938", \ "0.028169,0.028645,0.029485,0.030998,0.033687,0.038401,0.046901", \ "0.036481,0.037036,0.038027,0.039771,0.042803,0.047942,0.056674"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032767,0.034954,0.038894,0.046013,0.058824,0.081891,0.123468", \ "0.032772,0.034955,0.038900,0.046013,0.058836,0.081909,0.123503", \ "0.032775,0.034959,0.038899,0.046016,0.058825,0.081885,0.123470", \ "0.032824,0.034998,0.038924,0.046030,0.058838,0.081898,0.123466", \ "0.034670,0.036676,0.040317,0.046968,0.059198,0.081915,0.123413", \ "0.039404,0.041488,0.045228,0.051878,0.063593,0.084496,0.123891", \ "0.045370,0.047515,0.051349,0.058211,0.070310,0.091501,0.128698"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013899,0.014755,0.016273,0.018929,0.023517,0.031380,0.044863", \ "0.015281,0.016131,0.017641,0.020285,0.024860,0.032711,0.046186", \ "0.019682,0.020504,0.021953,0.024477,0.028943,0.036728,0.050180", \ "0.025976,0.026930,0.028601,0.031471,0.036311,0.044386,0.057840", \ "0.031371,0.032573,0.034682,0.038252,0.044129,0.053576,0.068657", \ "0.034514,0.036026,0.038669,0.043101,0.050379,0.061919,0.079725", \ "0.035084,0.036900,0.040052,0.045388,0.054155,0.068072,0.089369"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.059675,0.061660,0.065245,0.071699,0.083315,0.104244,0.142035", \ "0.061175,0.063168,0.066772,0.073254,0.084909,0.105883,0.143710", \ "0.067086,0.069085,0.072694,0.079189,0.090875,0.111903,0.149803", \ "0.077138,0.079136,0.082737,0.089216,0.100875,0.121880,0.159783", \ "0.089868,0.092015,0.095821,0.102520,0.114268,0.135245,0.173068", \ "0.102200,0.104632,0.108925,0.116425,0.129534,0.152088,0.190394", \ "0.115251,0.117969,0.122782,0.131129,0.145500,0.170199,0.211781"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013571,0.014175,0.015254,0.017171,0.020552,0.026511,0.037085", \ "0.013439,0.014050,0.015142,0.017078,0.020481,0.026463,0.037058", \ "0.013367,0.013925,0.014947,0.016813,0.020190,0.026278,0.036989", \ "0.016167,0.016621,0.017455,0.018992,0.021831,0.027055,0.037082", \ "0.021436,0.021855,0.022627,0.024052,0.026663,0.031468,0.040421", \ "0.028386,0.028864,0.029697,0.031220,0.033918,0.038692,0.047251", \ "0.036533,0.037082,0.038080,0.039837,0.042893,0.048079,0.056882"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.027002,0.028811,0.032072,0.037972,0.048593,0.067733,0.102215", \ "0.027010,0.028819,0.032078,0.037973,0.048598,0.067752,0.102203", \ "0.027018,0.028824,0.032089,0.037979,0.048594,0.067742,0.102194", \ "0.027141,0.028930,0.032166,0.038024,0.048618,0.067720,0.102188", \ "0.029753,0.031375,0.034301,0.039642,0.049509,0.067900,0.102161", \ "0.034985,0.036720,0.039824,0.045334,0.054981,0.071826,0.103499", \ "0.041646,0.043456,0.046696,0.052426,0.062496,0.079969,0.110186"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013447,0.014285,0.015774,0.018384,0.022911,0.030697,0.044092", \ "0.014827,0.015658,0.017133,0.019727,0.024236,0.032006,0.045389", \ "0.019162,0.019975,0.021408,0.023898,0.028299,0.036003,0.049362", \ "0.025191,0.026150,0.027827,0.030702,0.035545,0.043599,0.057007", \ "0.030108,0.031336,0.033481,0.037105,0.043053,0.052571,0.067687", \ "0.032557,0.034132,0.036847,0.041386,0.048809,0.060519,0.078493", \ "0.032285,0.034166,0.037423,0.042921,0.051909,0.066113,0.087713"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.069033,0.071434,0.075764,0.083581,0.097673,0.123087,0.169005", \ "0.070442,0.072856,0.077215,0.085066,0.099205,0.124672,0.170657", \ "0.076103,0.078517,0.082884,0.090754,0.104926,0.130466,0.176519", \ "0.085161,0.087567,0.091918,0.099762,0.113912,0.139425,0.185479", \ "0.096583,0.099094,0.103543,0.111414,0.125540,0.150987,0.196964", \ "0.107878,0.110643,0.115549,0.124174,0.139398,0.165852,0.211739", \ "0.120306,0.123316,0.128677,0.137981,0.154271,0.182600,0.231049"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.012889,0.013494,0.014578,0.016502,0.019892,0.025854,0.036425", \ "0.012733,0.013348,0.014448,0.016390,0.019803,0.025792,0.036391", \ "0.012748,0.013303,0.014320,0.016177,0.019543,0.025615,0.036321", \ "0.015716,0.016160,0.016986,0.018510,0.021327,0.026512,0.036480", \ "0.021107,0.021529,0.022295,0.023707,0.026280,0.031051,0.039938", \ "0.028169,0.028645,0.029485,0.030998,0.033687,0.038401,0.046901", \ "0.036481,0.037036,0.038027,0.039771,0.042803,0.047942,0.056674"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.032767,0.034954,0.038894,0.046013,0.058824,0.081891,0.123468", \ "0.032772,0.034955,0.038900,0.046013,0.058836,0.081909,0.123503", \ "0.032775,0.034959,0.038899,0.046016,0.058825,0.081885,0.123470", \ "0.032824,0.034998,0.038924,0.046030,0.058838,0.081898,0.123466", \ "0.034670,0.036676,0.040317,0.046968,0.059198,0.081915,0.123413", \ "0.039404,0.041488,0.045228,0.051878,0.063593,0.084496,0.123891", \ "0.045370,0.047515,0.051349,0.058211,0.070310,0.091501,0.128698"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.013733,0.014567,0.016051,0.018657,0.023178,0.030965,0.044372", \ "0.015107,0.015936,0.017409,0.019998,0.024503,0.032273,0.045667", \ "0.019439,0.020247,0.021674,0.024158,0.028560,0.036269,0.049640", \ "0.025535,0.026487,0.028149,0.031004,0.035831,0.043873,0.057283", \ "0.030594,0.031808,0.033926,0.037514,0.043423,0.052900,0.067999", \ "0.033252,0.034794,0.037467,0.041951,0.049311,0.060960,0.078879", \ "0.033223,0.035080,0.038274,0.043688,0.052596,0.066700,0.088214"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.077549,0.079941,0.084285,0.092113,0.106205,0.131637,0.177589", \ "0.079010,0.081414,0.085764,0.093615,0.107752,0.133226,0.179263", \ "0.084682,0.087099,0.091471,0.099330,0.113501,0.139056,0.185137", \ "0.093720,0.096125,0.100472,0.108323,0.122482,0.147998,0.194084", \ "0.105377,0.107802,0.112166,0.119990,0.134102,0.159560,0.205579", \ "0.117647,0.120336,0.125107,0.133523,0.148459,0.174480,0.220345", \ "0.130908,0.133812,0.139009,0.148092,0.164017,0.191910,0.239879"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.015417,0.015982,0.017000,0.018830,0.022102,0.027945,0.038415", \ "0.015260,0.015834,0.016867,0.018716,0.022012,0.027882,0.038381", \ "0.015226,0.015748,0.016709,0.018483,0.021744,0.027705,0.038311", \ "0.018245,0.018650,0.019402,0.020819,0.023502,0.028569,0.038462", \ "0.024018,0.024372,0.025030,0.026285,0.028661,0.033230,0.041931", \ "0.031769,0.032122,0.032807,0.034087,0.036470,0.040859,0.049071", \ "0.040872,0.041298,0.042095,0.043539,0.046151,0.050820,0.059119"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.037222,0.039438,0.043407,0.050578,0.063461,0.086622,0.128293", \ "0.037227,0.039432,0.043408,0.050571,0.063462,0.086604,0.128355", \ "0.037224,0.039440,0.043411,0.050571,0.063443,0.086616,0.128342", \ "0.037246,0.039449,0.043418,0.050579,0.063453,0.086609,0.128322", \ "0.038458,0.040524,0.044269,0.051109,0.063636,0.086628,0.128274", \ "0.043175,0.045267,0.049012,0.055672,0.067342,0.088630,0.128522", \ "0.049043,0.051204,0.055074,0.061957,0.074123,0.095349,0.132855"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.291166,0.290236,0.283160,0.281494,0.275370,0.282126,0.286477", \ "0.259704,0.262422,0.265202,0.266397,0.274876,0.291529,0.303262", \ "0.271356,0.271538,0.270536,0.272322,0.275878,0.297065,0.315227", \ "0.529157,0.513345,0.483299,0.449268,0.395720,0.367425,0.362087", \ "0.980713,0.962455,0.921150,0.852536,0.768231,0.652420,0.537228", \ "1.642341,1.613941,1.585331,1.510484,1.389954,1.207774,0.977056", \ "2.491564,2.470107,2.459297,2.394527,2.269308,2.043374,1.696820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.852056,2.846624,2.886650,2.873594,2.927990,2.955224,2.953923", \ "2.750259,2.776802,2.784408,2.832444,2.824895,2.909332,2.955006", \ "2.759075,2.762607,2.781409,2.764804,2.779763,2.818846,2.885940", \ "3.039337,3.017323,3.026012,3.000843,2.953373,2.910328,2.941737", \ "3.458899,3.465096,3.477157,3.481934,3.389975,3.346720,3.248200", \ "4.181430,4.172447,4.135724,4.108168,4.030130,3.935352,3.792006", \ "5.264568,5.234364,5.185146,5.084698,4.958467,4.823950,4.653777"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.337047,0.335637,0.324535,0.312387,0.296906,0.284131,0.287098", \ "0.305143,0.306643,0.304661,0.296022,0.298165,0.299358,0.304928", \ "0.315823,0.311756,0.309922,0.305929,0.307912,0.316876,0.327850", \ "0.543478,0.532735,0.506590,0.476193,0.426033,0.397540,0.387424", \ "0.931197,0.925731,0.893254,0.840516,0.771572,0.664442,0.555653", \ "1.513466,1.502659,1.474937,1.418612,1.321643,1.166300,0.963000", \ "2.258766,2.261702,2.240770,2.195919,2.093709,1.914391,1.619321"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.770476,2.792993,2.806338,2.843346,2.849726,2.787362,2.879168", \ "2.677595,2.700272,2.717660,2.759545,2.792047,2.730797,2.870258", \ "2.688462,2.681492,2.710314,2.703851,2.749888,2.667496,2.777323", \ "2.936643,2.941784,2.930106,2.928126,2.845472,2.896179,2.840918", \ "3.398583,3.429608,3.405821,3.372910,3.267087,3.178750,3.141107", \ "4.025127,4.008161,3.990707,3.990576,3.924208,3.827002,3.628493", \ "5.003346,4.977907,4.921595,4.857009,4.734541,4.687913,4.398890"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.314207,0.313226,0.309130,0.301328,0.292360,0.284663,0.286645", \ "0.288745,0.290284,0.290012,0.289445,0.292587,0.295402,0.306255", \ "0.299600,0.295271,0.296623,0.297985,0.304676,0.313102,0.329549", \ "0.522076,0.513469,0.490084,0.456421,0.416416,0.392658,0.388860", \ "0.936156,0.916796,0.886223,0.835682,0.760680,0.656245,0.556045", \ "1.545145,1.531207,1.485360,1.432699,1.318597,1.153724,0.956845", \ "2.345059,2.325923,2.288038,2.227420,2.114066,1.907292,1.605810"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.595274,3.617304,3.630598,3.658327,3.685435,3.678903,3.721030", \ "3.514196,3.537895,3.554070,3.588130,3.589380,3.568916,3.680573", \ "3.504048,3.500517,3.518894,3.539429,3.562421,3.598933,3.622877", \ "3.715075,3.702767,3.699886,3.694363,3.673594,3.698973,3.681651", \ "4.185806,4.165356,4.145565,4.133291,4.042763,4.009398,3.972864", \ "4.749162,4.734460,4.738936,4.740342,4.699244,4.606731,4.450910", \ "5.664920,5.648874,5.609978,5.553751,5.529901,5.405292,5.220372"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.308891,0.306278,0.299554,0.287791,0.285730,0.281673,0.288751", \ "0.282509,0.285571,0.286610,0.287406,0.294837,0.299801,0.314570", \ "0.304770,0.303125,0.303196,0.303666,0.310066,0.323324,0.340539", \ "0.538639,0.523171,0.500588,0.471405,0.421674,0.394952,0.390066", \ "0.937572,0.927273,0.894075,0.844000,0.760981,0.659608,0.550830", \ "1.526207,1.513858,1.484488,1.428638,1.324841,1.154741,0.954765", \ "2.280551,2.282111,2.241631,2.205546,2.104539,1.916040,1.610858"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.882432,2.881523,2.915826,2.928942,2.935845,2.890306,2.980141", \ "2.787158,2.793351,2.815098,2.845566,2.849549,2.882899,2.938972", \ "2.773518,2.789631,2.795491,2.792181,2.809160,2.773150,2.881801", \ "3.031854,3.016185,3.006050,2.971377,2.977294,2.870264,2.943829", \ "3.469836,3.488739,3.471978,3.406869,3.367772,3.217514,3.168575", \ "4.073844,4.064181,4.049877,4.039847,4.022967,3.782237,3.720370", \ "5.025987,5.001646,4.967631,4.896676,4.792191,4.728131,4.481649"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.348242,0.343933,0.332320,0.320257,0.301451,0.289667,0.289507", \ "0.321292,0.324008,0.318627,0.313497,0.308373,0.309158,0.315902", \ "0.337518,0.334876,0.334548,0.329765,0.330020,0.338483,0.348099", \ "0.550418,0.538679,0.522034,0.495246,0.449183,0.419436,0.409531", \ "0.914371,0.895644,0.876410,0.829038,0.761519,0.670230,0.570140", \ "1.424625,1.415731,1.395265,1.346969,1.270524,1.126542,0.944659", \ "2.113032,2.095926,2.079310,2.048041,1.971600,1.802014,1.547345"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("2.827405,2.847759,2.865597,2.890999,2.859021,2.884925,2.894029", \ "2.736053,2.758437,2.780784,2.812058,2.812727,2.834613,2.857005", \ "2.726284,2.740993,2.752627,2.761683,2.772390,2.777199,2.804602", \ "2.976271,2.966238,2.957761,2.939114,2.932345,2.874445,2.868203", \ "3.429491,3.410940,3.391893,3.348131,3.296231,3.208629,3.044475", \ "3.967667,3.965957,3.956574,3.950379,3.852328,3.730578,3.616148", \ "4.836702,4.799845,4.760800,4.719574,4.649536,4.528346,4.220791"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.325200,0.317052,0.314891,0.305226,0.296795,0.289651,0.284878", \ "0.303414,0.300589,0.305517,0.302425,0.304987,0.307930,0.317294", \ "0.323497,0.324988,0.324718,0.323187,0.327668,0.335592,0.350637", \ "0.536941,0.523344,0.504369,0.474365,0.436590,0.414261,0.411008", \ "0.916826,0.895083,0.868786,0.818749,0.754274,0.660906,0.567873", \ "1.463457,1.438300,1.418269,1.354177,1.267122,1.116164,0.938482", \ "2.172426,2.158704,2.138066,2.087862,1.985774,1.806602,1.536504"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.653909,3.673557,3.672596,3.701308,3.710659,3.734774,3.694976", \ "3.576863,3.579923,3.600583,3.635771,3.674963,3.631081,3.767456", \ "3.545974,3.544909,3.559528,3.589874,3.585078,3.629462,3.687168", \ "3.741559,3.733942,3.738120,3.738656,3.715535,3.663263,3.668259", \ "4.170617,4.154876,4.144371,4.099702,4.067250,3.985441,3.948714", \ "4.710420,4.728218,4.730011,4.708139,4.614881,4.491026,4.398196", \ "5.520295,5.510940,5.490353,5.469641,5.421376,5.272358,5.102260"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.286540,0.282896,0.284787,0.282885,0.281168,0.282298,0.288877", \ "0.270741,0.267350,0.276767,0.277927,0.290579,0.301994,0.316213", \ "0.291604,0.288312,0.295185,0.295127,0.306995,0.322618,0.342196", \ "0.514252,0.502612,0.483275,0.450405,0.408488,0.390731,0.391538", \ "0.940865,0.921290,0.885164,0.831816,0.752760,0.648161,0.549213", \ "1.547946,1.535175,1.490858,1.434233,1.317087,1.145361,0.948331", \ "2.367348,2.345050,2.304153,2.246684,2.115735,1.910131,1.602735"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.683756,3.704685,3.716276,3.742138,3.755965,3.776365,3.823815", \ "3.602779,3.625373,3.640165,3.672788,3.648589,3.742068,3.784827", \ "3.590871,3.586921,3.595531,3.624597,3.620189,3.669445,3.728809", \ "3.792644,3.781707,3.779549,3.776709,3.729262,3.740317,3.785917", \ "4.249111,4.225503,4.209079,4.195380,4.153200,4.055508,3.942661", \ "4.787412,4.796848,4.804346,4.794238,4.707630,4.566442,4.414216", \ "5.712418,5.677310,5.661529,5.597286,5.531563,5.475950,5.163750"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.325200,0.317052,0.314891,0.305226,0.296795,0.289651,0.284878", \ "0.303414,0.300589,0.305517,0.302425,0.304987,0.307930,0.317294", \ "0.323497,0.324988,0.324718,0.323187,0.327668,0.335592,0.350637", \ "0.536941,0.523344,0.504369,0.474365,0.436590,0.414261,0.411008", \ "0.916826,0.895083,0.868786,0.818749,0.754274,0.660906,0.567873", \ "1.463457,1.438300,1.418269,1.354177,1.267122,1.116164,0.938482", \ "2.172426,2.158704,2.138066,2.087862,1.985774,1.806602,1.536504"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.653909,3.673557,3.672596,3.701308,3.710659,3.734774,3.694976", \ "3.576863,3.579923,3.600583,3.635771,3.674963,3.631081,3.767456", \ "3.545974,3.544909,3.559528,3.589874,3.585078,3.629462,3.687168", \ "3.741559,3.733942,3.738120,3.738656,3.715535,3.663263,3.668259", \ "4.170617,4.154876,4.144371,4.099702,4.067250,3.985441,3.948714", \ "4.710420,4.728218,4.730011,4.708139,4.614881,4.491026,4.398196", \ "5.520295,5.510940,5.490353,5.469641,5.421376,5.272358,5.102260"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.308267,0.303934,0.304382,0.297145,0.289482,0.288642,0.285940", \ "0.291216,0.290374,0.296318,0.294759,0.300906,0.303878,0.313668", \ "0.314656,0.315688,0.312890,0.315524,0.325220,0.337369,0.348652", \ "0.513681,0.503986,0.487495,0.455625,0.430198,0.414932,0.407691", \ "0.905532,0.889466,0.862290,0.812377,0.741188,0.650843,0.564583", \ "1.482885,1.459197,1.426325,1.363164,1.256424,1.108099,0.931156", \ "2.229472,2.212708,2.176200,2.104113,1.992095,1.808015,1.532789"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.481013,4.482247,4.499702,4.517891,4.521349,4.576387,4.605385", \ "4.414343,4.416844,4.436823,4.463176,4.495061,4.533331,4.570780", \ "4.372219,4.372565,4.394745,4.391885,4.415848,4.477865,4.521249", \ "4.523878,4.536910,4.528166,4.546499,4.536791,4.557530,4.575312", \ "4.926277,4.913535,4.911195,4.893804,4.838951,4.763319,4.766270", \ "5.500657,5.506048,5.522107,5.465231,5.391107,5.260080,5.181150", \ "6.251227,6.233405,6.227180,6.231562,6.239611,6.020185,5.877040"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.292319,0.288692,0.284227,0.276670,0.279166,0.283504,0.286938", \ "0.250337,0.256547,0.259957,0.269250,0.276539,0.293272,0.304620", \ "0.205108,0.203927,0.212462,0.224796,0.240447,0.269926,0.298256", \ "0.338440,0.336725,0.320975,0.307958,0.285563,0.272713,0.295123", \ "0.639870,0.622489,0.608050,0.575459,0.526252,0.458760,0.383053", \ "1.106764,1.098937,1.079534,1.021783,0.962541,0.838598,0.692143", \ "1.745496,1.737625,1.717320,1.665972,1.602698,1.453679,1.222665"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.545296,3.567108,3.589938,3.603871,3.605134,3.622317,3.654770", \ "3.490474,3.489004,3.516781,3.531726,3.551258,3.583210,3.644149", \ "3.506863,3.496189,3.523769,3.547753,3.555889,3.568884,3.612826", \ "3.776556,3.782990,3.772770,3.770853,3.747254,3.698389,3.663993", \ "4.212582,4.248414,4.258407,4.222322,4.198805,4.096526,3.960140", \ "4.908456,4.911173,4.896664,4.870016,4.874978,4.791358,4.493799", \ "5.956347,5.936812,5.918476,5.882586,5.766048,5.710389,5.492407"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.338079,0.331470,0.322991,0.310515,0.296964,0.288270,0.286124", \ "0.299743,0.299786,0.297960,0.296833,0.296982,0.296834,0.306309", \ "0.251179,0.249869,0.254038,0.261467,0.271670,0.288025,0.313211", \ "0.367125,0.365949,0.358025,0.341172,0.319189,0.305944,0.321486", \ "0.623839,0.612292,0.604930,0.578472,0.537488,0.485900,0.414667", \ "1.024599,1.020232,1.001259,0.971347,0.913625,0.829634,0.702992", \ "1.580420,1.561995,1.568108,1.540539,1.476999,1.371067,1.175581"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.502902,3.522321,3.531393,3.548562,3.576499,3.566512,3.525190", \ "3.425034,3.446400,3.458744,3.489416,3.497520,3.558871,3.578671", \ "3.444305,3.461792,3.468122,3.470844,3.456171,3.534288,3.543970", \ "3.704547,3.694483,3.685777,3.690865,3.665430,3.632042,3.632221", \ "4.193360,4.179940,4.151629,4.111761,4.062581,4.017011,3.833209", \ "4.777223,4.763204,4.766621,4.771397,4.740126,4.611193,4.456730", \ "5.703340,5.690313,5.677276,5.619466,5.574047,5.492477,5.243536"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.313521,0.314367,0.308592,0.300621,0.292674,0.286422,0.287269", \ "0.283806,0.285016,0.286430,0.287985,0.291367,0.298353,0.307017", \ "0.234840,0.236004,0.243898,0.252576,0.265901,0.288619,0.314881", \ "0.347398,0.346243,0.339369,0.326418,0.304872,0.304510,0.325152", \ "0.632143,0.611220,0.602565,0.576066,0.528547,0.476998,0.412695", \ "1.058021,1.043133,1.026081,0.983364,0.929905,0.827889,0.698310", \ "1.647497,1.623764,1.609036,1.575568,1.503071,1.372954,1.170905"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.323089,4.320081,4.334043,4.335234,4.381639,4.313935,4.418185", \ "4.253235,4.260151,4.287015,4.285400,4.327149,4.367765,4.421645", \ "4.261167,4.257179,4.265882,4.279454,4.322181,4.289171,4.404122", \ "4.477761,4.462044,4.485999,4.477332,4.483782,4.428616,4.470638", \ "4.932365,4.914893,4.925344,4.902065,4.824309,4.757224,4.649873", \ "5.524202,5.540756,5.539219,5.576096,5.511546,5.382289,5.278343", \ "6.420870,6.416101,6.394481,6.374879,6.331045,6.221761,6.052867"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.308959,0.304347,0.298096,0.288597,0.285351,0.286816,0.288472", \ "0.275020,0.279722,0.278350,0.286981,0.294726,0.302932,0.316485", \ "0.238561,0.238582,0.243968,0.255128,0.269554,0.296425,0.324670", \ "0.360939,0.360408,0.353104,0.337425,0.317321,0.305284,0.325789", \ "0.621378,0.613215,0.605236,0.577832,0.538528,0.480755,0.410749", \ "1.034671,1.030192,1.018687,0.986048,0.927832,0.829916,0.698500", \ "1.584039,1.593697,1.568981,1.549804,1.473241,1.376176,1.171330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.590914,3.609129,3.616564,3.635890,3.626511,3.624377,3.688157", \ "3.536153,3.533957,3.544779,3.577744,3.562906,3.633752,3.633987", \ "3.532523,3.548196,3.553419,3.559792,3.581610,3.542083,3.517472", \ "3.781800,3.769115,3.764565,3.770120,3.703395,3.721759,3.604561", \ "4.257742,4.243374,4.233431,4.185259,4.119579,4.046610,3.918257", \ "4.813921,4.823992,4.830175,4.826131,4.799480,4.674291,4.416841", \ "5.729248,5.737870,5.705535,5.678001,5.612939,5.528458,5.325524"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.344910,0.339646,0.330313,0.319000,0.301833,0.289217,0.288738", \ "0.315654,0.315353,0.310707,0.311920,0.310846,0.311910,0.317685", \ "0.274747,0.275628,0.279977,0.284711,0.293783,0.311541,0.331859", \ "0.385598,0.386112,0.379726,0.367093,0.346273,0.332859,0.351504", \ "0.620032,0.610441,0.606269,0.586302,0.554703,0.502523,0.436810", \ "0.978667,0.982536,0.965627,0.941585,0.903727,0.824041,0.706572", \ "1.461372,1.464301,1.465357,1.438169,1.398929,1.300258,1.143011"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("3.565142,3.563799,3.577184,3.594918,3.614215,3.627027,3.600101", \ "3.491930,3.492740,3.509507,3.536087,3.555340,3.565896,3.557212", \ "3.490947,3.506324,3.517673,3.520257,3.519777,3.487584,3.529736", \ "3.731142,3.721292,3.723083,3.720027,3.692083,3.689499,3.617945", \ "4.176346,4.160127,4.148682,4.122434,4.083636,3.978221,3.924706", \ "4.751212,4.747734,4.770875,4.757253,4.659556,4.549036,4.398420", \ "5.553019,5.546515,5.529484,5.521780,5.495767,5.331411,5.129352"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.324424,0.321350,0.312037,0.309376,0.297327,0.290998,0.290205", \ "0.294358,0.300267,0.297971,0.299240,0.304228,0.308366,0.318322", \ "0.257038,0.261012,0.268141,0.277922,0.291189,0.310968,0.336116", \ "0.376000,0.368125,0.363205,0.351591,0.330273,0.330915,0.350898", \ "0.628690,0.609929,0.603719,0.572510,0.544710,0.494765,0.433924", \ "1.013898,1.003387,0.983044,0.952342,0.905002,0.818789,0.702923", \ "1.537747,1.515820,1.503216,1.470308,1.425485,1.316942,1.138004"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.368051,4.385870,4.400429,4.414175,4.404892,4.419115,4.497242", \ "4.321395,4.322055,4.338997,4.339958,4.344869,4.362456,4.469405", \ "4.310024,4.308374,4.321916,4.333916,4.321555,4.392492,4.440319", \ "4.508444,4.501853,4.508226,4.491268,4.510503,4.427494,4.416851", \ "4.928837,4.915963,4.918365,4.882556,4.839616,4.737810,4.708722", \ "5.524197,5.528594,5.543565,5.502878,5.452861,5.328381,5.181539", \ "6.290285,6.291932,6.292192,6.274219,6.288812,6.131583,5.959490"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.291320,0.290145,0.285206,0.286041,0.283380,0.284409,0.289612", \ "0.264861,0.269548,0.271895,0.279125,0.290824,0.300257,0.317153", \ "0.221659,0.228339,0.236489,0.245914,0.272452,0.298112,0.326112", \ "0.349306,0.340748,0.335687,0.322429,0.301271,0.304358,0.329705", \ "0.626348,0.617694,0.601466,0.568640,0.530854,0.471646,0.409215", \ "1.065468,1.048766,1.027298,0.995987,0.927611,0.819074,0.692398", \ "1.664797,1.639789,1.622750,1.588082,1.508519,1.377777,1.167851"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.409667,4.405850,4.429951,4.424327,4.455395,4.472382,4.459164", \ "4.340620,4.361054,4.372163,4.375630,4.399063,4.409413,4.487593", \ "4.347870,4.352724,4.351321,4.370314,4.373478,4.331709,4.364139", \ "4.552710,4.543258,4.543323,4.535528,4.515256,4.470303,4.461303", \ "5.000743,4.979658,4.968703,4.946587,4.911774,4.794267,4.751476", \ "5.590287,5.584144,5.608847,5.616090,5.550977,5.429968,5.268738", \ "6.451374,6.447464,6.449314,6.422022,6.394854,6.312912,6.032145"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.324424,0.321350,0.312037,0.309376,0.297327,0.290998,0.290205", \ "0.294358,0.300267,0.297971,0.299240,0.304228,0.308366,0.318322", \ "0.257038,0.261012,0.268141,0.277922,0.291189,0.310968,0.336116", \ "0.376000,0.368125,0.363205,0.351591,0.330273,0.330915,0.350898", \ "0.628690,0.609929,0.603719,0.572510,0.544710,0.494765,0.433924", \ "1.013898,1.003387,0.983044,0.952342,0.905002,0.818789,0.702923", \ "1.537747,1.515820,1.503216,1.470308,1.425485,1.316942,1.138004"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.368051,4.385870,4.400429,4.414175,4.404892,4.419115,4.497242", \ "4.321395,4.322055,4.338997,4.339958,4.344869,4.362456,4.469405", \ "4.310024,4.308374,4.321916,4.333916,4.321555,4.392492,4.440319", \ "4.508444,4.501853,4.508226,4.491268,4.510503,4.427494,4.416851", \ "4.928837,4.915963,4.918365,4.882556,4.839616,4.737810,4.708722", \ "5.524197,5.528594,5.543565,5.502878,5.452861,5.328381,5.181539", \ "6.290285,6.291932,6.292192,6.274219,6.288812,6.131583,5.959490"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("0.307031,0.309758,0.303471,0.298230,0.292789,0.287179,0.286228", \ "0.282679,0.288406,0.290566,0.296411,0.302821,0.306632,0.318480", \ "0.247727,0.250855,0.254851,0.271337,0.287876,0.312209,0.333168", \ "0.357961,0.350730,0.346487,0.335834,0.322070,0.330595,0.347339", \ "0.625071,0.608440,0.600054,0.575212,0.537090,0.486513,0.432651", \ "1.036328,1.019887,1.001695,0.959241,0.903707,0.809517,0.694268", \ "1.573800,1.567944,1.538700,1.503769,1.431088,1.312923,1.134791"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.191091,5.190765,5.205735,5.220788,5.223750,5.231512,5.308011", \ "5.131571,5.150972,5.149003,5.180804,5.220662,5.189649,5.267350", \ "5.114268,5.132393,5.147338,5.158297,5.166857,5.226115,5.239673", \ "5.293529,5.307681,5.297936,5.302075,5.321851,5.280568,5.320561", \ "5.693903,5.702015,5.682294,5.662055,5.622793,5.625055,5.498665", \ "6.316812,6.312045,6.302417,6.265164,6.233908,6.123132,5.962255", \ "7.047851,7.056498,7.044476,7.060723,7.046807,6.842221,6.677254"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.194997,1.208937,1.225495,1.245441,1.271034,1.302175,1.337880", \ "1.182980,1.200491,1.213167,1.233046,1.258311,1.290287,1.328553", \ "1.158310,1.158048,1.184381,1.206543,1.239106,1.274977,1.314420", \ "1.304891,1.306007,1.298709,1.284508,1.289323,1.307942,1.340366", \ "1.678637,1.683452,1.656881,1.637382,1.594116,1.531786,1.488166", \ "2.299120,2.277927,2.263852,2.221907,2.141599,2.032224,1.876131", \ "3.132674,3.121199,3.097357,3.054169,2.964614,2.793232,2.561051"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.750977,4.741264,4.762606,4.760660,4.769476,4.766199,4.744430", \ "4.666481,4.676980,4.676565,4.724765,4.736473,4.747600,4.737242", \ "4.564949,4.582120,4.579197,4.613332,4.646020,4.641480,4.673816", \ "4.536105,4.550767,4.543125,4.553914,4.561240,4.578173,4.524715", \ "4.634999,4.650051,4.627646,4.640219,4.565409,4.625609,4.610098", \ "4.836596,4.860188,4.854256,4.857974,4.868307,4.728528,4.821885", \ "5.487774,5.481640,5.452270,5.381456,5.316628,5.289770,5.237274"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.205262,1.212615,1.219660,1.247888,1.266841,1.306881,1.340804", \ "1.202165,1.220248,1.232724,1.249144,1.271894,1.312229,1.346608", \ "1.195586,1.213781,1.220870,1.245734,1.277631,1.309027,1.354002", \ "1.336472,1.339019,1.333792,1.319268,1.326753,1.347902,1.381744", \ "1.682951,1.681203,1.668194,1.646192,1.604635,1.550625,1.512768", \ "2.214251,2.212909,2.196404,2.149622,2.096358,2.003349,1.865981", \ "2.948973,2.940174,2.931727,2.893079,2.822195,2.682089,2.474495"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.711737,4.706949,4.730987,4.740143,4.746156,4.672152,4.783804", \ "4.627376,4.625437,4.655331,4.674250,4.646529,4.624400,4.727837", \ "4.525889,4.521475,4.548497,4.568373,4.577020,4.647233,4.688668", \ "4.485312,4.498954,4.500740,4.524283,4.544330,4.477693,4.530768", \ "4.572431,4.562157,4.557979,4.571360,4.509722,4.568170,4.603930", \ "4.798912,4.806330,4.823190,4.797875,4.773084,4.674840,4.673306", \ "5.310822,5.309654,5.279233,5.268962,5.230192,5.184758,4.981345"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.242227,1.242220,1.242344,1.260314,1.284666,1.311738,1.338765", \ "1.241142,1.244742,1.247210,1.260713,1.292606,1.319533,1.355832", \ "1.225386,1.225982,1.245863,1.268283,1.285147,1.319797,1.359982", \ "1.344477,1.333078,1.333609,1.325750,1.339427,1.363523,1.386152", \ "1.691189,1.687211,1.669448,1.644956,1.606491,1.543526,1.516990", \ "2.245090,2.227901,2.204054,2.166524,2.095872,1.998773,1.865202", \ "3.006965,2.982796,2.960829,2.924938,2.837772,2.694801,2.480919"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.509311,5.524461,5.528651,5.538010,5.565062,5.527042,5.538549", \ "5.458580,5.455334,5.484080,5.483715,5.481522,5.575652,5.563492", \ "5.352805,5.348665,5.376706,5.402143,5.421783,5.480661,5.499958", \ "5.302973,5.317659,5.320925,5.336480,5.343434,5.339218,5.423146", \ "5.358054,5.370022,5.369196,5.370785,5.338757,5.394150,5.439257", \ "5.589260,5.603717,5.628343,5.597601,5.576232,5.504709,5.496318", \ "6.045384,6.053719,6.040574,6.029249,6.020522,5.997202,5.836225"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.026964,1.051264,1.071369,1.095600,1.142257,1.187517,1.230252", \ "1.005993,1.036538,1.055173,1.079425,1.117263,1.170192,1.215705", \ "0.994266,1.003786,1.023226,1.046844,1.094370,1.142484,1.185291", \ "1.155960,1.157812,1.150549,1.145485,1.138722,1.173667,1.204841", \ "1.547363,1.539729,1.518639,1.493840,1.455522,1.391853,1.350509", \ "2.146403,2.134565,2.112073,2.063824,1.995215,1.873394,1.728473", \ "2.951521,2.937997,2.918647,2.877071,2.779311,2.624217,2.376438"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.377469,4.380811,4.403209,4.396471,4.370052,4.341808,4.430197", \ "4.284101,4.306602,4.320963,4.325156,4.328411,4.417995,4.398847", \ "4.195706,4.193451,4.227467,4.220132,4.284355,4.307821,4.292289", \ "4.162790,4.180457,4.187117,4.183870,4.197984,4.200838,4.248177", \ "4.255454,4.273616,4.256217,4.264419,4.238005,4.273539,4.246075", \ "4.442413,4.450204,4.446499,4.477482,4.497198,4.449220,4.364131", \ "5.044958,5.026592,4.998888,4.945792,4.918356,4.933676,4.780220"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.049633,1.055202,1.069570,1.101475,1.145270,1.192550,1.233892", \ "1.023410,1.053566,1.070691,1.095618,1.142561,1.183001,1.234956", \ "1.029540,1.038816,1.059328,1.081476,1.129738,1.179704,1.227106", \ "1.187506,1.195720,1.184615,1.179974,1.183297,1.211764,1.246797", \ "1.546219,1.539857,1.519853,1.500730,1.468437,1.412746,1.378122", \ "2.067559,2.064501,2.042770,2.011801,1.942545,1.857485,1.723051", \ "2.790098,2.781146,2.755862,2.733634,2.658922,2.519906,2.318409"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("4.326502,4.345102,4.342299,4.368705,4.393123,4.385663,4.422455", \ "4.243312,4.264740,4.285659,4.304217,4.330958,4.369267,4.389150", \ "4.159189,4.164932,4.182751,4.176840,4.232076,4.273947,4.308312", \ "4.117936,4.133946,4.128472,4.142634,4.177022,4.131342,4.159793", \ "4.183170,4.197616,4.187397,4.173515,4.177583,4.214305,4.144669", \ "4.386927,4.383809,4.420889,4.420500,4.399039,4.283741,4.255746", \ "4.866351,4.854903,4.844960,4.841051,4.827901,4.707951,4.616709"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.094922,1.095800,1.117877,1.138240,1.162730,1.203325,1.241829", \ "1.075993,1.093079,1.106560,1.128420,1.154921,1.197170,1.240417", \ "1.067596,1.068236,1.092926,1.117617,1.147815,1.185518,1.229534", \ "1.201689,1.207169,1.197084,1.188716,1.198775,1.218312,1.251495", \ "1.552255,1.543895,1.533535,1.500897,1.470848,1.411465,1.382386", \ "2.100098,2.084640,2.067945,2.026508,1.953969,1.852956,1.718869", \ "2.842319,2.826104,2.800122,2.762474,2.670697,2.516724,2.314528"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.150335,5.153521,5.166312,5.179914,5.208500,5.206613,5.223429", \ "5.080672,5.083025,5.114191,5.127125,5.138639,5.165582,5.198232", \ "4.978585,4.980123,4.998597,5.012045,5.030789,5.073538,5.141405", \ "4.942233,4.941676,4.955775,4.960082,4.959379,5.033956,5.071703", \ "4.979921,4.995993,4.988453,4.984613,4.996548,5.032123,5.053072", \ "5.197008,5.200442,5.233978,5.201692,5.182941,5.179759,5.157258", \ "5.601939,5.600253,5.604071,5.598497,5.636564,5.583646,5.401248"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.093455,1.092499,1.099540,1.126330,1.157161,1.200538,1.235525", \ "1.052854,1.079884,1.082214,1.109014,1.138427,1.180016,1.225242", \ "1.030954,1.031601,1.060761,1.077368,1.110606,1.148033,1.193923", \ "1.168767,1.169616,1.162128,1.149104,1.158884,1.186372,1.213523", \ "1.555204,1.548561,1.531560,1.492492,1.458040,1.390294,1.355029", \ "2.166506,2.157506,2.130049,2.076155,1.991649,1.872634,1.724568", \ "3.006092,2.984341,2.953241,2.904508,2.790054,2.623173,2.381155"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.172076,5.192835,5.201667,5.222647,5.247717,5.175910,5.273703", \ "5.117964,5.132010,5.153272,5.163933,5.148187,5.219258,5.233357", \ "5.002561,5.023572,5.044817,5.074916,5.090653,5.148141,5.159164", \ "4.983527,4.993975,4.988397,5.017745,5.015575,5.033256,5.095026", \ "5.061398,5.045485,5.050444,5.050372,5.055980,5.047324,5.075362", \ "5.229756,5.246027,5.254705,5.295529,5.300493,5.272842,5.199494", \ "5.756941,5.748027,5.736231,5.727511,5.710840,5.739203,5.605910"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.094922,1.095800,1.117877,1.138240,1.162730,1.203325,1.241829", \ "1.075993,1.093079,1.106560,1.128420,1.154921,1.197170,1.240417", \ "1.067596,1.068236,1.092926,1.117617,1.147815,1.185518,1.229534", \ "1.201689,1.207169,1.197084,1.188716,1.198775,1.218312,1.251495", \ "1.552255,1.543895,1.533535,1.500897,1.470848,1.411465,1.382386", \ "2.100098,2.084640,2.067945,2.026508,1.953969,1.852956,1.718869", \ "2.842319,2.826104,2.800122,2.762474,2.670697,2.516724,2.314528"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.150335,5.153521,5.166312,5.179914,5.208500,5.206613,5.223429", \ "5.080672,5.083025,5.114191,5.127125,5.138639,5.165582,5.198232", \ "4.978585,4.980123,4.998597,5.012045,5.030789,5.073538,5.141405", \ "4.942233,4.941676,4.955775,4.960082,4.959379,5.033956,5.071703", \ "4.979921,4.995993,4.988453,4.984613,4.996548,5.032123,5.053072", \ "5.197008,5.200442,5.233978,5.201692,5.182941,5.179759,5.157258", \ "5.601939,5.600253,5.604071,5.598497,5.636564,5.583646,5.401248"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.126790,1.131145,1.137673,1.158368,1.173752,1.215230,1.246553", \ "1.105297,1.127437,1.138545,1.152411,1.178325,1.203155,1.240517", \ "1.099104,1.107009,1.119296,1.137461,1.162649,1.197602,1.240338", \ "1.210113,1.209254,1.200977,1.195549,1.212139,1.233844,1.258720", \ "1.563497,1.560225,1.538188,1.512981,1.463736,1.407250,1.383917", \ "2.116028,2.098127,2.072120,2.029390,1.960992,1.850787,1.717402", \ "2.879758,2.854409,2.827933,2.777252,2.675987,2.528655,2.309726"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.956705,5.975525,5.991976,5.983046,6.037173,6.000622,6.024548", \ "5.915058,5.916955,5.936052,5.939675,5.956981,5.967002,6.022285", \ "5.812764,5.814753,5.833936,5.856436,5.885364,5.928512,5.917012", \ "5.750781,5.769203,5.784897,5.802381,5.807020,5.833309,5.878217", \ "5.801618,5.799344,5.811702,5.817280,5.811598,5.826405,5.855126", \ "6.030248,6.025185,6.017507,6.001834,5.971100,5.960170,5.953089", \ "6.383244,6.388459,6.385593,6.411600,6.420591,6.358661,6.296869"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.205726,1.202412,1.226939,1.234643,1.269098,1.298266,1.329760", \ "1.195599,1.194936,1.219809,1.234197,1.264463,1.295668,1.325947", \ "1.139318,1.154253,1.162574,1.192221,1.220122,1.266477,1.306582", \ "1.193247,1.202714,1.200137,1.198873,1.211995,1.248715,1.290220", \ "1.436756,1.421695,1.426543,1.419140,1.402540,1.371252,1.352481", \ "1.834632,1.839187,1.827823,1.804578,1.768666,1.701269,1.622167", \ "2.425120,2.427772,2.407379,2.377473,2.334260,2.247045,2.092990"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.457842,5.445875,5.464719,5.446823,5.434672,5.490879,5.385756", \ "5.390835,5.406712,5.400513,5.422956,5.456150,5.424871,5.492534", \ "5.333589,5.349557,5.370708,5.364347,5.399749,5.335096,5.417353", \ "5.338043,5.326427,5.345706,5.363301,5.320842,5.342566,5.401793", \ "5.418578,5.405714,5.423005,5.423799,5.427960,5.416127,5.434715", \ "5.621001,5.623186,5.650435,5.657817,5.644746,5.566987,5.539497", \ "6.199232,6.180125,6.169453,6.155675,6.139109,6.166313,6.041218"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.207227,1.221085,1.230193,1.248589,1.267000,1.304236,1.337498", \ "1.212185,1.211407,1.236168,1.250319,1.276893,1.308540,1.345984", \ "1.182495,1.189644,1.194074,1.225534,1.260387,1.305059,1.345676", \ "1.241521,1.233356,1.241922,1.236785,1.256681,1.288974,1.340708", \ "1.451019,1.453135,1.447500,1.430723,1.430085,1.404049,1.391629", \ "1.807943,1.801038,1.791146,1.787849,1.759274,1.705748,1.639480", \ "2.312991,2.321933,2.319008,2.294831,2.257413,2.179918,2.062495"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.408215,5.421825,5.423849,5.422684,5.443716,5.428940,5.444010", \ "5.371054,5.364918,5.389251,5.384929,5.403601,5.374708,5.401374", \ "5.325473,5.319557,5.323024,5.347132,5.347441,5.394580,5.346312", \ "5.292598,5.306338,5.308259,5.323722,5.341592,5.309485,5.326035", \ "5.348103,5.360081,5.359615,5.347029,5.338316,5.300229,5.351069", \ "5.581795,5.596235,5.620455,5.603392,5.579125,5.493789,5.481531", \ "6.048269,6.058670,6.044663,6.056579,6.026910,5.917892,5.799130"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.237233,1.236082,1.254856,1.271493,1.287411,1.311971,1.345896", \ "1.241723,1.241104,1.260523,1.276048,1.294458,1.320455,1.351057", \ "1.209197,1.216944,1.220955,1.251391,1.268496,1.310334,1.354016", \ "1.245998,1.239846,1.245234,1.246084,1.261949,1.301713,1.346684", \ "1.464936,1.456777,1.446634,1.442166,1.430783,1.404025,1.401050", \ "1.827091,1.820732,1.815245,1.794069,1.765363,1.708237,1.637976", \ "2.368524,2.359015,2.347239,2.316989,2.266598,2.187283,2.063100"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.222224,6.236418,6.238923,6.246727,6.248504,6.257999,6.278687", \ "6.189694,6.183646,6.210754,6.215807,6.208260,6.208557,6.236719", \ "6.124667,6.139798,6.144175,6.162650,6.174734,6.131842,6.182922", \ "6.108854,6.123349,6.126494,6.138068,6.150358,6.190989,6.162622", \ "6.159874,6.173236,6.173936,6.162122,6.185723,6.109673,6.123028", \ "6.414411,6.404590,6.398247,6.405436,6.349160,6.365301,6.310438", \ "6.833428,6.829499,6.824584,6.847137,6.871498,6.811666,6.618356"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.041905,1.054045,1.076954,1.093436,1.141617,1.187171,1.230794", \ "1.027589,1.029738,1.057260,1.090817,1.124951,1.173504,1.218662", \ "0.963510,0.980370,1.000229,1.039237,1.080584,1.124807,1.181171", \ "1.046316,1.056891,1.046806,1.054144,1.070457,1.110007,1.164567", \ "1.294150,1.277818,1.285223,1.272077,1.267715,1.242779,1.225718", \ "1.695149,1.690645,1.676754,1.648572,1.616749,1.566302,1.487933", \ "2.250505,2.252170,2.248092,2.230035,2.180982,2.084992,1.942569"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.070215,5.087783,5.094229,5.095382,5.117039,5.107933,5.136870", \ "5.029607,5.048445,5.057260,5.053759,5.066610,5.120202,5.129309", \ "4.975990,4.994805,5.003798,5.007764,5.005678,5.025326,5.063659", \ "4.973745,4.968890,4.984072,4.992616,4.961225,5.016037,5.050520", \ "5.038189,5.033937,5.039647,5.053199,5.031094,5.027384,5.050214", \ "5.221553,5.230978,5.247421,5.293171,5.293274,5.232199,5.185668", \ "5.736357,5.749826,5.741391,5.731807,5.720147,5.754680,5.605923"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.046175,1.047755,1.081514,1.104861,1.139788,1.193156,1.234850", \ "1.044598,1.047165,1.079083,1.096266,1.142306,1.191404,1.236557", \ "1.005369,1.024533,1.038328,1.067497,1.116125,1.167520,1.223366", \ "1.081854,1.093245,1.099930,1.103400,1.114311,1.160299,1.206800", \ "1.308524,1.310235,1.303476,1.303675,1.296937,1.279213,1.261656", \ "1.647810,1.659413,1.654524,1.645757,1.611832,1.570515,1.503748", \ "2.165412,2.155629,2.148104,2.143777,2.099950,2.035093,1.918440"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.052206,5.050744,5.063437,5.062666,5.056254,5.108910,5.126790", \ "4.996374,4.995916,5.012766,5.025278,5.024533,5.059384,5.087691", \ "4.951663,4.951304,4.966401,4.986076,5.008058,4.973159,5.038242", \ "4.931374,4.930417,4.943130,4.944371,4.974432,5.002417,5.027878", \ "4.970932,4.986668,4.979563,4.990812,4.976406,4.925437,5.028863", \ "5.173847,5.192534,5.225453,5.207044,5.195956,5.169371,5.142769", \ "5.603048,5.602702,5.609368,5.622311,5.641794,5.594428,5.399221"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.089091,1.090148,1.119119,1.137676,1.161670,1.202189,1.242854", \ "1.088334,1.088070,1.115036,1.127691,1.157234,1.199458,1.241956", \ "1.043750,1.062129,1.068613,1.104357,1.129712,1.177396,1.228264", \ "1.109001,1.104527,1.106795,1.109027,1.122721,1.165870,1.217388", \ "1.326713,1.327214,1.320319,1.312479,1.300053,1.279316,1.270406", \ "1.694125,1.686807,1.679681,1.650149,1.627133,1.573134,1.504400", \ "2.207101,2.210426,2.189250,2.167345,2.124380,2.045678,1.919097"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.854808,5.853594,5.874710,5.885084,5.864601,5.911370,5.931216", \ "5.803188,5.821392,5.837005,5.853734,5.879248,5.902949,5.905585", \ "5.759697,5.759620,5.775722,5.801750,5.791016,5.831422,5.847945", \ "5.736474,5.735875,5.749568,5.764058,5.775505,5.788019,5.832119", \ "5.791298,5.789160,5.800187,5.806034,5.811445,5.847204,5.830423", \ "6.018251,6.016725,6.007340,6.009818,5.973611,5.918014,5.938794", \ "6.387662,6.393496,6.389828,6.424916,6.449000,6.334438,6.298500"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.085707,1.086803,1.112312,1.134727,1.156926,1.198095,1.239969", \ "1.074453,1.071579,1.096954,1.113426,1.150113,1.182895,1.223278", \ "1.003723,1.027262,1.034046,1.065525,1.098574,1.140376,1.188785", \ "1.057221,1.064978,1.068631,1.065379,1.090043,1.124731,1.173592", \ "1.310977,1.310149,1.301005,1.286994,1.272034,1.246696,1.233059", \ "1.722803,1.717531,1.701838,1.677097,1.635771,1.568875,1.484956", \ "2.313880,2.303765,2.289030,2.259221,2.189748,2.097610,1.942373"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.887892,5.883573,5.891557,5.919507,5.920895,5.888731,5.965366", \ "5.829133,5.848651,5.858283,5.885200,5.876027,5.834018,5.975338", \ "5.776488,5.796256,5.811567,5.828725,5.833818,5.894122,5.910640", \ "5.771687,5.767813,5.774787,5.800482,5.817467,5.850503,5.896735", \ "5.835731,5.846726,5.850418,5.847413,5.866663,5.895161,5.893872", \ "6.031631,6.030955,6.071409,6.106369,6.059604,5.981330,6.057341", \ "6.503437,6.502761,6.502719,6.517647,6.521036,6.465565,6.434625"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.089091,1.090148,1.119119,1.137676,1.161670,1.202189,1.242854", \ "1.088334,1.088070,1.115036,1.127691,1.157234,1.199458,1.241956", \ "1.043750,1.062129,1.068613,1.104357,1.129712,1.177396,1.228264", \ "1.109001,1.104527,1.106795,1.109027,1.122721,1.165870,1.217388", \ "1.326713,1.327214,1.320319,1.312479,1.300053,1.279316,1.270406", \ "1.694125,1.686807,1.679681,1.650149,1.627133,1.573134,1.504400", \ "2.207101,2.210426,2.189250,2.167345,2.124380,2.045678,1.919097"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.854808,5.853594,5.874710,5.885084,5.864601,5.911370,5.931216", \ "5.803188,5.821392,5.837005,5.853734,5.879248,5.902949,5.905585", \ "5.759697,5.759620,5.775722,5.801750,5.791016,5.831422,5.847945", \ "5.736474,5.735875,5.749568,5.764058,5.775505,5.788019,5.832119", \ "5.791298,5.789160,5.800187,5.806034,5.811445,5.847204,5.830423", \ "6.018251,6.016725,6.007340,6.009818,5.973611,5.918014,5.938794", \ "6.387662,6.393496,6.389828,6.424916,6.449000,6.334438,6.298500"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.122460,1.132466,1.142801,1.157718,1.174429,1.212198,1.242399", \ "1.119556,1.129499,1.140550,1.156104,1.175593,1.213243,1.243765", \ "1.072898,1.091864,1.098372,1.124677,1.154609,1.191545,1.226345", \ "1.114618,1.115664,1.112359,1.115042,1.141307,1.181524,1.224812", \ "1.340328,1.337619,1.321768,1.317938,1.303445,1.275558,1.271966", \ "1.704307,1.697043,1.689040,1.668677,1.631795,1.578069,1.504472", \ "2.246826,2.244968,2.225006,2.186116,2.144003,2.049754,1.920373"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.658618,6.676813,6.692083,6.689025,6.694908,6.740399,6.731211", \ "6.629135,6.629263,6.646047,6.663718,6.660740,6.699105,6.689672", \ "6.587736,6.587911,6.604781,6.625928,6.642263,6.668358,6.748307", \ "6.562369,6.562538,6.577457,6.599459,6.595047,6.622499,6.631788", \ "6.595227,6.593416,6.604646,6.625330,6.612011,6.617152,6.627673", \ "6.814284,6.812080,6.802629,6.816392,6.818192,6.733260,6.734791", \ "7.170342,7.180392,7.204560,7.233273,7.249646,7.179850,7.083103"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.856467,1.879050,1.898937,1.951165,2.014949,2.099345,2.181474", \ "1.812673,1.847243,1.872008,1.908867,1.971279,2.061136,2.146810", \ "1.759087,1.793926,1.833913,1.862399,1.936986,2.022149,2.106016", \ "1.886112,1.886808,1.900911,1.935523,1.967107,2.038643,2.118121", \ "2.267522,2.267856,2.257394,2.260819,2.250676,2.233906,2.256804", \ "2.866682,2.861869,2.855389,2.837007,2.792554,2.714682,2.623987", \ "3.731799,3.715119,3.698477,3.665610,3.606879,3.476397,3.297395"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.292846,6.310171,6.306250,6.324468,6.358184,6.336446,6.351590", \ "6.219545,6.213312,6.241078,6.249863,6.227876,6.317769,6.385105", \ "6.117017,6.135010,6.133572,6.154305,6.179017,6.178187,6.302910", \ "6.077285,6.094243,6.089416,6.089418,6.117882,6.076282,6.077400", \ "6.069699,6.086582,6.082621,6.079343,6.098038,6.122831,6.177643", \ "6.081742,6.101266,6.132364,6.152367,6.151014,6.093023,6.118607", \ "6.410798,6.404196,6.389365,6.370997,6.362138,6.408379,6.373361"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.753386,1.752776,1.802599,1.848947,1.912939,2.011576,2.116505", \ "1.702144,1.718130,1.747856,1.805421,1.864998,1.971630,2.071014", \ "1.657433,1.662413,1.706221,1.752050,1.831535,1.917032,2.021668", \ "1.786668,1.787780,1.798763,1.811871,1.873703,1.944221,2.029907", \ "2.162144,2.161850,2.147960,2.143404,2.145989,2.122885,2.146297", \ "2.740879,2.738791,2.721407,2.685984,2.654455,2.593748,2.505562", \ "3.563838,3.558711,3.540625,3.508193,3.437464,3.327919,3.148355"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.944601,5.960121,5.964359,5.984283,5.977978,5.965354,5.984849", \ "5.872912,5.878723,5.900884,5.922562,5.944957,5.942300,5.938492", \ "5.779221,5.775035,5.791876,5.786147,5.811328,5.865931,5.863623", \ "5.721882,5.736581,5.739554,5.748059,5.781084,5.744370,5.816400", \ "5.738365,5.731778,5.734305,5.741326,5.731333,5.749085,5.794271", \ "5.751686,5.777070,5.801661,5.816321,5.795047,5.758072,5.785177", \ "6.040542,6.020700,6.023561,6.001191,6.029233,6.029413,6.025889"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.813597,1.818370,1.853503,1.887514,1.961210,2.041396,2.128890", \ "1.777219,1.795180,1.818268,1.861883,1.917155,1.997557,2.078373", \ "1.722474,1.733014,1.769185,1.810822,1.866960,1.946367,2.031638", \ "1.818163,1.828841,1.842686,1.858887,1.901042,1.968056,2.038766", \ "2.183999,2.186624,2.183006,2.176662,2.159520,2.139131,2.158471", \ "2.778606,2.775947,2.754865,2.727769,2.686431,2.608558,2.510971", \ "3.607240,3.605448,3.583909,3.541014,3.460151,3.329088,3.154341"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.762126,6.777483,6.782921,6.782471,6.814601,6.778955,6.820088", \ "6.703847,6.700659,6.732114,6.725003,6.713939,6.747288,6.800584", \ "6.606098,6.614499,6.618319,6.650299,6.674025,6.658107,6.733638", \ "6.541236,6.556317,6.560086,6.589836,6.596076,6.646040,6.649124", \ "6.540565,6.549768,6.549167,6.535254,6.571025,6.576683,6.629936", \ "6.593314,6.622854,6.644416,6.620018,6.590683,6.652522,6.616299", \ "6.797239,6.804408,6.807098,6.818423,6.854614,6.849578,6.730058"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.520610,1.530153,1.584446,1.631177,1.745047,1.852881,1.992294", \ "1.468291,1.505556,1.551882,1.604784,1.695155,1.825077,1.954110", \ "1.424875,1.479652,1.514893,1.571431,1.648437,1.784832,1.899585", \ "1.608011,1.621371,1.631084,1.659922,1.718367,1.796328,1.908546", \ "2.014756,2.013524,2.010730,2.011447,2.006187,1.999969,2.032956", \ "2.612875,2.615364,2.596495,2.587233,2.530391,2.483896,2.398834", \ "3.463897,3.456833,3.436633,3.402101,3.335462,3.216818,3.032823"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.922860,5.926988,5.933742,5.946080,5.991293,5.935288,6.024961", \ "5.827171,5.851827,5.869191,5.875264,5.877981,5.918499,5.977771", \ "5.729089,5.753582,5.765970,5.784030,5.820121,5.883096,5.869466", \ "5.694657,5.715695,5.727125,5.730415,5.748143,5.695195,5.808299", \ "5.706526,5.704942,5.717322,5.729293,5.701028,5.673324,5.776526", \ "5.688555,5.719318,5.749223,5.789485,5.810652,5.818442,5.737947", \ "5.985944,5.990370,5.978304,5.971327,6.001245,6.029192,5.958280"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.415034,1.461594,1.491439,1.568520,1.661192,1.801079,1.935348", \ "1.385875,1.396280,1.453877,1.523345,1.630211,1.739949,1.890533", \ "1.350952,1.365242,1.422935,1.484728,1.580400,1.700548,1.833914", \ "1.526692,1.541977,1.546573,1.573247,1.635734,1.724337,1.834898", \ "1.924009,1.928046,1.919544,1.929776,1.923589,1.918199,1.959266", \ "2.494749,2.507776,2.487379,2.482165,2.417833,2.380882,2.302978", \ "3.307323,3.303918,3.288632,3.271322,3.206307,3.076965,2.916885"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("5.569873,5.570634,5.586162,5.593770,5.623916,5.584647,5.582702", \ "5.480167,5.501909,5.504173,5.526871,5.525039,5.539435,5.540630", \ "5.389246,5.390378,5.411862,5.438544,5.464124,5.518645,5.552876", \ "5.354920,5.355111,5.369217,5.369148,5.413104,5.376720,5.405642", \ "5.342453,5.341501,5.355262,5.374188,5.336793,5.388659,5.427111", \ "5.367709,5.380836,5.425021,5.439136,5.412405,5.427461,5.430329", \ "5.611364,5.600897,5.602482,5.606881,5.647007,5.621742,5.591181"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.534680,1.546877,1.569261,1.642879,1.709023,1.821906,1.951554", \ "1.471707,1.509927,1.538045,1.595672,1.674750,1.782444,1.906076", \ "1.430010,1.465445,1.499054,1.550079,1.619487,1.729944,1.852953", \ "1.575788,1.586599,1.603177,1.626079,1.682414,1.759230,1.858608", \ "1.978085,1.972682,1.963905,1.947814,1.944749,1.935155,1.969476", \ "2.563367,2.545981,2.532383,2.502792,2.460865,2.399952,2.310355", \ "3.390042,3.365250,3.350238,3.312807,3.221848,3.105978,2.923793"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.375272,6.393866,6.403992,6.410908,6.443154,6.472486,6.489898", \ "6.317245,6.319695,6.347599,6.355541,6.351672,6.423807,6.450022", \ "6.203675,6.225209,6.244503,6.245539,6.307565,6.331038,6.394591", \ "6.161760,6.162129,6.191886,6.205179,6.207498,6.277926,6.317093", \ "6.147815,6.165567,6.174105,6.188431,6.157704,6.223168,6.259520", \ "6.204613,6.242599,6.255929,6.261383,6.234301,6.218372,6.226744", \ "6.386028,6.400974,6.407921,6.407634,6.444615,6.407275,6.386533"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.608104,1.628211,1.662238,1.697196,1.777413,1.884637,2.013643", \ "1.576080,1.586182,1.628759,1.660339,1.740869,1.857508,1.978777", \ "1.535779,1.540842,1.593385,1.620918,1.717676,1.813736,1.926914", \ "1.662588,1.670904,1.675814,1.703467,1.752001,1.838265,1.936215", \ "2.060154,2.059093,2.051238,2.049196,2.032911,2.015314,2.055184", \ "2.671032,2.657996,2.637325,2.618496,2.565896,2.497297,2.403902", \ "3.528066,3.507143,3.491249,3.437416,3.369310,3.233566,3.044389"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.724448,6.745879,6.763316,6.776492,6.736188,6.769634,6.868426", \ "6.670136,6.665673,6.688925,6.718099,6.715170,6.814273,6.821872", \ "6.570443,6.562348,6.594531,6.598528,6.612538,6.723836,6.717019", \ "6.521360,6.519804,6.543822,6.565730,6.544039,6.534460,6.656034", \ "6.512960,6.511181,6.538145,6.533347,6.535821,6.611664,6.621536", \ "6.536166,6.545390,6.588277,6.598590,6.620180,6.618328,6.660759", \ "6.745099,6.755845,6.761842,6.779339,6.811563,6.858324,6.796908"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.534680,1.546877,1.569261,1.642879,1.709023,1.821906,1.951554", \ "1.471707,1.509927,1.538045,1.595672,1.674750,1.782444,1.906076", \ "1.430010,1.465445,1.499054,1.550079,1.619487,1.729944,1.852953", \ "1.575788,1.586599,1.603177,1.626079,1.682414,1.759230,1.858608", \ "1.978085,1.972682,1.963905,1.947814,1.944749,1.935155,1.969476", \ "2.563367,2.545981,2.532383,2.502792,2.460865,2.399952,2.310355", \ "3.390042,3.365250,3.350238,3.312807,3.221848,3.105978,2.923793"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.375272,6.393866,6.403992,6.410908,6.443154,6.472486,6.489898", \ "6.317245,6.319695,6.347599,6.355541,6.351672,6.423807,6.450022", \ "6.203675,6.225209,6.244503,6.245539,6.307565,6.331038,6.394591", \ "6.161760,6.162129,6.191886,6.205179,6.207498,6.277926,6.317093", \ "6.147815,6.165567,6.174105,6.188431,6.157704,6.223168,6.259520", \ "6.204613,6.242599,6.255929,6.261383,6.234301,6.218372,6.226744", \ "6.386028,6.400974,6.407921,6.407634,6.444615,6.407275,6.386533"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.606792,1.611800,1.653296,1.684960,1.750893,1.865126,1.965790", \ "1.544081,1.576848,1.610332,1.640199,1.704725,1.811189,1.919665", \ "1.525399,1.529964,1.563639,1.603814,1.672491,1.767977,1.866729", \ "1.625583,1.636382,1.646192,1.679179,1.721307,1.778494,1.864756", \ "2.013999,2.005761,1.995678,1.989992,1.971987,1.948084,1.988310", \ "2.595580,2.589978,2.569173,2.533271,2.475768,2.407782,2.316772", \ "3.433275,3.409708,3.385693,3.345726,3.243565,3.111945,2.925105"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.200084,7.200413,7.208687,7.233425,7.253499,7.267319,7.294449", \ "7.133233,7.153456,7.168375,7.187608,7.216840,7.220618,7.256489", \ "7.037798,7.058203,7.071137,7.090837,7.108489,7.121269,7.203622", \ "6.989907,6.990504,7.012403,7.014463,7.025197,7.097028,7.123805", \ "6.974820,6.974189,6.982688,7.003338,7.003527,6.978013,7.121096", \ "7.065709,7.067405,7.065955,7.045152,7.025657,7.010239,7.111945", \ "7.198022,7.197557,7.229538,7.251933,7.321398,7.300215,7.290797"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.868268,1.883960,1.908407,1.951104,2.016211,2.099381,2.181704", \ "1.806770,1.839098,1.869227,1.920735,1.977022,2.062239,2.158303", \ "1.781718,1.796526,1.817952,1.871420,1.924661,2.019041,2.112353", \ "1.813664,1.809594,1.829008,1.852886,1.925413,2.000801,2.094321", \ "2.046932,2.056403,2.062670,2.075036,2.087992,2.101501,2.152379", \ "2.449481,2.436694,2.443975,2.436524,2.427604,2.421277,2.392678", \ "3.047438,3.050769,3.045542,3.031474,2.989818,2.948345,2.844262"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.002825,7.017857,7.010629,7.034896,7.032418,6.984066,7.071987", \ "6.956072,6.972587,6.967501,6.993930,6.978833,6.956100,7.056808", \ "6.915032,6.904858,6.931390,6.925007,6.933717,6.966517,7.012199", \ "6.886005,6.875193,6.896330,6.903262,6.919654,6.911268,6.934106", \ "6.882368,6.872579,6.894386,6.910068,6.930116,6.848376,6.962010", \ "6.891738,6.916080,6.924701,6.988371,6.996241,6.993776,6.947998", \ "7.144513,7.146902,7.146250,7.141443,7.167181,7.243351,7.097723"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.738478,1.772114,1.791048,1.849959,1.916712,2.009132,2.115653", \ "1.703341,1.720927,1.754639,1.801366,1.866106,1.972958,2.071617", \ "1.636870,1.673914,1.699867,1.745970,1.826259,1.911790,2.009135", \ "1.700602,1.699856,1.718415,1.748515,1.813641,1.903260,1.999381", \ "1.942610,1.952299,1.956455,1.973054,1.981075,2.003218,2.043851", \ "2.332533,2.330523,2.337139,2.337750,2.327891,2.314798,2.285430", \ "2.908770,2.897163,2.906166,2.885696,2.854529,2.822725,2.722325"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.661756,6.675044,6.679175,6.691438,6.698540,6.674348,6.723493", \ "6.616588,6.610505,6.634792,6.652262,6.650466,6.617330,6.671932", \ "6.558057,6.572644,6.576315,6.590598,6.607916,6.648996,6.651553", \ "6.531495,6.545426,6.548178,6.554723,6.563781,6.533572,6.593275", \ "6.529590,6.544216,6.546993,6.530834,6.552585,6.507518,6.606153", \ "6.579045,6.584971,6.630753,6.626379,6.593657,6.575739,6.602434", \ "6.776151,6.785286,6.780470,6.792600,6.851188,6.790307,6.721834"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.806883,1.837875,1.853190,1.886629,1.962049,2.041434,2.125572", \ "1.770127,1.774752,1.812397,1.845753,1.916571,1.996109,2.083350", \ "1.705169,1.736014,1.747881,1.797841,1.865185,1.940127,2.030002", \ "1.740560,1.755141,1.762235,1.789696,1.852212,1.926996,2.012552", \ "1.986189,1.993628,1.996171,1.988869,2.001321,2.008726,2.055023", \ "2.380261,2.377817,2.365464,2.360170,2.338755,2.328654,2.289037", \ "2.966835,2.965055,2.943915,2.922119,2.886962,2.836989,2.733403"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.475609,7.468743,7.492359,7.496473,7.523715,7.512794,7.561122", \ "7.435273,7.429313,7.454600,7.463725,7.481126,7.459923,7.530209", \ "7.377610,7.392739,7.397281,7.417913,7.445852,7.383338,7.437319", \ "7.348796,7.363531,7.367316,7.388506,7.357872,7.374991,7.432177", \ "7.349121,7.342212,7.366389,7.352295,7.337165,7.389786,7.442121", \ "7.431245,7.428971,7.434299,7.438225,7.452701,7.399958,7.436263", \ "7.576612,7.589345,7.612663,7.605204,7.679014,7.667068,7.550590"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.524857,1.543230,1.576734,1.640091,1.731341,1.863005,1.992208", \ "1.485852,1.495253,1.549022,1.610812,1.707250,1.831090,1.963110", \ "1.431665,1.457259,1.491629,1.566436,1.658517,1.776944,1.912103", \ "1.502495,1.524233,1.546268,1.576317,1.646501,1.762644,1.884224", \ "1.773772,1.784237,1.799753,1.811068,1.834148,1.867512,1.926271", \ "2.191617,2.189326,2.195756,2.179489,2.198205,2.185731,2.172405", \ "2.774620,2.779811,2.786479,2.756832,2.756628,2.695824,2.618684"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.639742,6.642046,6.650938,6.658953,6.630878,6.664412,6.639644", \ "6.577135,6.597688,6.608738,6.619549,6.649137,6.605783,6.716539", \ "6.536253,6.533801,6.544876,6.555436,6.605731,6.640882,6.639630", \ "6.511924,6.508955,6.518976,6.538441,6.527980,6.595393,6.609752", \ "6.506130,6.504149,6.517480,6.531050,6.513495,6.562341,6.595864", \ "6.497237,6.532130,6.567824,6.608873,6.606648,6.640162,6.583175", \ "6.723334,6.737028,6.737969,6.759574,6.815915,6.842162,6.808693"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.416077,1.453880,1.483934,1.567818,1.656209,1.789477,1.931572", \ "1.375141,1.421043,1.451281,1.524343,1.631259,1.755031,1.889743", \ "1.333197,1.369042,1.399548,1.463125,1.560212,1.699116,1.833007", \ "1.438714,1.452396,1.471872,1.500551,1.568703,1.671630,1.810093", \ "1.690108,1.700819,1.722259,1.740444,1.763856,1.794739,1.854182", \ "2.082568,2.102948,2.108486,2.097553,2.097673,2.093091,2.091166", \ "2.672521,2.659317,2.666827,2.650073,2.646245,2.601392,2.516689"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("6.278047,6.286731,6.288794,6.298875,6.300822,6.325702,6.285793", \ "6.233602,6.237348,6.248015,6.260433,6.255156,6.273148,6.238153", \ "6.176929,6.186511,6.191332,6.201052,6.213099,6.265914,6.281263", \ "6.152772,6.151641,6.165820,6.175904,6.159520,6.166501,6.272610", \ "6.143171,6.160514,6.155659,6.156229,6.172215,6.173896,6.186508", \ "6.191822,6.208400,6.240819,6.241974,6.238721,6.232051,6.255277", \ "6.366466,6.364852,6.379897,6.398042,6.436701,6.449834,6.376907"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.528047,1.536335,1.584320,1.627569,1.717404,1.819293,1.943812", \ "1.480029,1.511376,1.548344,1.586047,1.669697,1.786078,1.909298", \ "1.435886,1.463814,1.487402,1.538290,1.615031,1.727363,1.840871", \ "1.482619,1.501561,1.518747,1.543846,1.612473,1.720261,1.817815", \ "1.741845,1.760116,1.754798,1.766804,1.785906,1.807802,1.872484", \ "2.157467,2.154798,2.141009,2.134535,2.127436,2.125661,2.100577", \ "2.734054,2.721864,2.726533,2.708448,2.668001,2.615035,2.529549"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.079633,7.097041,7.110869,7.119998,7.113188,7.171737,7.194642", \ "7.040065,7.058125,7.073809,7.087739,7.072770,7.123099,7.168590", \ "7.002803,7.002648,7.018666,7.042711,7.045728,7.054430,7.084319", \ "6.958088,6.976075,6.991276,7.001456,7.015068,7.044878,7.076010", \ "6.967444,6.966612,6.980958,6.992202,7.013807,6.961360,6.987581", \ "7.037837,7.055253,7.055260,7.039737,7.067112,7.000404,7.012333", \ "7.164604,7.185191,7.206568,7.235113,7.311210,7.292542,7.279810"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.595192,1.631842,1.664933,1.701665,1.791899,1.885253,2.009555", \ "1.567194,1.590474,1.627900,1.672610,1.762226,1.858300,1.969682", \ "1.520423,1.547304,1.570444,1.629390,1.714554,1.816194,1.920613", \ "1.570925,1.583088,1.599880,1.620659,1.704128,1.794468,1.898413", \ "1.836550,1.838921,1.837599,1.842064,1.871716,1.878978,1.955147", \ "2.245063,2.242580,2.228461,2.238692,2.220787,2.197989,2.185022", \ "2.854088,2.841752,2.828195,2.803457,2.777764,2.717369,2.627439"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.443329,7.440061,7.449915,7.470890,7.494710,7.509969,7.524179", \ "7.402347,7.399938,7.411327,7.438597,7.478431,7.454318,7.495294", \ "7.339562,7.360547,7.372702,7.391689,7.426175,7.372308,7.485320", \ "7.313316,7.310673,7.345254,7.365461,7.375997,7.342111,7.455593", \ "7.311332,7.308843,7.342422,7.326797,7.336747,7.331143,7.440083", \ "7.355701,7.367247,7.403690,7.434709,7.423557,7.442255,7.463367", \ "7.528395,7.522140,7.552369,7.579221,7.640889,7.680115,7.624439"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.528047,1.536335,1.584320,1.627569,1.717404,1.819293,1.943812", \ "1.480029,1.511376,1.548344,1.586047,1.669697,1.786078,1.909298", \ "1.435886,1.463814,1.487402,1.538290,1.615031,1.727363,1.840871", \ "1.482619,1.501561,1.518747,1.543846,1.612473,1.720261,1.817815", \ "1.741845,1.760116,1.754798,1.766804,1.785906,1.807802,1.872484", \ "2.157467,2.154798,2.141009,2.134535,2.127436,2.125661,2.100577", \ "2.734054,2.721864,2.726533,2.708448,2.668001,2.615035,2.529549"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.079633,7.097041,7.110869,7.119998,7.113188,7.171737,7.194642", \ "7.040065,7.058125,7.073809,7.087739,7.072770,7.123099,7.168590", \ "7.002803,7.002648,7.018666,7.042711,7.045728,7.054430,7.084319", \ "6.958088,6.976075,6.991276,7.001456,7.015068,7.044878,7.076010", \ "6.967444,6.966612,6.980958,6.992202,7.013807,6.961360,6.987581", \ "7.037837,7.055253,7.055260,7.039737,7.067112,7.000404,7.012333", \ "7.164604,7.185191,7.206568,7.235113,7.311210,7.292542,7.279810"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("1.606954,1.606139,1.653870,1.704066,1.760287,1.848469,1.972308", \ "1.563081,1.569727,1.610100,1.650936,1.715725,1.803565,1.927660", \ "1.504667,1.527444,1.558797,1.580995,1.670499,1.749505,1.871646", \ "1.540041,1.550358,1.570155,1.598860,1.666873,1.747604,1.842119", \ "1.787903,1.800138,1.803108,1.800043,1.816266,1.823587,1.893016", \ "2.187166,2.184549,2.186635,2.161993,2.153609,2.136503,2.103554", \ "2.790039,2.778016,2.762666,2.735856,2.701206,2.628278,2.537485"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.663066,1.202510,2.180820,3.955040,7.172700,13.008100"); values ("7.902425,7.901713,7.916935,7.947205,7.916642,7.965546,7.994526", \ "7.866144,7.865948,7.882210,7.889136,7.937891,7.916269,7.951565", \ "7.811674,7.830831,7.828987,7.862073,7.868444,7.902742,7.885818", \ "7.783963,7.783908,7.800312,7.807341,7.860288,7.839596,7.877811", \ "7.773139,7.772475,7.788120,7.800152,7.828205,7.861748,7.898067", \ "7.848075,7.867821,7.864606,7.861934,7.877526,7.898096,7.921653", \ "7.990497,8.013736,8.040563,8.070417,8.089333,8.116375,8.078029"); } } } } /****************************************************************************************** Module : AOI222_X2 Cell Description : Combinational cell (AOI222_X2) with drive strength X2 *******************************************************************************************/ cell (AOI222_X2) { drive_strength : 2; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 94.797579; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 20.893290; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 63.523570; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 22.098233; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 64.711086; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 63.523680; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 106.150220; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 64.728403; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 93.893327; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 22.098233; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 64.728403; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 23.303726; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 93.885737; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 65.638628; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 94.878916; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 94.871183; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 118.204282; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 63.523570; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 106.150110; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 64.728403; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 94.059295; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 106.150220; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 148.772030; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 107.354833; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 123.290244; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 64.728403; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 107.354833; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 65.934116; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 123.282533; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 94.769015; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 124.009358; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 124.001735; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 147.693862; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 22.098347; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 64.728519; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 23.303730; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 94.051694; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 64.728519; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 107.354949; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 65.934232; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 123.282643; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 23.303730; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 65.934232; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 24.509883; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 123.269883; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 94.761403; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 124.001735; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 123.989063; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 147.688026; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 75.036940; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 104.274500; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 104.265260; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 118.354165; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 104.274610; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 133.512280; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 133.502930; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 147.738535; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 104.265370; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 133.502930; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 133.488520; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 147.732706; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 118.642962; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 147.883751; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 147.877920; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 176.817613; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.164179; fall_capacitance : 2.687380; rise_capacitance : 3.164179; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.216068; fall_capacitance : 2.629247; rise_capacitance : 3.216068; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.026291; fall_capacitance : 2.814978; rise_capacitance : 3.026291; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.400260; fall_capacitance : 3.056170; rise_capacitance : 3.400260; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.964483; fall_capacitance : 2.939623; rise_capacitance : 2.964483; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.315441; fall_capacitance : 3.126214; rise_capacitance : 3.315441; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.634800; function : "!(((A1 & A2) | (B1 & B2)) | (C1 & C2))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008362,0.008828,0.009681,0.011377,0.014744,0.021443,0.034803", \ "0.009663,0.010135,0.011001,0.012718,0.016117,0.022850,0.036240", \ "0.013576,0.014226,0.015372,0.017489,0.021239,0.027922,0.041283", \ "0.015854,0.016798,0.018467,0.021566,0.027078,0.036375,0.051294", \ "0.015689,0.016934,0.019148,0.023240,0.030507,0.042831,0.062701", \ "0.012754,0.014339,0.017105,0.022202,0.031264,0.046606,0.071394", \ "0.006887,0.008753,0.012060,0.018161,0.029036,0.047451,0.077177"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.016344,0.017480,0.019572,0.023736,0.032002,0.048373,0.080871", \ "0.017203,0.018339,0.020435,0.024634,0.032996,0.049520,0.082180", \ "0.023151,0.024142,0.026017,0.029912,0.037977,0.054338,0.086989", \ "0.033103,0.034517,0.036983,0.041618,0.050003,0.065486,0.097512", \ "0.044132,0.045902,0.048965,0.054774,0.065400,0.083907,0.115576", \ "0.056866,0.058948,0.062530,0.069350,0.081914,0.104105,0.141380", \ "0.071582,0.073930,0.078040,0.085814,0.100143,0.125579,0.168924"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005064,0.005453,0.006175,0.007621,0.010513,0.016295,0.027854", \ "0.005060,0.005450,0.006173,0.007621,0.010514,0.016295,0.027854", \ "0.007487,0.007790,0.008338,0.009372,0.011399,0.016380,0.027856", \ "0.012264,0.012669,0.013383,0.014740,0.017215,0.021550,0.029756", \ "0.018637,0.019159,0.020053,0.021739,0.024810,0.030170,0.039191", \ "0.026736,0.027335,0.028424,0.030481,0.034174,0.040549,0.051278", \ "0.036472,0.037270,0.038559,0.041003,0.045398,0.052866,0.065236"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013763,0.014858,0.016848,0.020753,0.028416,0.043418,0.073201", \ "0.013565,0.014686,0.016706,0.020669,0.028376,0.043435,0.073206", \ "0.013681,0.014607,0.016370,0.020108,0.028121,0.043380,0.073190", \ "0.018948,0.019778,0.021279,0.024035,0.029754,0.043195,0.073158", \ "0.025046,0.026025,0.027803,0.031248,0.037616,0.048838,0.073908", \ "0.032254,0.033346,0.035330,0.039241,0.046619,0.059772,0.082299", \ "0.041013,0.042188,0.044283,0.048532,0.056659,0.071527,0.097104"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008361,0.008828,0.009681,0.011375,0.014743,0.021442,0.034800", \ "0.009669,0.010140,0.011006,0.012723,0.016120,0.022853,0.036243", \ "0.013646,0.014293,0.015434,0.017545,0.021285,0.027964,0.041322", \ "0.015954,0.016898,0.018572,0.021670,0.027178,0.036465,0.051364", \ "0.015511,0.016768,0.019009,0.023144,0.030472,0.042855,0.062761", \ "0.011879,0.013492,0.016318,0.021516,0.030740,0.046296,0.071281", \ "0.004880,0.006799,0.010196,0.016474,0.027625,0.046441,0.076606"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.017764,0.019146,0.021709,0.026905,0.037399,0.058340,0.099925", \ "0.018504,0.019867,0.022418,0.027632,0.038225,0.059366,0.101160", \ "0.024547,0.025725,0.027978,0.032752,0.042910,0.063820,0.105632", \ "0.035891,0.037459,0.040206,0.045389,0.054819,0.074517,0.115584", \ "0.048578,0.050553,0.053974,0.060486,0.072452,0.093502,0.132877", \ "0.063234,0.065543,0.069529,0.077163,0.091300,0.116426,0.159104", \ "0.080137,0.082773,0.087323,0.095980,0.112033,0.140768,0.190131"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005064,0.005454,0.006173,0.007622,0.010514,0.016296,0.027855", \ "0.005061,0.005450,0.006173,0.007621,0.010513,0.016295,0.027855", \ "0.007458,0.007762,0.008312,0.009347,0.011385,0.016376,0.027856", \ "0.012194,0.012604,0.013323,0.014681,0.017165,0.021507,0.029737", \ "0.018528,0.019059,0.019963,0.021676,0.024762,0.030147,0.039160", \ "0.026599,0.027237,0.028346,0.030441,0.034187,0.040608,0.051322", \ "0.036419,0.037171,0.038535,0.041039,0.045522,0.053062,0.065451"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.017057,0.018557,0.021273,0.026568,0.036717,0.056060,0.093813", \ "0.016653,0.018178,0.020949,0.026346,0.036615,0.056036,0.093820", \ "0.016170,0.017470,0.019979,0.025327,0.036055,0.055917,0.093813", \ "0.021257,0.022296,0.024107,0.027670,0.036081,0.054967,0.093761", \ "0.027575,0.028725,0.030845,0.034990,0.042838,0.057931,0.093091", \ "0.034881,0.036160,0.038489,0.043109,0.051884,0.067855,0.097896", \ "0.043623,0.044968,0.047449,0.052414,0.061993,0.079687,0.110720"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008544,0.009011,0.009865,0.011562,0.014935,0.021646,0.035030", \ "0.009852,0.010325,0.011192,0.012911,0.016314,0.023059,0.036473", \ "0.013914,0.014554,0.015682,0.017773,0.021487,0.028169,0.041552", \ "0.016383,0.017315,0.018969,0.022036,0.027502,0.036744,0.051594", \ "0.016157,0.017394,0.019608,0.023689,0.030959,0.043268,0.063108", \ "0.012821,0.014410,0.017179,0.022304,0.031439,0.046883,0.071773", \ "0.006224,0.008102,0.011429,0.017589,0.028607,0.047263,0.077283"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024375,0.025817,0.028473,0.033783,0.044362,0.065345,0.106960", \ "0.025163,0.026606,0.029272,0.034618,0.045289,0.066427,0.108216", \ "0.030448,0.031786,0.034289,0.039408,0.049852,0.070877,0.112699", \ "0.042945,0.044363,0.046902,0.051709,0.061138,0.081361,0.122569", \ "0.057458,0.059248,0.062417,0.068495,0.079785,0.099916,0.139699", \ "0.073628,0.075743,0.079464,0.086622,0.100031,0.124155,0.165614", \ "0.091910,0.094288,0.098578,0.106735,0.121986,0.149657,0.197709"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006320,0.006765,0.007576,0.009162,0.012216,0.018105,0.029694", \ "0.006318,0.006764,0.007576,0.009162,0.012215,0.018105,0.029695", \ "0.009101,0.009393,0.009923,0.010861,0.013056,0.018178,0.029696", \ "0.015179,0.015497,0.016067,0.017200,0.019382,0.023406,0.031549", \ "0.022986,0.023354,0.023999,0.025327,0.027898,0.032704,0.041188", \ "0.032686,0.033076,0.033829,0.035362,0.038358,0.043945,0.053892", \ "0.044224,0.044753,0.045591,0.047381,0.050850,0.057278,0.068643"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021523,0.022968,0.025588,0.030727,0.040673,0.059912,0.097725", \ "0.021283,0.022748,0.025408,0.030600,0.040614,0.059901,0.097736", \ "0.020202,0.021744,0.024530,0.029941,0.040284,0.059834,0.097722", \ "0.023228,0.024225,0.026174,0.030417,0.039544,0.059200,0.097702", \ "0.029800,0.030971,0.033115,0.037253,0.044934,0.060885,0.097024", \ "0.037089,0.038426,0.040831,0.045499,0.054270,0.070139,0.100845", \ "0.045552,0.047029,0.049648,0.054779,0.064489,0.082172,0.112935"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008371,0.008836,0.009689,0.011384,0.014751,0.021451,0.034810", \ "0.009705,0.010175,0.011041,0.012758,0.016155,0.022888,0.036277", \ "0.013684,0.014333,0.015472,0.017582,0.021321,0.028001,0.041360", \ "0.015909,0.016861,0.018539,0.021651,0.027174,0.036471,0.051376", \ "0.015383,0.016648,0.018903,0.023054,0.030414,0.042821,0.062746", \ "0.011707,0.013335,0.016171,0.021394,0.030652,0.046235,0.071247", \ "0.004694,0.006628,0.010048,0.016339,0.027529,0.046377,0.076568"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020142,0.021605,0.024294,0.029627,0.040180,0.061043,0.102456", \ "0.020659,0.022125,0.024834,0.030234,0.040927,0.061991,0.103620", \ "0.026063,0.027368,0.029839,0.034931,0.045343,0.066253,0.107906", \ "0.037189,0.038796,0.041623,0.046947,0.056657,0.076725,0.117688", \ "0.049626,0.051636,0.055120,0.061744,0.073899,0.095233,0.134883", \ "0.064036,0.066379,0.070415,0.078144,0.092446,0.117831,0.160836", \ "0.080763,0.083404,0.088007,0.096744,0.112940,0.141903,0.191585"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005063,0.005452,0.006176,0.007622,0.010512,0.016295,0.027854", \ "0.005062,0.005450,0.006174,0.007622,0.010514,0.016294,0.027855", \ "0.007440,0.007745,0.008294,0.009329,0.011373,0.016373,0.027856", \ "0.012213,0.012619,0.013337,0.014697,0.017169,0.021504,0.029733", \ "0.018626,0.019156,0.020053,0.021753,0.024820,0.030172,0.039163", \ "0.026785,0.027414,0.028529,0.030596,0.034307,0.040682,0.051351", \ "0.036634,0.037462,0.038774,0.041266,0.045703,0.053188,0.065512"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.017983,0.019356,0.021846,0.026735,0.036319,0.055176,0.092692", \ "0.017760,0.019169,0.021705,0.026665,0.036300,0.055189,0.092682", \ "0.017156,0.018451,0.020906,0.026124,0.036116,0.055177,0.092683", \ "0.021728,0.022793,0.024497,0.028150,0.036377,0.054765,0.092681", \ "0.027740,0.028915,0.031060,0.035236,0.043091,0.057883,0.092441", \ "0.034876,0.036168,0.038524,0.043185,0.052013,0.067949,0.097521", \ "0.043504,0.044870,0.047365,0.052370,0.062010,0.079747,0.110619"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008370,0.008835,0.009688,0.011383,0.014750,0.021448,0.034807", \ "0.009706,0.010178,0.011043,0.012760,0.016157,0.022889,0.036277", \ "0.013735,0.014383,0.015518,0.017623,0.021354,0.028030,0.041386", \ "0.016002,0.016950,0.018631,0.021737,0.027257,0.036542,0.051428", \ "0.015289,0.016564,0.018824,0.023007,0.030407,0.042850,0.062794", \ "0.011059,0.012700,0.015584,0.020888,0.030265,0.046007,0.071161", \ "0.003122,0.005104,0.008585,0.015019,0.026429,0.045580,0.076114"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021781,0.023520,0.026743,0.033197,0.046054,0.071511,0.122023", \ "0.022138,0.023874,0.027098,0.033613,0.046632,0.072348,0.123113", \ "0.027562,0.029093,0.032011,0.038108,0.050748,0.076286,0.127108", \ "0.039782,0.041540,0.044642,0.050534,0.061851,0.086404,0.136431", \ "0.053669,0.055919,0.059747,0.067053,0.080524,0.104464,0.153058", \ "0.069782,0.072354,0.076783,0.085305,0.101137,0.129392,0.178235", \ "0.088400,0.091267,0.096334,0.105925,0.123807,0.155991,0.211548"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005063,0.005453,0.006176,0.007620,0.010513,0.016295,0.027854", \ "0.005062,0.005450,0.006174,0.007620,0.010514,0.016295,0.027856", \ "0.007418,0.007725,0.008275,0.009312,0.011361,0.016370,0.027855", \ "0.012155,0.012563,0.013284,0.014642,0.017123,0.021468,0.029718", \ "0.018508,0.019042,0.019957,0.021673,0.024770,0.030143,0.039138", \ "0.026644,0.027290,0.028414,0.030522,0.034278,0.040702,0.051377", \ "0.036538,0.037310,0.038683,0.041230,0.045734,0.053295,0.065653"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021734,0.023504,0.026700,0.032881,0.044755,0.067752,0.113137", \ "0.021308,0.023135,0.026407,0.032707,0.044701,0.067742,0.113140", \ "0.020186,0.021901,0.025179,0.031864,0.044361,0.067692,0.113134", \ "0.024204,0.025313,0.027567,0.032569,0.043502,0.067237,0.113133", \ "0.030310,0.031670,0.034189,0.039174,0.048459,0.068147,0.112828", \ "0.037503,0.038986,0.041697,0.047091,0.057414,0.076319,0.114740", \ "0.046068,0.047630,0.050515,0.056265,0.067397,0.088056,0.124851"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008552,0.009019,0.009873,0.011570,0.014943,0.021654,0.035036", \ "0.009890,0.010363,0.011230,0.012948,0.016350,0.023095,0.036508", \ "0.014004,0.014642,0.015766,0.017851,0.021555,0.028235,0.041616", \ "0.016433,0.017369,0.019029,0.022107,0.027580,0.036819,0.051659", \ "0.015940,0.017195,0.019426,0.023555,0.030889,0.043264,0.063143", \ "0.012017,0.013629,0.016458,0.021683,0.030969,0.046598,0.071656", \ "0.004490,0.006434,0.009838,0.016157,0.027419,0.046413,0.076798"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030158,0.031941,0.035212,0.041715,0.054597,0.080085,0.130626", \ "0.030638,0.032431,0.035728,0.042294,0.055306,0.080993,0.131755", \ "0.035291,0.036988,0.040141,0.046512,0.059340,0.084932,0.135770", \ "0.047811,0.049426,0.052248,0.057886,0.069950,0.094827,0.145001", \ "0.063713,0.065728,0.069284,0.076126,0.088874,0.112469,0.161439", \ "0.081423,0.083772,0.087956,0.095974,0.111006,0.138171,0.186378", \ "0.101504,0.104123,0.108879,0.117965,0.135004,0.166043,0.220169"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006320,0.006765,0.007576,0.009163,0.012216,0.018104,0.029696", \ "0.006318,0.006765,0.007576,0.009162,0.012215,0.018104,0.029695", \ "0.009055,0.009350,0.009883,0.010823,0.013033,0.018172,0.029696", \ "0.015134,0.015451,0.016023,0.017161,0.019344,0.023369,0.031528", \ "0.022980,0.023348,0.024009,0.025334,0.027908,0.032702,0.041172", \ "0.032770,0.033177,0.033932,0.035472,0.038469,0.044049,0.053948", \ "0.044445,0.044931,0.045821,0.047626,0.051112,0.057528,0.068852"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026950,0.028646,0.031708,0.037718,0.049460,0.072452,0.117974", \ "0.026757,0.028476,0.031580,0.037648,0.049423,0.072452,0.117980", \ "0.025821,0.027632,0.030891,0.037205,0.049266,0.072431,0.117971", \ "0.026945,0.028356,0.031038,0.036591,0.048187,0.072194,0.117959", \ "0.033221,0.034621,0.037184,0.042208,0.051568,0.072189,0.117797", \ "0.040392,0.041920,0.044703,0.050152,0.060466,0.079241,0.118863", \ "0.048696,0.050386,0.053418,0.059324,0.070570,0.091175,0.128039"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008553,0.009020,0.009874,0.011571,0.014944,0.021656,0.035039", \ "0.009888,0.010361,0.011227,0.012946,0.016349,0.023094,0.036507", \ "0.013952,0.014593,0.015720,0.017811,0.021523,0.028206,0.041590", \ "0.016344,0.017282,0.018939,0.022018,0.027498,0.036750,0.051606", \ "0.016036,0.017281,0.019504,0.023604,0.030901,0.043236,0.063094", \ "0.012673,0.014255,0.017040,0.022187,0.031354,0.046830,0.071741", \ "0.006065,0.007947,0.011288,0.017468,0.028514,0.047200,0.077246"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027055,0.028528,0.031222,0.036563,0.047124,0.068011,0.109470", \ "0.027707,0.029191,0.031909,0.037305,0.047975,0.069025,0.110655", \ "0.032521,0.033935,0.036546,0.041799,0.052317,0.073279,0.114953", \ "0.044447,0.045903,0.048505,0.053364,0.063220,0.083571,0.124660", \ "0.058674,0.060496,0.063712,0.069891,0.081343,0.101725,0.141679", \ "0.074576,0.076715,0.080483,0.087725,0.101278,0.125631,0.167386", \ "0.092651,0.095049,0.099374,0.107602,0.122978,0.150864,0.199209"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006332,0.006775,0.007586,0.009170,0.012220,0.018108,0.029694", \ "0.006329,0.006775,0.007586,0.009171,0.012221,0.018106,0.029696", \ "0.009093,0.009384,0.009910,0.010849,0.013050,0.018176,0.029696", \ "0.015207,0.015522,0.016090,0.017215,0.019387,0.023406,0.031544", \ "0.023099,0.023461,0.024103,0.025412,0.027957,0.032729,0.041195", \ "0.032890,0.033285,0.034024,0.035536,0.038485,0.044021,0.053928", \ "0.044534,0.045024,0.045865,0.047613,0.051050,0.057407,0.068706"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021863,0.023211,0.025654,0.030501,0.040059,0.058977,0.096606", \ "0.021775,0.023137,0.025604,0.030466,0.040050,0.059000,0.096608", \ "0.021159,0.022598,0.025184,0.030226,0.039965,0.058983,0.096614", \ "0.023627,0.024653,0.026633,0.030808,0.039657,0.058802,0.096598", \ "0.029999,0.031192,0.033342,0.037492,0.045090,0.060701,0.096301", \ "0.037136,0.038471,0.040898,0.045597,0.054380,0.070180,0.100387", \ "0.045474,0.046963,0.049599,0.054762,0.064521,0.082209,0.112781"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008552,0.009019,0.009873,0.011570,0.014943,0.021654,0.035036", \ "0.009890,0.010363,0.011230,0.012948,0.016350,0.023095,0.036508", \ "0.014004,0.014642,0.015766,0.017851,0.021555,0.028235,0.041616", \ "0.016433,0.017369,0.019029,0.022107,0.027580,0.036819,0.051659", \ "0.015940,0.017195,0.019426,0.023555,0.030889,0.043264,0.063143", \ "0.012017,0.013629,0.016458,0.021683,0.030969,0.046598,0.071656", \ "0.004490,0.006434,0.009838,0.016157,0.027419,0.046413,0.076798"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030158,0.031941,0.035212,0.041715,0.054597,0.080085,0.130626", \ "0.030638,0.032431,0.035728,0.042294,0.055306,0.080993,0.131755", \ "0.035291,0.036988,0.040141,0.046512,0.059340,0.084932,0.135770", \ "0.047811,0.049426,0.052248,0.057886,0.069950,0.094827,0.145001", \ "0.063713,0.065728,0.069284,0.076126,0.088874,0.112469,0.161439", \ "0.081423,0.083772,0.087956,0.095974,0.111006,0.138171,0.186378", \ "0.101504,0.104123,0.108879,0.117965,0.135004,0.166043,0.220169"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006320,0.006765,0.007576,0.009163,0.012216,0.018104,0.029696", \ "0.006318,0.006765,0.007576,0.009162,0.012215,0.018104,0.029695", \ "0.009055,0.009350,0.009883,0.010823,0.013033,0.018172,0.029696", \ "0.015134,0.015451,0.016023,0.017161,0.019344,0.023369,0.031528", \ "0.022980,0.023348,0.024009,0.025334,0.027908,0.032702,0.041172", \ "0.032770,0.033177,0.033932,0.035472,0.038469,0.044049,0.053948", \ "0.044445,0.044931,0.045821,0.047626,0.051112,0.057528,0.068852"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026950,0.028646,0.031708,0.037718,0.049460,0.072452,0.117974", \ "0.026757,0.028476,0.031580,0.037648,0.049423,0.072452,0.117980", \ "0.025821,0.027632,0.030891,0.037205,0.049266,0.072431,0.117971", \ "0.026945,0.028356,0.031038,0.036591,0.048187,0.072194,0.117959", \ "0.033221,0.034621,0.037184,0.042208,0.051568,0.072189,0.117797", \ "0.040392,0.041920,0.044703,0.050152,0.060466,0.079241,0.118863", \ "0.048696,0.050386,0.053418,0.059324,0.070570,0.091175,0.128039"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008738,0.009204,0.010059,0.011758,0.015137,0.021861,0.035269", \ "0.010077,0.010551,0.011417,0.013137,0.016545,0.023302,0.036740", \ "0.014270,0.014900,0.016011,0.018077,0.021749,0.028441,0.041848", \ "0.016863,0.017786,0.019425,0.022472,0.027904,0.037097,0.051890", \ "0.016599,0.017836,0.020027,0.024108,0.031376,0.043680,0.063494", \ "0.013013,0.014596,0.017341,0.022493,0.031680,0.047198,0.072156", \ "0.005984,0.007866,0.011142,0.017332,0.028445,0.047265,0.077495"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.038706,0.040493,0.043766,0.050269,0.063146,0.088624,0.139223", \ "0.039320,0.041118,0.044419,0.050977,0.063955,0.089592,0.140383", \ "0.043630,0.045383,0.048614,0.055073,0.067952,0.093549,0.144398", \ "0.055178,0.056762,0.059757,0.065834,0.078241,0.103285,0.153541", \ "0.072997,0.074893,0.078234,0.084680,0.096860,0.120626,0.169804", \ "0.092306,0.094513,0.098505,0.106082,0.120443,0.146642,0.194550", \ "0.113803,0.116276,0.120756,0.129446,0.145761,0.175752,0.228602"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008449,0.008862,0.009628,0.011136,0.014102,0.019948,0.031537", \ "0.008446,0.008861,0.009628,0.011135,0.014102,0.019948,0.031537", \ "0.011205,0.011405,0.011799,0.012726,0.014890,0.020007,0.031538", \ "0.018002,0.018241,0.018695,0.019628,0.021523,0.025245,0.033342", \ "0.026949,0.027214,0.027696,0.028732,0.030886,0.035175,0.043171", \ "0.038060,0.038333,0.038847,0.039982,0.042392,0.047269,0.056480", \ "0.051215,0.051540,0.052106,0.053387,0.056098,0.061587,0.071993"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.031788,0.033435,0.036441,0.042380,0.054074,0.077114,0.122804", \ "0.031700,0.033360,0.036385,0.042349,0.054062,0.077110,0.122820", \ "0.031202,0.032922,0.036036,0.042136,0.053997,0.077098,0.122788", \ "0.030734,0.032308,0.035184,0.041062,0.053235,0.076982,0.122756", \ "0.036366,0.037776,0.040344,0.044999,0.055063,0.076509,0.122664", \ "0.043654,0.045183,0.047936,0.053330,0.063560,0.082410,0.123116", \ "0.052026,0.053701,0.056733,0.062642,0.073829,0.094279,0.131411"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.009920,0.010382,0.011231,0.012921,0.016281,0.022974,0.036329", \ "0.011284,0.011760,0.012627,0.014346,0.017744,0.024476,0.037864", \ "0.014558,0.015125,0.016139,0.018081,0.021741,0.028562,0.042030", \ "0.017292,0.018098,0.019516,0.022152,0.026864,0.035079,0.049585", \ "0.017865,0.018964,0.020909,0.024494,0.030816,0.041432,0.058790", \ "0.015687,0.017116,0.019633,0.024260,0.032380,0.045901,0.067408", \ "0.010495,0.012270,0.015394,0.021092,0.031088,0.047716,0.073956"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021621,0.022755,0.024839,0.028987,0.037232,0.053603,0.086163", \ "0.022564,0.023709,0.025810,0.029995,0.038300,0.054749,0.087386", \ "0.028204,0.029284,0.031288,0.035337,0.043498,0.059844,0.092440", \ "0.039947,0.041187,0.043407,0.047628,0.055387,0.071116,0.103185", \ "0.053115,0.054685,0.057475,0.062801,0.072652,0.090121,0.121374", \ "0.067962,0.069803,0.073090,0.079361,0.091069,0.112054,0.147881", \ "0.084937,0.087058,0.090828,0.097948,0.111286,0.135369,0.177047"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005059,0.005453,0.006174,0.007620,0.010514,0.016294,0.027855", \ "0.005061,0.005454,0.006176,0.007619,0.010514,0.016295,0.027855", \ "0.006147,0.006485,0.007118,0.008378,0.010908,0.016344,0.027856", \ "0.009333,0.009674,0.010287,0.011481,0.013858,0.018702,0.028784", \ "0.014135,0.014538,0.015248,0.016589,0.019097,0.023841,0.033263", \ "0.020230,0.020702,0.021534,0.023123,0.026044,0.031229,0.040665", \ "0.027476,0.028026,0.029006,0.030889,0.034330,0.040278,0.050487"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.017168,0.018245,0.020207,0.024086,0.031743,0.046833,0.076745", \ "0.017072,0.018160,0.020139,0.024043,0.031726,0.046814,0.076742", \ "0.016584,0.017636,0.019659,0.023716,0.031576,0.046784,0.076738", \ "0.020630,0.021467,0.022836,0.025781,0.032227,0.046457,0.076721", \ "0.026731,0.027739,0.029542,0.032984,0.039310,0.050868,0.077014", \ "0.033343,0.034550,0.036678,0.040773,0.048290,0.061458,0.084414", \ "0.040716,0.042082,0.044501,0.049168,0.057810,0.073069,0.098750"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.009920,0.010381,0.011231,0.012920,0.016279,0.022972,0.036327", \ "0.011289,0.011765,0.012632,0.014350,0.017748,0.024479,0.037867", \ "0.014615,0.015182,0.016193,0.018132,0.021788,0.028605,0.042069", \ "0.017432,0.018236,0.019650,0.022278,0.026979,0.035178,0.049670", \ "0.017949,0.019053,0.020999,0.024588,0.030922,0.041542,0.058897", \ "0.015424,0.016867,0.019405,0.024075,0.032257,0.045867,0.067447", \ "0.009498,0.011311,0.014488,0.020283,0.030433,0.047273,0.073755"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.024329,0.025747,0.028366,0.033620,0.044132,0.065066,0.106687", \ "0.025122,0.026548,0.029182,0.034472,0.045053,0.066088,0.107817", \ "0.030720,0.032054,0.034541,0.039626,0.050001,0.070908,0.112602", \ "0.043542,0.044939,0.047437,0.052176,0.061629,0.081781,0.122853", \ "0.058632,0.060389,0.063514,0.069510,0.080658,0.100622,0.140334", \ "0.075542,0.077630,0.081270,0.088336,0.101563,0.125405,0.166563", \ "0.094745,0.097109,0.101327,0.109334,0.124365,0.151691,0.199273"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005059,0.005452,0.006174,0.007620,0.010512,0.016295,0.027855", \ "0.005061,0.005454,0.006174,0.007622,0.010514,0.016295,0.027856", \ "0.006130,0.006477,0.007106,0.008369,0.010902,0.016342,0.027856", \ "0.009282,0.009624,0.010236,0.011437,0.013823,0.018678,0.028774", \ "0.014028,0.014429,0.015146,0.016503,0.019031,0.023781,0.033230", \ "0.020061,0.020538,0.021388,0.023000,0.025950,0.031179,0.040636", \ "0.027280,0.027838,0.028843,0.030754,0.034251,0.040259,0.050511"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021904,0.023357,0.025988,0.031141,0.041133,0.060446,0.098351", \ "0.021658,0.023134,0.025800,0.031016,0.041071,0.060423,0.098369", \ "0.020655,0.022186,0.024967,0.030386,0.040745,0.060352,0.098362", \ "0.023495,0.024528,0.026546,0.030850,0.040035,0.059762,0.098322", \ "0.029899,0.031100,0.033252,0.037421,0.045166,0.061357,0.097669", \ "0.036787,0.038144,0.040624,0.045402,0.054286,0.070287,0.101344", \ "0.044301,0.045851,0.048635,0.054011,0.064052,0.082031,0.113057"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010100,0.010564,0.011415,0.013106,0.016472,0.023177,0.036557", \ "0.011474,0.011950,0.012819,0.014539,0.017941,0.024685,0.038097", \ "0.014840,0.015402,0.016409,0.018342,0.021987,0.028811,0.042300", \ "0.017771,0.018565,0.019963,0.022566,0.027239,0.035419,0.049909", \ "0.018450,0.019542,0.021461,0.025011,0.031294,0.041861,0.059183", \ "0.016141,0.017561,0.020063,0.024671,0.032782,0.046304,0.067817", \ "0.010498,0.012266,0.015392,0.021116,0.031151,0.047876,0.074242"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.031145,0.032591,0.035251,0.040559,0.051114,0.072070,0.113698", \ "0.031996,0.033454,0.036129,0.041468,0.052082,0.073120,0.114840", \ "0.037231,0.038641,0.041242,0.046472,0.056971,0.077931,0.119625", \ "0.049956,0.051261,0.053542,0.058300,0.068229,0.088645,0.129809", \ "0.066710,0.068361,0.071298,0.076951,0.087560,0.107066,0.147151", \ "0.085067,0.086999,0.090487,0.097174,0.109800,0.132778,0.173112", \ "0.105580,0.107765,0.111754,0.119384,0.133779,0.160194,0.206602"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006320,0.006765,0.007576,0.009162,0.012215,0.018103,0.029695", \ "0.006319,0.006765,0.007575,0.009162,0.012215,0.018104,0.029695", \ "0.007585,0.007953,0.008629,0.009902,0.012592,0.018147,0.029695", \ "0.011456,0.011758,0.012308,0.013432,0.015751,0.020542,0.030602", \ "0.017249,0.017550,0.018102,0.019213,0.021442,0.025913,0.035155", \ "0.024456,0.024779,0.025395,0.026626,0.029071,0.033758,0.042784", \ "0.032997,0.033342,0.034032,0.035432,0.038249,0.043477,0.053021"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026072,0.027483,0.030055,0.035114,0.044999,0.064288,0.102265", \ "0.025930,0.027358,0.029952,0.035043,0.044966,0.064265,0.102258", \ "0.025254,0.026731,0.029410,0.034659,0.044783,0.064238,0.102245", \ "0.026000,0.027220,0.029488,0.034138,0.043808,0.063885,0.102223", \ "0.032396,0.033554,0.035678,0.039799,0.047569,0.064510,0.101785", \ "0.039595,0.040948,0.043349,0.048023,0.056770,0.072511,0.104441", \ "0.047347,0.048891,0.051625,0.056918,0.066820,0.084574,0.115399"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.009929,0.010390,0.011240,0.012928,0.016288,0.022982,0.036336", \ "0.011323,0.011799,0.012666,0.014385,0.017782,0.024514,0.037902", \ "0.014657,0.015222,0.016234,0.018174,0.021828,0.028646,0.042109", \ "0.017428,0.018233,0.019651,0.022284,0.026992,0.035196,0.049690", \ "0.017855,0.018967,0.020924,0.024530,0.030886,0.041529,0.058896", \ "0.015241,0.016694,0.019252,0.023947,0.032168,0.045815,0.067423", \ "0.009253,0.011079,0.014272,0.020100,0.030299,0.047194,0.073711"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027006,0.028455,0.031113,0.036399,0.046895,0.067737,0.109202", \ "0.027672,0.029137,0.031824,0.037165,0.047745,0.068692,0.110255", \ "0.032820,0.034223,0.036817,0.042027,0.052472,0.073322,0.114871", \ "0.045059,0.046488,0.049051,0.053880,0.063725,0.083992,0.124955", \ "0.059855,0.061632,0.064814,0.070901,0.082217,0.102449,0.142308", \ "0.076475,0.078586,0.082274,0.089421,0.102794,0.126873,0.168340", \ "0.095466,0.097841,0.102099,0.110175,0.125335,0.152874,0.200750"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005059,0.005453,0.006173,0.007621,0.010513,0.016295,0.027855", \ "0.005059,0.005455,0.006175,0.007620,0.010514,0.016294,0.027854", \ "0.006121,0.006465,0.007098,0.008361,0.010896,0.016339,0.027856", \ "0.009278,0.009619,0.010229,0.011432,0.013815,0.018673,0.028771", \ "0.014058,0.014457,0.015171,0.016525,0.019048,0.023797,0.033234", \ "0.020153,0.020627,0.021472,0.023074,0.026014,0.031217,0.040648", \ "0.027446,0.027999,0.028985,0.030894,0.034361,0.040342,0.050549"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022286,0.023637,0.026091,0.030946,0.040544,0.059536,0.097253", \ "0.022196,0.023561,0.026033,0.030917,0.040529,0.059511,0.097242", \ "0.021601,0.023039,0.025626,0.030676,0.040456,0.059498,0.097244", \ "0.023932,0.024992,0.027014,0.031230,0.040122,0.059324,0.097206", \ "0.030118,0.031325,0.033488,0.037667,0.045341,0.061162,0.096946", \ "0.036852,0.038217,0.040714,0.045516,0.054408,0.070324,0.100847", \ "0.044250,0.045813,0.048614,0.054022,0.064096,0.082074,0.112899"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.009928,0.010389,0.011239,0.012927,0.016287,0.022980,0.036334", \ "0.011326,0.011802,0.012668,0.014387,0.017783,0.024514,0.037901", \ "0.014700,0.015264,0.016274,0.018211,0.021860,0.028674,0.042136", \ "0.017551,0.018353,0.019765,0.022391,0.027084,0.035274,0.049754", \ "0.017959,0.019071,0.021026,0.024631,0.030985,0.041625,0.058981", \ "0.015092,0.016551,0.019125,0.023841,0.032105,0.045806,0.067462", \ "0.008528,0.010365,0.013605,0.019512,0.029822,0.046873,0.073558"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030092,0.031845,0.035069,0.041498,0.054293,0.079707,0.130242", \ "0.030588,0.032358,0.035613,0.042105,0.055002,0.080546,0.131213", \ "0.035646,0.037332,0.040462,0.046783,0.059509,0.084946,0.135598", \ "0.048477,0.050063,0.052838,0.058514,0.070548,0.095314,0.145300", \ "0.064969,0.066941,0.070452,0.077196,0.089811,0.113319,0.162143", \ "0.083402,0.085728,0.089808,0.097720,0.112574,0.139467,0.187459", \ "0.104328,0.106920,0.111625,0.120560,0.137389,0.168077,0.221748"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.005059,0.005453,0.006173,0.007621,0.010512,0.016295,0.027855", \ "0.005058,0.005455,0.006175,0.007620,0.010514,0.016295,0.027854", \ "0.006111,0.006453,0.007091,0.008353,0.010893,0.016339,0.027856", \ "0.009234,0.009580,0.010189,0.011395,0.013782,0.018656,0.028763", \ "0.013961,0.014360,0.015084,0.016446,0.018996,0.023752,0.033207", \ "0.019987,0.020476,0.021328,0.022954,0.025920,0.031159,0.040618", \ "0.027249,0.027809,0.028822,0.030755,0.034266,0.040290,0.050542"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027435,0.029130,0.032205,0.038243,0.050018,0.073074,0.118678", \ "0.027234,0.028956,0.032071,0.038163,0.049984,0.073053,0.118686", \ "0.026354,0.028162,0.031421,0.037744,0.049826,0.073031,0.118679", \ "0.027397,0.028830,0.031545,0.037139,0.048772,0.072812,0.118665", \ "0.033411,0.034837,0.037411,0.042467,0.051992,0.072790,0.118513", \ "0.040255,0.041818,0.044669,0.050196,0.060604,0.079570,0.119475", \ "0.047795,0.049557,0.052715,0.058847,0.070352,0.091191,0.128432"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010108,0.010572,0.011423,0.013114,0.016480,0.023185,0.036564", \ "0.011511,0.011987,0.012855,0.014575,0.017977,0.024720,0.038131", \ "0.014924,0.015485,0.016490,0.018421,0.022059,0.028881,0.042366", \ "0.017888,0.018680,0.020077,0.022679,0.027345,0.035515,0.049993", \ "0.018463,0.019561,0.021488,0.025055,0.031358,0.041944,0.059267", \ "0.015814,0.017252,0.019789,0.024442,0.032633,0.046246,0.067835", \ "0.009533,0.011328,0.014523,0.020348,0.030545,0.047475,0.074053"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.038563,0.040330,0.043572,0.050022,0.062836,0.088265,0.138868", \ "0.039163,0.040944,0.044211,0.050712,0.063604,0.089138,0.139828", \ "0.043916,0.045655,0.048862,0.055273,0.068069,0.093535,0.144222", \ "0.055814,0.057410,0.060383,0.066447,0.078804,0.103742,0.153830", \ "0.074117,0.075973,0.079269,0.085653,0.097714,0.121463,0.170511", \ "0.094150,0.096313,0.100227,0.107713,0.121904,0.147865,0.195633", \ "0.116470,0.118910,0.123338,0.131893,0.148024,0.177704,0.230137"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006321,0.006766,0.007576,0.009162,0.012216,0.018105,0.029695", \ "0.006321,0.006765,0.007576,0.009162,0.012215,0.018103,0.029696", \ "0.007561,0.007931,0.008613,0.009886,0.012583,0.018143,0.029695", \ "0.011403,0.011699,0.012255,0.013389,0.015709,0.020518,0.030591", \ "0.017176,0.017479,0.018036,0.019157,0.021386,0.025874,0.035130", \ "0.024377,0.024717,0.025333,0.026594,0.029045,0.033735,0.042778", \ "0.032968,0.033351,0.034031,0.035441,0.038275,0.043522,0.053052"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032296,0.033948,0.036966,0.042925,0.054659,0.077757,0.123550", \ "0.032201,0.033869,0.036904,0.042890,0.054646,0.077755,0.123533", \ "0.031727,0.033447,0.036567,0.042687,0.054578,0.077736,0.123526", \ "0.031265,0.032836,0.035744,0.041642,0.053848,0.077633,0.123485", \ "0.036634,0.038044,0.040606,0.045373,0.055565,0.077142,0.123389", \ "0.043679,0.045229,0.048020,0.053467,0.063748,0.082838,0.123785", \ "0.051507,0.053232,0.056350,0.062376,0.073745,0.094370,0.131841"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010109,0.010573,0.011423,0.013115,0.016481,0.023187,0.036567", \ "0.011509,0.011985,0.012854,0.014573,0.017976,0.024719,0.038132", \ "0.014881,0.015444,0.016450,0.018383,0.022027,0.028852,0.042339", \ "0.017766,0.018562,0.019963,0.022573,0.027253,0.035437,0.049929", \ "0.018362,0.019459,0.021389,0.024956,0.031260,0.041849,0.059182", \ "0.015962,0.017389,0.019915,0.024550,0.032692,0.046253,0.067794", \ "0.010258,0.012042,0.015185,0.020930,0.031026,0.047804,0.074206"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.033917,0.035369,0.038032,0.043328,0.053840,0.074699,0.116190", \ "0.034674,0.036142,0.038827,0.044162,0.054737,0.075681,0.117263", \ "0.039597,0.041032,0.043670,0.048933,0.059428,0.080307,0.121867", \ "0.051625,0.052907,0.055335,0.060305,0.070417,0.090837,0.131880", \ "0.068070,0.069754,0.072723,0.078453,0.089209,0.109011,0.149103", \ "0.086126,0.088082,0.091609,0.098367,0.111125,0.134316,0.174936", \ "0.106397,0.108605,0.112618,0.120320,0.134836,0.161445,0.208116"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006332,0.006777,0.007586,0.009171,0.012221,0.018106,0.029696", \ "0.006332,0.006777,0.007585,0.009170,0.012220,0.018105,0.029694", \ "0.007584,0.007953,0.008631,0.009901,0.012592,0.018147,0.029696", \ "0.011458,0.011757,0.012307,0.013431,0.015749,0.020538,0.030600", \ "0.017290,0.017586,0.018136,0.019246,0.021470,0.025918,0.035159", \ "0.024557,0.024896,0.025490,0.026714,0.029146,0.033795,0.042803", \ "0.033184,0.033520,0.034198,0.035584,0.038346,0.043540,0.053076"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026015,0.027352,0.029797,0.034653,0.044269,0.063309,0.101136", \ "0.025981,0.027323,0.029776,0.034640,0.044272,0.063314,0.101141", \ "0.025700,0.027081,0.029589,0.034538,0.044242,0.063304,0.101125", \ "0.026440,0.027635,0.029843,0.034361,0.043752,0.063220,0.101100", \ "0.032618,0.033792,0.035910,0.040014,0.047685,0.064218,0.100958", \ "0.039682,0.041041,0.043451,0.048138,0.056871,0.072502,0.103890", \ "0.047324,0.048884,0.051635,0.056941,0.066870,0.084615,0.115201"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010108,0.010572,0.011423,0.013114,0.016480,0.023185,0.036564", \ "0.011511,0.011987,0.012855,0.014575,0.017977,0.024720,0.038131", \ "0.014924,0.015485,0.016490,0.018421,0.022059,0.028881,0.042366", \ "0.017888,0.018680,0.020077,0.022679,0.027345,0.035515,0.049993", \ "0.018463,0.019561,0.021488,0.025055,0.031358,0.041944,0.059267", \ "0.015814,0.017252,0.019789,0.024442,0.032633,0.046246,0.067835", \ "0.009533,0.011328,0.014523,0.020348,0.030545,0.047475,0.074053"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.038563,0.040330,0.043572,0.050022,0.062836,0.088265,0.138868", \ "0.039163,0.040944,0.044211,0.050712,0.063604,0.089138,0.139828", \ "0.043916,0.045655,0.048862,0.055273,0.068069,0.093535,0.144222", \ "0.055814,0.057410,0.060383,0.066447,0.078804,0.103742,0.153830", \ "0.074117,0.075973,0.079269,0.085653,0.097714,0.121463,0.170511", \ "0.094150,0.096313,0.100227,0.107713,0.121904,0.147865,0.195633", \ "0.116470,0.118910,0.123338,0.131893,0.148024,0.177704,0.230137"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.006321,0.006766,0.007576,0.009162,0.012216,0.018105,0.029695", \ "0.006321,0.006765,0.007576,0.009162,0.012215,0.018103,0.029696", \ "0.007561,0.007931,0.008613,0.009886,0.012583,0.018143,0.029695", \ "0.011403,0.011699,0.012255,0.013389,0.015709,0.020518,0.030591", \ "0.017176,0.017479,0.018036,0.019157,0.021386,0.025874,0.035130", \ "0.024377,0.024717,0.025333,0.026594,0.029045,0.033735,0.042778", \ "0.032968,0.033351,0.034031,0.035441,0.038275,0.043522,0.053052"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032296,0.033948,0.036966,0.042925,0.054659,0.077757,0.123550", \ "0.032201,0.033869,0.036904,0.042890,0.054646,0.077755,0.123533", \ "0.031727,0.033447,0.036567,0.042687,0.054578,0.077736,0.123526", \ "0.031265,0.032836,0.035744,0.041642,0.053848,0.077633,0.123485", \ "0.036634,0.038044,0.040606,0.045373,0.055565,0.077142,0.123389", \ "0.043679,0.045229,0.048020,0.053467,0.063748,0.082838,0.123785", \ "0.051507,0.053232,0.056350,0.062376,0.073745,0.094370,0.131841"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010293,0.010758,0.011607,0.013302,0.016674,0.023392,0.036796", \ "0.011699,0.012174,0.013042,0.014765,0.018172,0.024928,0.038364", \ "0.015149,0.015705,0.016706,0.018630,0.022258,0.029089,0.042600", \ "0.018222,0.019006,0.020387,0.022966,0.027607,0.035757,0.050234", \ "0.018966,0.020050,0.021951,0.025477,0.031731,0.042265,0.059555", \ "0.016549,0.017959,0.020447,0.025047,0.033160,0.046691,0.068209", \ "0.010558,0.012337,0.015449,0.021186,0.031286,0.048088,0.074556"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.047101,0.048872,0.052111,0.058567,0.071384,0.096817,0.147374", \ "0.047780,0.049566,0.052828,0.059318,0.072198,0.097718,0.148393", \ "0.052399,0.054148,0.057382,0.063822,0.076638,0.102115,0.152770", \ "0.063626,0.065305,0.068419,0.074642,0.087147,0.112180,0.162324", \ "0.082760,0.084499,0.087617,0.093729,0.105428,0.129667,0.178875", \ "0.104283,0.106337,0.110096,0.117245,0.130873,0.156030,0.203803", \ "0.127975,0.130300,0.134498,0.142730,0.158267,0.187047,0.238303"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008449,0.008865,0.009628,0.011135,0.014102,0.019947,0.031537", \ "0.008446,0.008865,0.009629,0.011135,0.014102,0.019947,0.031536", \ "0.009697,0.009998,0.010581,0.011822,0.014458,0.019982,0.031537", \ "0.013739,0.013991,0.014481,0.015509,0.017705,0.022386,0.032420", \ "0.020150,0.020384,0.020826,0.021761,0.023755,0.027969,0.037042", \ "0.028332,0.028560,0.029007,0.029977,0.032026,0.036256,0.044902", \ "0.038022,0.038256,0.038715,0.039764,0.042024,0.046626,0.055531"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.036959,0.038596,0.041593,0.047535,0.059281,0.082444,0.128274", \ "0.036921,0.038558,0.041561,0.047522,0.059273,0.082439,0.128315", \ "0.036682,0.038352,0.041399,0.047425,0.059246,0.082442,0.128293", \ "0.035653,0.037300,0.040343,0.046505,0.058884,0.082386,0.128266", \ "0.039779,0.041100,0.043584,0.048766,0.059394,0.081693,0.128225", \ "0.047145,0.048652,0.051377,0.056742,0.066922,0.086295,0.128209", \ "0.055270,0.056977,0.060002,0.065938,0.077149,0.097542,0.135313"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013747,0.014265,0.015209,0.017065,0.020680,0.027702,0.041408", \ "0.015079,0.015598,0.016547,0.018406,0.022029,0.029060,0.042770", \ "0.020206,0.020728,0.021661,0.023449,0.027013,0.034013,0.047708", \ "0.026177,0.026924,0.028276,0.030836,0.035514,0.043700,0.057583", \ "0.029735,0.030715,0.032521,0.035883,0.042053,0.052894,0.071025", \ "0.030700,0.031910,0.034135,0.038311,0.045958,0.059436,0.082063", \ "0.028896,0.030341,0.032932,0.037890,0.047021,0.063119,0.090235"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032309,0.033525,0.035747,0.040151,0.048861,0.066105,0.100388", \ "0.033296,0.034535,0.036797,0.041273,0.050095,0.067489,0.101914", \ "0.038512,0.039733,0.041966,0.046411,0.055227,0.072693,0.107292", \ "0.048250,0.049598,0.051996,0.056540,0.065320,0.082672,0.117205", \ "0.058506,0.060218,0.063222,0.068955,0.079588,0.098580,0.133075", \ "0.070020,0.072069,0.075694,0.082554,0.095174,0.117532,0.156038", \ "0.084309,0.086669,0.090882,0.098760,0.113214,0.138809,0.182551"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008877,0.009275,0.010005,0.011463,0.014369,0.020162,0.031737", \ "0.008866,0.009265,0.009999,0.011457,0.014367,0.020160,0.031738", \ "0.009474,0.009795,0.010400,0.011669,0.014365,0.020146,0.031738", \ "0.014387,0.014773,0.015442,0.016720,0.019067,0.023295,0.032563", \ "0.020738,0.021247,0.022094,0.023741,0.026752,0.031991,0.040875", \ "0.028427,0.029046,0.030104,0.032153,0.035879,0.042334,0.053030", \ "0.037355,0.038131,0.039491,0.042001,0.046517,0.054219,0.066883"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.017226,0.018277,0.020204,0.024065,0.031778,0.047129,0.077634", \ "0.017239,0.018280,0.020214,0.024068,0.031776,0.047115,0.077632", \ "0.017270,0.018309,0.020231,0.024084,0.031773,0.047097,0.077630", \ "0.019408,0.020232,0.021811,0.025097,0.032086,0.047119,0.077645", \ "0.025878,0.026746,0.028348,0.031512,0.037603,0.049818,0.077799", \ "0.033781,0.034686,0.036365,0.039724,0.046248,0.058681,0.082757", \ "0.042940,0.043807,0.045503,0.048991,0.055941,0.069254,0.094154"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013755,0.014275,0.015218,0.017075,0.020688,0.027710,0.041415", \ "0.015133,0.015654,0.016600,0.018462,0.022083,0.029112,0.042822", \ "0.020324,0.020845,0.021771,0.023561,0.027127,0.034128,0.047823", \ "0.026305,0.027054,0.028410,0.030972,0.035646,0.043824,0.057704", \ "0.029658,0.030647,0.032480,0.035873,0.042073,0.052957,0.071113", \ "0.030082,0.031319,0.033572,0.037825,0.045577,0.059206,0.081990", \ "0.027317,0.028806,0.031467,0.036531,0.045864,0.062270,0.089731"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.040097,0.041641,0.044462,0.050059,0.061118,0.082999,0.126487", \ "0.040769,0.042340,0.045212,0.050904,0.062109,0.084177,0.127847", \ "0.045361,0.046911,0.049752,0.055399,0.066599,0.088766,0.132656", \ "0.054963,0.056526,0.059362,0.064969,0.076051,0.098076,0.141890", \ "0.066257,0.068197,0.071681,0.078324,0.090785,0.113305,0.156906", \ "0.078940,0.081246,0.085392,0.093254,0.107754,0.133796,0.179342", \ "0.094855,0.097513,0.102282,0.111273,0.127758,0.157226,0.208201"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008877,0.009276,0.010006,0.011463,0.014368,0.020160,0.031736", \ "0.008867,0.009265,0.010000,0.011460,0.014367,0.020160,0.031736", \ "0.009439,0.009764,0.010375,0.011650,0.014355,0.020148,0.031737", \ "0.014334,0.014714,0.015381,0.016659,0.019012,0.023242,0.032537", \ "0.020737,0.021239,0.022083,0.023728,0.026735,0.031961,0.040835", \ "0.028527,0.029165,0.030237,0.032275,0.035996,0.042421,0.053069", \ "0.037667,0.038445,0.039806,0.042332,0.046854,0.054535,0.067126"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022765,0.024086,0.026522,0.031383,0.041085,0.060402,0.098855", \ "0.022780,0.024092,0.026529,0.031388,0.041084,0.060407,0.098860", \ "0.022785,0.024099,0.026531,0.031390,0.041085,0.060420,0.098854", \ "0.023863,0.025021,0.027202,0.031675,0.041152,0.060421,0.098836", \ "0.030255,0.031332,0.033333,0.037271,0.044826,0.061579,0.098861", \ "0.038440,0.039550,0.041579,0.045684,0.053719,0.069046,0.101319", \ "0.047919,0.048980,0.051038,0.055275,0.063713,0.079956,0.110582"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013988,0.014507,0.015449,0.017302,0.020916,0.027945,0.041670", \ "0.015365,0.015884,0.016831,0.018689,0.022310,0.029345,0.043077", \ "0.020559,0.021074,0.021987,0.023781,0.027351,0.034362,0.048077", \ "0.026682,0.027424,0.028768,0.031304,0.035949,0.044091,0.057956", \ "0.030219,0.031198,0.033009,0.036368,0.042523,0.053354,0.071458", \ "0.030889,0.032109,0.034335,0.038536,0.046229,0.059775,0.082486", \ "0.028451,0.029914,0.032530,0.037529,0.046769,0.063067,0.090427"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.047414,0.048955,0.051770,0.057361,0.068425,0.090336,0.133852", \ "0.048264,0.049825,0.052677,0.058332,0.069505,0.091564,0.135234", \ "0.052793,0.054344,0.057188,0.062837,0.074036,0.096206,0.140085", \ "0.062379,0.063917,0.066730,0.072322,0.083420,0.105481,0.149315", \ "0.075400,0.077227,0.080479,0.086739,0.098621,0.120654,0.164288", \ "0.089817,0.091975,0.095809,0.103161,0.116904,0.141974,0.186678", \ "0.107157,0.109593,0.114044,0.122513,0.138120,0.166443,0.216185"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010840,0.011237,0.011968,0.013425,0.016325,0.022094,0.033633", \ "0.010831,0.011229,0.011962,0.013421,0.016323,0.022094,0.033633", \ "0.011348,0.011682,0.012304,0.013596,0.016307,0.022082,0.033634", \ "0.016958,0.017277,0.017849,0.018971,0.021110,0.025128,0.034417", \ "0.024464,0.024868,0.025560,0.026942,0.029578,0.034366,0.042796", \ "0.033445,0.033954,0.034824,0.036503,0.039711,0.045510,0.055525", \ "0.043888,0.044506,0.045601,0.047678,0.051532,0.058391,0.070150"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026480,0.027816,0.030278,0.035192,0.044959,0.064360,0.102896", \ "0.026480,0.027822,0.030283,0.035192,0.044957,0.064366,0.102904", \ "0.026484,0.027823,0.030288,0.035196,0.044960,0.064383,0.102892", \ "0.026866,0.028112,0.030473,0.035280,0.044991,0.064368,0.102896", \ "0.032468,0.033595,0.035604,0.039445,0.047513,0.065019,0.102878", \ "0.040361,0.041525,0.043654,0.047850,0.056018,0.071440,0.104696", \ "0.049489,0.050710,0.052912,0.057326,0.065952,0.082356,0.113181"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011548,0.012098,0.013094,0.015033,0.018768,0.025934,0.039773", \ "0.012888,0.013435,0.014427,0.016362,0.020093,0.027257,0.041098", \ "0.018087,0.018659,0.019671,0.021571,0.025135,0.032196,0.045992", \ "0.023198,0.024017,0.025482,0.028222,0.033182,0.041729,0.055892", \ "0.025814,0.026877,0.028801,0.032423,0.038953,0.050271,0.068926", \ "0.025639,0.026971,0.029368,0.033843,0.041959,0.056038,0.079370", \ "0.022589,0.024154,0.026964,0.032271,0.041955,0.058812,0.086806"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.036059,0.037526,0.040203,0.045506,0.056001,0.076792,0.118150", \ "0.036920,0.038414,0.041136,0.046527,0.057159,0.078122,0.119655", \ "0.041955,0.043427,0.046119,0.051469,0.062085,0.083138,0.124879", \ "0.051140,0.052679,0.055435,0.060802,0.071338,0.092245,0.133888", \ "0.060824,0.062700,0.066009,0.072410,0.084411,0.106308,0.147767", \ "0.072149,0.074334,0.078218,0.085582,0.099273,0.124081,0.168163", \ "0.086468,0.088990,0.093436,0.101792,0.117107,0.144667,0.193161"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008372,0.008774,0.009514,0.010977,0.013874,0.019628,0.031128", \ "0.008266,0.008681,0.009435,0.010923,0.013842,0.019613,0.031123", \ "0.009338,0.009616,0.010154,0.011314,0.013864,0.019525,0.031113", \ "0.014402,0.014779,0.015437,0.016690,0.019015,0.023179,0.032101", \ "0.020904,0.021396,0.022245,0.023851,0.026810,0.031956,0.040748", \ "0.028824,0.029433,0.030477,0.032480,0.036131,0.042430,0.052980", \ "0.038086,0.038854,0.040164,0.042634,0.047045,0.054573,0.067009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.018609,0.019884,0.022235,0.026953,0.036382,0.055181,0.092681", \ "0.018635,0.019905,0.022249,0.026952,0.036374,0.055182,0.092696", \ "0.018680,0.019942,0.022277,0.026970,0.036393,0.055178,0.092707", \ "0.020370,0.021452,0.023491,0.027698,0.036573,0.055221,0.092693", \ "0.025654,0.026775,0.028843,0.032946,0.040820,0.056981,0.092736", \ "0.032396,0.033534,0.035632,0.039835,0.048117,0.064150,0.096025", \ "0.040705,0.041809,0.043890,0.048120,0.056607,0.073154,0.105065"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011557,0.012107,0.013103,0.015041,0.018776,0.025942,0.039780", \ "0.012941,0.013488,0.014480,0.016415,0.020147,0.027311,0.041150", \ "0.018206,0.018776,0.019785,0.021678,0.025246,0.032310,0.046108", \ "0.023341,0.024161,0.025625,0.028368,0.033323,0.041859,0.056012", \ "0.025742,0.026820,0.028770,0.032416,0.038981,0.050343,0.069019", \ "0.025034,0.026389,0.028822,0.033376,0.041602,0.055835,0.079313", \ "0.021036,0.022650,0.025523,0.030958,0.040846,0.058017,0.086365"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.043977,0.045773,0.049050,0.055540,0.068360,0.093733,0.144174", \ "0.044524,0.046350,0.049688,0.056286,0.069278,0.094866,0.145518", \ "0.048978,0.050778,0.054073,0.060620,0.073601,0.099301,0.150205", \ "0.057984,0.059800,0.063084,0.069578,0.082429,0.107952,0.158758", \ "0.068528,0.070675,0.074490,0.081867,0.095860,0.121592,0.172136", \ "0.080836,0.083315,0.087718,0.096120,0.111799,0.140499,0.192142", \ "0.096654,0.099460,0.104474,0.113928,0.131350,0.162902,0.219002"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008375,0.008776,0.009516,0.010979,0.013875,0.019628,0.031125", \ "0.008274,0.008690,0.009440,0.010927,0.013846,0.019615,0.031123", \ "0.009299,0.009581,0.010122,0.011290,0.013854,0.019529,0.031114", \ "0.014341,0.014710,0.015374,0.016629,0.018950,0.023125,0.032081", \ "0.020872,0.021358,0.022209,0.023816,0.026779,0.031921,0.040704", \ "0.028874,0.029488,0.030542,0.032547,0.036202,0.042492,0.053003", \ "0.038254,0.039032,0.040366,0.042855,0.047286,0.054808,0.067211"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023441,0.024990,0.027842,0.033561,0.044986,0.067804,0.113158", \ "0.023471,0.025010,0.027860,0.033569,0.044987,0.067800,0.113158", \ "0.023509,0.025042,0.027883,0.033582,0.044991,0.067767,0.113139", \ "0.024443,0.025838,0.028445,0.033867,0.045086,0.067794,0.113150", \ "0.029717,0.031074,0.033597,0.038485,0.048033,0.068624,0.113155", \ "0.036593,0.037934,0.040456,0.045492,0.055431,0.074540,0.114798", \ "0.045088,0.046447,0.048897,0.053932,0.064047,0.083816,0.121923"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011790,0.012339,0.013332,0.015267,0.019000,0.026171,0.040028", \ "0.013173,0.013718,0.014708,0.016640,0.020370,0.027539,0.041399", \ "0.018457,0.019018,0.020020,0.021888,0.025462,0.032537,0.046355", \ "0.023747,0.024554,0.026000,0.028717,0.033634,0.042129,0.056257", \ "0.026347,0.027407,0.029340,0.032938,0.039455,0.050752,0.069376", \ "0.025912,0.027240,0.029639,0.034132,0.042277,0.056424,0.079809", \ "0.022283,0.023858,0.026676,0.032015,0.041798,0.058830,0.087031"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.053454,0.055247,0.058520,0.065014,0.077865,0.103321,0.153889", \ "0.054228,0.056040,0.059355,0.065920,0.078894,0.104516,0.155261", \ "0.058603,0.060405,0.063710,0.070268,0.083272,0.109014,0.159994", \ "0.067595,0.069374,0.072630,0.079123,0.092016,0.117628,0.168543", \ "0.079733,0.081753,0.085366,0.092371,0.105681,0.131179,0.181855", \ "0.093711,0.095992,0.100135,0.108020,0.122959,0.150752,0.201781", \ "0.111016,0.113573,0.118217,0.127142,0.143664,0.174105,0.229112"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010603,0.010999,0.011730,0.013188,0.016087,0.021834,0.033294", \ "0.010499,0.010907,0.011655,0.013135,0.016058,0.021821,0.033292", \ "0.011359,0.011656,0.012224,0.013436,0.016043,0.021737,0.033283", \ "0.017483,0.017775,0.018301,0.019349,0.021396,0.025271,0.034234", \ "0.025420,0.025783,0.026407,0.027677,0.030152,0.034730,0.042977", \ "0.034929,0.035361,0.036119,0.037653,0.040638,0.046130,0.055861", \ "0.045938,0.046484,0.047450,0.049332,0.052883,0.059372,0.070720"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.028258,0.029849,0.032760,0.038576,0.050131,0.073075,0.118695", \ "0.028262,0.029856,0.032765,0.038575,0.050139,0.073075,0.118680", \ "0.028277,0.029868,0.032777,0.038580,0.050134,0.073073,0.118682", \ "0.028582,0.030122,0.032967,0.038691,0.050179,0.073080,0.118677", \ "0.033167,0.034558,0.036996,0.041903,0.052049,0.073439,0.118680", \ "0.039608,0.041042,0.043692,0.048888,0.059022,0.078364,0.119754", \ "0.047601,0.049069,0.051742,0.057052,0.067458,0.087534,0.126109"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011781,0.012330,0.013322,0.015258,0.018991,0.026162,0.040021", \ "0.013120,0.013664,0.014655,0.016586,0.020315,0.027485,0.041345", \ "0.018338,0.018902,0.019906,0.021782,0.025351,0.032422,0.046238", \ "0.023607,0.024413,0.025858,0.028577,0.033497,0.042001,0.056134", \ "0.026404,0.027455,0.029365,0.032943,0.039424,0.050681,0.069276", \ "0.026506,0.027818,0.030172,0.034592,0.042623,0.056623,0.079862", \ "0.023803,0.025335,0.028093,0.033315,0.042878,0.059607,0.087469"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.043727,0.045194,0.047877,0.053199,0.063736,0.084616,0.126109", \ "0.044769,0.046254,0.048969,0.054350,0.064984,0.086001,0.127629", \ "0.049740,0.051216,0.053921,0.059295,0.069953,0.091068,0.132893", \ "0.059111,0.060577,0.063247,0.068568,0.079131,0.100127,0.141903", \ "0.070501,0.072244,0.075359,0.081376,0.092872,0.114152,0.155707", \ "0.083375,0.085384,0.089016,0.095903,0.108895,0.132844,0.176069", \ "0.099118,0.101395,0.105520,0.113376,0.127845,0.154371,0.201838"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010601,0.010997,0.011728,0.013187,0.016086,0.021833,0.033294", \ "0.010491,0.010901,0.011649,0.013130,0.016055,0.021820,0.033292", \ "0.011394,0.011685,0.012253,0.013456,0.016052,0.021733,0.033283", \ "0.017557,0.017843,0.018369,0.019414,0.021460,0.025329,0.034256", \ "0.025459,0.025815,0.026441,0.027706,0.030176,0.034772,0.043023", \ "0.034847,0.035280,0.036036,0.037569,0.040552,0.046058,0.055835", \ "0.045673,0.046215,0.047170,0.049053,0.052611,0.059112,0.070527"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022544,0.023853,0.026250,0.031041,0.040569,0.059520,0.097229", \ "0.022554,0.023861,0.026256,0.031042,0.040572,0.059512,0.097221", \ "0.022567,0.023873,0.026265,0.031049,0.040574,0.059513,0.097240", \ "0.023333,0.024529,0.026740,0.031284,0.040637,0.059520,0.097219", \ "0.028292,0.029465,0.031593,0.035762,0.043710,0.060649,0.097211", \ "0.034678,0.035896,0.038095,0.042468,0.050935,0.067121,0.099769", \ "0.042515,0.043753,0.045989,0.050479,0.059249,0.076100,0.108184"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011790,0.012339,0.013332,0.015267,0.019000,0.026171,0.040028", \ "0.013173,0.013718,0.014708,0.016640,0.020370,0.027539,0.041399", \ "0.018457,0.019018,0.020020,0.021888,0.025462,0.032537,0.046355", \ "0.023747,0.024554,0.026000,0.028717,0.033634,0.042129,0.056257", \ "0.026347,0.027407,0.029340,0.032938,0.039455,0.050752,0.069376", \ "0.025912,0.027240,0.029639,0.034132,0.042277,0.056424,0.079809", \ "0.022283,0.023858,0.026676,0.032015,0.041798,0.058830,0.087031"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.053454,0.055247,0.058520,0.065014,0.077865,0.103321,0.153889", \ "0.054228,0.056040,0.059355,0.065920,0.078894,0.104516,0.155261", \ "0.058603,0.060405,0.063710,0.070268,0.083272,0.109014,0.159994", \ "0.067595,0.069374,0.072630,0.079123,0.092016,0.117628,0.168543", \ "0.079733,0.081753,0.085366,0.092371,0.105681,0.131179,0.181855", \ "0.093711,0.095992,0.100135,0.108020,0.122959,0.150752,0.201781", \ "0.111016,0.113573,0.118217,0.127142,0.143664,0.174105,0.229112"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010603,0.010999,0.011730,0.013188,0.016087,0.021834,0.033294", \ "0.010499,0.010907,0.011655,0.013135,0.016058,0.021821,0.033292", \ "0.011359,0.011656,0.012224,0.013436,0.016043,0.021737,0.033283", \ "0.017483,0.017775,0.018301,0.019349,0.021396,0.025271,0.034234", \ "0.025420,0.025783,0.026407,0.027677,0.030152,0.034730,0.042977", \ "0.034929,0.035361,0.036119,0.037653,0.040638,0.046130,0.055861", \ "0.045938,0.046484,0.047450,0.049332,0.052883,0.059372,0.070720"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.028258,0.029849,0.032760,0.038576,0.050131,0.073075,0.118695", \ "0.028262,0.029856,0.032765,0.038575,0.050139,0.073075,0.118680", \ "0.028277,0.029868,0.032777,0.038580,0.050134,0.073073,0.118682", \ "0.028582,0.030122,0.032967,0.038691,0.050179,0.073080,0.118677", \ "0.033167,0.034558,0.036996,0.041903,0.052049,0.073439,0.118680", \ "0.039608,0.041042,0.043692,0.048888,0.059022,0.078364,0.119754", \ "0.047601,0.049069,0.051742,0.057052,0.067458,0.087534,0.126109"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012032,0.012578,0.013567,0.015498,0.019230,0.026405,0.040283", \ "0.013413,0.013956,0.014944,0.016871,0.020598,0.027774,0.041653", \ "0.018710,0.019265,0.020254,0.022105,0.025686,0.032769,0.046609", \ "0.024154,0.024951,0.026379,0.029069,0.033950,0.042402,0.056509", \ "0.026960,0.028006,0.029908,0.033465,0.039929,0.051166,0.069720", \ "0.026822,0.028122,0.030470,0.034890,0.042963,0.057009,0.080297", \ "0.023593,0.025132,0.027872,0.033113,0.042747,0.059640,0.087711"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.062020,0.063804,0.067067,0.073545,0.086397,0.111872,0.162471", \ "0.062932,0.064733,0.068027,0.074558,0.087502,0.113104,0.163886", \ "0.067301,0.069098,0.072394,0.078943,0.091931,0.117660,0.168641", \ "0.076195,0.077973,0.081225,0.087714,0.100612,0.126244,0.177185", \ "0.089318,0.091243,0.094694,0.101373,0.114278,0.139760,0.190494", \ "0.104595,0.106733,0.110654,0.118176,0.132586,0.159722,0.210333", \ "0.123103,0.125508,0.129861,0.138405,0.154261,0.183868,0.237970"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012932,0.013298,0.013978,0.015353,0.018141,0.023785,0.035189", \ "0.012833,0.013210,0.013905,0.015301,0.018112,0.023773,0.035188", \ "0.013642,0.013920,0.014442,0.015577,0.018085,0.023690,0.035180", \ "0.020163,0.020401,0.020833,0.021722,0.023526,0.027170,0.036112", \ "0.028965,0.029255,0.029749,0.030796,0.032941,0.037107,0.044925", \ "0.039539,0.039869,0.040462,0.041704,0.044245,0.049161,0.058296", \ "0.051742,0.052159,0.052906,0.054426,0.057409,0.063156,0.073719"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032667,0.034274,0.037218,0.043074,0.054713,0.077790,0.123528", \ "0.032672,0.034274,0.037218,0.043075,0.054714,0.077760,0.123553", \ "0.032675,0.034280,0.037224,0.043081,0.054719,0.077761,0.123537", \ "0.032801,0.034390,0.037303,0.043129,0.054725,0.077775,0.123515", \ "0.036247,0.037615,0.040154,0.045317,0.055885,0.077937,0.123534", \ "0.042728,0.044186,0.046862,0.052126,0.062338,0.081948,0.124180", \ "0.050470,0.051974,0.054722,0.060146,0.070695,0.090913,0.129875"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015003,0.015526,0.016470,0.018324,0.021936,0.028958,0.042666", \ "0.016408,0.016929,0.017876,0.019733,0.023352,0.030381,0.044094", \ "0.020317,0.020857,0.021832,0.023704,0.027344,0.034417,0.048177", \ "0.025511,0.026165,0.027342,0.029601,0.033839,0.041591,0.055777", \ "0.029537,0.030393,0.031930,0.034856,0.040200,0.049642,0.065980", \ "0.031191,0.032280,0.034257,0.037964,0.044704,0.056478,0.076192", \ "0.030059,0.031404,0.033865,0.038409,0.046636,0.060985,0.084766"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.037102,0.038299,0.040491,0.044855,0.053522,0.070735,0.104998", \ "0.038365,0.039575,0.041786,0.046176,0.054885,0.072150,0.106460", \ "0.043945,0.045152,0.047361,0.051756,0.060483,0.077793,0.112183", \ "0.054216,0.055450,0.057678,0.062079,0.070783,0.088057,0.122432", \ "0.066172,0.067737,0.070529,0.075887,0.085923,0.104152,0.138462", \ "0.079438,0.081312,0.084656,0.091052,0.102873,0.124290,0.161735", \ "0.095673,0.097828,0.101720,0.109044,0.122560,0.146907,0.189281"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008873,0.009272,0.010001,0.011460,0.014366,0.020162,0.031738", \ "0.008871,0.009268,0.009998,0.011459,0.014367,0.020160,0.031736", \ "0.009150,0.009528,0.010218,0.011607,0.014418,0.020163,0.031738", \ "0.011555,0.011918,0.012574,0.013888,0.016481,0.021625,0.032229", \ "0.015965,0.016365,0.017077,0.018454,0.021074,0.026085,0.035979", \ "0.021679,0.022162,0.023007,0.024622,0.027610,0.032967,0.042826", \ "0.028429,0.029008,0.029998,0.031923,0.035455,0.041606,0.052167"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.020125,0.021189,0.023139,0.027027,0.034775,0.050178,0.080760", \ "0.020125,0.021186,0.023136,0.027032,0.034773,0.050162,0.080771", \ "0.020138,0.021195,0.023147,0.027031,0.034779,0.050163,0.080777", \ "0.021342,0.022261,0.023989,0.027512,0.034888,0.050171,0.080769", \ "0.027425,0.028325,0.029973,0.033183,0.039312,0.052142,0.080815", \ "0.034917,0.035902,0.037681,0.041171,0.047864,0.060424,0.085057", \ "0.042999,0.044071,0.045985,0.049812,0.057155,0.070835,0.095940"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015015,0.015535,0.016480,0.018333,0.021947,0.028968,0.042674", \ "0.016463,0.016984,0.017930,0.019787,0.023406,0.030433,0.044146", \ "0.020439,0.020979,0.021954,0.023823,0.027466,0.034537,0.048295", \ "0.025671,0.026326,0.027500,0.029759,0.033989,0.041738,0.055918", \ "0.029638,0.030499,0.032044,0.034963,0.040324,0.049776,0.066116", \ "0.031007,0.032105,0.034102,0.037833,0.044630,0.056475,0.076248", \ "0.029265,0.030630,0.033128,0.037742,0.046089,0.060605,0.084588"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.046300,0.047820,0.050601,0.056137,0.067132,0.088973,0.132434", \ "0.047328,0.048860,0.051667,0.057239,0.068287,0.090191,0.133712", \ "0.052383,0.053913,0.056720,0.062299,0.073373,0.095340,0.138958", \ "0.062182,0.063711,0.066499,0.072060,0.083101,0.105022,0.148628", \ "0.075191,0.077005,0.080262,0.086528,0.098367,0.120359,0.163869", \ "0.089741,0.091885,0.095714,0.103064,0.116753,0.141776,0.186386", \ "0.107662,0.110078,0.114517,0.122880,0.138424,0.166583,0.216131"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008873,0.009272,0.010002,0.011461,0.014365,0.020162,0.031736", \ "0.008868,0.009269,0.009999,0.011459,0.014366,0.020161,0.031736", \ "0.009144,0.009515,0.010208,0.011600,0.014414,0.020163,0.031738", \ "0.011514,0.011872,0.012535,0.013850,0.016448,0.021599,0.032219", \ "0.015909,0.016309,0.017020,0.018398,0.021025,0.026036,0.035946", \ "0.021652,0.022138,0.022983,0.024607,0.027594,0.032946,0.042795", \ "0.028466,0.029064,0.030057,0.031997,0.035540,0.041673,0.052206"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026488,0.027825,0.030284,0.035197,0.044958,0.064382,0.102897", \ "0.026486,0.027830,0.030288,0.035196,0.044968,0.064355,0.102898", \ "0.026491,0.027826,0.030284,0.035198,0.044970,0.064361,0.102912", \ "0.026899,0.028143,0.030483,0.035287,0.044984,0.064375,0.102897", \ "0.032441,0.033554,0.035597,0.039488,0.047573,0.065038,0.102903", \ "0.040222,0.041408,0.043558,0.047773,0.055965,0.071461,0.104744", \ "0.048748,0.050040,0.052318,0.056877,0.065654,0.082212,0.113196"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015247,0.015766,0.016708,0.018560,0.022174,0.029202,0.042929", \ "0.016695,0.017215,0.018159,0.020014,0.023633,0.030668,0.044400", \ "0.020680,0.021217,0.022184,0.024049,0.027692,0.034771,0.048550", \ "0.025972,0.026624,0.027792,0.030035,0.034252,0.041989,0.056173", \ "0.030067,0.030919,0.032448,0.035345,0.040671,0.050088,0.066410", \ "0.031612,0.032696,0.034668,0.038368,0.045112,0.056898,0.076620", \ "0.030097,0.031438,0.033902,0.038462,0.046743,0.061184,0.085081"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.053553,0.055072,0.057860,0.063401,0.074406,0.096267,0.139760", \ "0.054646,0.056175,0.058975,0.064542,0.075589,0.097505,0.141061", \ "0.059716,0.061245,0.064051,0.069629,0.080701,0.102676,0.146311", \ "0.069495,0.071017,0.073807,0.079365,0.090408,0.112351,0.155996", \ "0.083739,0.085445,0.088536,0.094497,0.105795,0.127682,0.171194", \ "0.099832,0.101835,0.105437,0.112389,0.125454,0.149697,0.193674", \ "0.119050,0.121314,0.125433,0.133378,0.148241,0.175448,0.223909"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010835,0.011234,0.011964,0.013422,0.016322,0.022095,0.033633", \ "0.010832,0.011231,0.011962,0.013421,0.016323,0.022095,0.033633", \ "0.011090,0.011466,0.012162,0.013555,0.016366,0.022096,0.033633", \ "0.013752,0.014087,0.014709,0.015961,0.018479,0.023516,0.034109", \ "0.018744,0.019083,0.019704,0.020936,0.023359,0.028154,0.037882", \ "0.025324,0.025721,0.026419,0.027801,0.030445,0.035417,0.044928", \ "0.033090,0.033573,0.034375,0.035996,0.039079,0.044649,0.054644"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030231,0.031582,0.034063,0.039007,0.048824,0.068290,0.106938", \ "0.030233,0.031583,0.034061,0.039003,0.048819,0.068289,0.106948", \ "0.030236,0.031586,0.034065,0.039004,0.048824,0.068291,0.106922", \ "0.030344,0.031671,0.034126,0.039041,0.048832,0.068288,0.106934", \ "0.034827,0.035903,0.037912,0.042006,0.050535,0.068591,0.106905", \ "0.042542,0.043722,0.045886,0.050144,0.058381,0.074075,0.108242", \ "0.051117,0.052407,0.054733,0.059306,0.068115,0.084707,0.115903"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012819,0.013368,0.014362,0.016297,0.020028,0.027191,0.041030", \ "0.014204,0.014752,0.015745,0.017680,0.021411,0.028575,0.042417", \ "0.018094,0.018665,0.019686,0.021658,0.025387,0.032573,0.046455", \ "0.022867,0.023582,0.024849,0.027247,0.031668,0.039638,0.054027", \ "0.026035,0.026977,0.028660,0.031812,0.037494,0.047339,0.064025", \ "0.026611,0.027819,0.029979,0.033994,0.041187,0.053548,0.073856", \ "0.024234,0.025745,0.028401,0.033323,0.042125,0.057226,0.081835"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.041922,0.043361,0.046003,0.051256,0.061696,0.082454,0.123793", \ "0.043115,0.044568,0.047232,0.052518,0.063010,0.083831,0.125230", \ "0.048572,0.050024,0.052685,0.057976,0.068486,0.089359,0.130854", \ "0.058124,0.059582,0.062230,0.067509,0.077983,0.098803,0.140272", \ "0.069333,0.071077,0.074202,0.080240,0.091723,0.112985,0.154337", \ "0.082209,0.084197,0.087864,0.094788,0.107754,0.131683,0.174855", \ "0.098416,0.100690,0.104832,0.112598,0.127060,0.153473,0.200776"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008318,0.008724,0.009468,0.010940,0.013850,0.019615,0.031123", \ "0.008276,0.008686,0.009437,0.010918,0.013835,0.019607,0.031120", \ "0.008670,0.009038,0.009713,0.011090,0.013888,0.019590,0.031123", \ "0.011344,0.011696,0.012327,0.013598,0.016122,0.021196,0.031675", \ "0.015970,0.016358,0.017052,0.018387,0.020939,0.025839,0.035567", \ "0.021855,0.022319,0.023144,0.024723,0.027648,0.032891,0.042570", \ "0.028790,0.029350,0.030332,0.032220,0.035673,0.041694,0.052071"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022123,0.023428,0.025814,0.030585,0.040081,0.058978,0.096614", \ "0.022131,0.023435,0.025820,0.030588,0.040091,0.059002,0.096600", \ "0.022149,0.023446,0.025830,0.030594,0.040086,0.058982,0.096601", \ "0.023026,0.024205,0.026395,0.030871,0.040172,0.058988,0.096598", \ "0.027958,0.029122,0.031250,0.035424,0.043404,0.060199,0.096601", \ "0.034220,0.035454,0.037680,0.042045,0.050523,0.066727,0.099271", \ "0.041371,0.042691,0.045012,0.049635,0.058582,0.075547,0.107682"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012828,0.013378,0.014371,0.016306,0.020037,0.027200,0.041038", \ "0.014258,0.014806,0.015799,0.017734,0.021465,0.028629,0.042470", \ "0.018216,0.018785,0.019806,0.021777,0.025506,0.032692,0.046572", \ "0.023025,0.023739,0.025005,0.027400,0.031815,0.039782,0.054164", \ "0.026146,0.027092,0.028778,0.031931,0.037613,0.047471,0.064160", \ "0.026452,0.027672,0.029839,0.033886,0.041126,0.053553,0.073920", \ "0.023477,0.025007,0.027710,0.032694,0.041616,0.056894,0.081687"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.051260,0.053021,0.056247,0.062661,0.075403,0.100727,0.151144", \ "0.052219,0.053993,0.057249,0.063704,0.076509,0.101909,0.152400", \ "0.057186,0.058962,0.062213,0.068679,0.081512,0.106984,0.157575", \ "0.066424,0.068187,0.071414,0.077856,0.090645,0.116063,0.166639", \ "0.078426,0.080447,0.084060,0.091083,0.104407,0.129808,0.180261", \ "0.092338,0.094621,0.098781,0.106718,0.121648,0.149423,0.200350", \ "0.110038,0.112590,0.117286,0.126138,0.142647,0.173013,0.227886"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.008321,0.008728,0.009471,0.010942,0.013851,0.019615,0.031122", \ "0.008282,0.008693,0.009441,0.010922,0.013838,0.019609,0.031121", \ "0.008663,0.009029,0.009708,0.011085,0.013885,0.019592,0.031124", \ "0.011297,0.011652,0.012286,0.013556,0.016088,0.021171,0.031666", \ "0.015896,0.016289,0.016982,0.018329,0.020886,0.025788,0.035537", \ "0.021794,0.022257,0.023089,0.024677,0.027605,0.032854,0.042537", \ "0.028783,0.029340,0.030328,0.032232,0.035709,0.041730,0.052104"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027762,0.029341,0.032243,0.038036,0.049569,0.072474,0.117992", \ "0.027768,0.029353,0.032251,0.038042,0.049559,0.072467,0.117996", \ "0.027778,0.029355,0.032254,0.038044,0.049563,0.072466,0.117988", \ "0.028120,0.029647,0.032469,0.038165,0.049610,0.072460,0.117985", \ "0.032730,0.034149,0.036658,0.041553,0.051621,0.072867,0.117978", \ "0.039117,0.040577,0.043214,0.048412,0.058549,0.077919,0.119132", \ "0.046597,0.048127,0.050851,0.056276,0.066803,0.086936,0.125559"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013061,0.013609,0.014599,0.016531,0.020260,0.027428,0.041286", \ "0.014490,0.015036,0.016027,0.017958,0.021688,0.028858,0.042717", \ "0.018457,0.019024,0.020041,0.022002,0.025728,0.032921,0.046820", \ "0.023346,0.024049,0.025304,0.027683,0.032077,0.040032,0.054413", \ "0.026605,0.027544,0.029208,0.032330,0.037978,0.047782,0.064450", \ "0.027109,0.028310,0.030447,0.034438,0.041633,0.053987,0.074283", \ "0.024380,0.025884,0.028539,0.033463,0.042296,0.057478,0.082181"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.060689,0.062456,0.065689,0.072122,0.084908,0.110303,0.160853", \ "0.061723,0.063503,0.066753,0.073217,0.086046,0.111504,0.162139", \ "0.066712,0.068490,0.071747,0.078221,0.091083,0.116604,0.167340", \ "0.075927,0.077684,0.080921,0.087365,0.100189,0.125671,0.176382", \ "0.089088,0.091000,0.094450,0.101136,0.114009,0.139411,0.189973", \ "0.104453,0.106620,0.110512,0.118032,0.132391,0.159462,0.209996", \ "0.123446,0.125841,0.130178,0.138619,0.154446,0.183940,0.237855"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010544,0.010945,0.011683,0.013151,0.016062,0.021822,0.033291", \ "0.010507,0.010912,0.011654,0.013129,0.016049,0.021815,0.033290", \ "0.010830,0.011195,0.011881,0.013273,0.016091,0.021798,0.033292", \ "0.013884,0.014197,0.014776,0.015975,0.018407,0.023374,0.033831", \ "0.019299,0.019606,0.020168,0.021310,0.023601,0.028228,0.037765", \ "0.026275,0.026613,0.027251,0.028517,0.031002,0.035737,0.044991", \ "0.034463,0.034858,0.035589,0.037062,0.039923,0.045232,0.054928"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032675,0.034278,0.037222,0.043085,0.054722,0.077775,0.123548", \ "0.032678,0.034283,0.037224,0.043084,0.054714,0.077786,0.123584", \ "0.032683,0.034284,0.037226,0.043084,0.054719,0.077771,0.123579", \ "0.032807,0.034393,0.037308,0.043133,0.054738,0.077766,0.123554", \ "0.036285,0.037671,0.040216,0.045361,0.055924,0.077931,0.123510", \ "0.042651,0.044123,0.046797,0.052065,0.062288,0.082000,0.124211", \ "0.050042,0.051572,0.054362,0.059856,0.070502,0.090797,0.129916"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013052,0.013599,0.014589,0.016522,0.020251,0.027419,0.041278", \ "0.014436,0.014983,0.015973,0.017904,0.021633,0.028804,0.042665", \ "0.018337,0.018903,0.019921,0.021884,0.025609,0.032801,0.046702", \ "0.023188,0.023894,0.025150,0.027531,0.031928,0.039887,0.054276", \ "0.026498,0.027433,0.029095,0.032213,0.037853,0.047650,0.064315", \ "0.027259,0.028449,0.030582,0.034548,0.041689,0.053981,0.074217", \ "0.025141,0.026606,0.029225,0.034075,0.042801,0.057808,0.082322"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.049569,0.051016,0.053671,0.058950,0.069438,0.090274,0.131731", \ "0.050826,0.052282,0.054952,0.060254,0.070782,0.091665,0.133181", \ "0.056296,0.057753,0.060425,0.065736,0.076285,0.097217,0.138805", \ "0.065862,0.067310,0.069954,0.075238,0.085754,0.106651,0.148216", \ "0.078458,0.080114,0.083068,0.088819,0.099896,0.120813,0.162258", \ "0.092731,0.094617,0.098036,0.104561,0.117002,0.140249,0.182733", \ "0.110138,0.112236,0.116080,0.123466,0.137281,0.162892,0.209298"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010544,0.010944,0.011681,0.013149,0.016062,0.021821,0.033292", \ "0.010501,0.010905,0.011649,0.013125,0.016047,0.021814,0.033290", \ "0.010834,0.011201,0.011887,0.013277,0.016094,0.021797,0.033292", \ "0.013930,0.014247,0.014824,0.016011,0.018445,0.023400,0.033841", \ "0.019376,0.019679,0.020240,0.021372,0.023659,0.028280,0.037798", \ "0.026338,0.026685,0.027305,0.028557,0.031030,0.035768,0.045025", \ "0.034464,0.034858,0.035580,0.037042,0.039897,0.045186,0.054902"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026122,0.027441,0.029862,0.034687,0.044282,0.063309,0.101121", \ "0.026125,0.027444,0.029866,0.034691,0.044292,0.063325,0.101160", \ "0.026130,0.027448,0.029870,0.034694,0.044282,0.063313,0.101127", \ "0.026421,0.027697,0.030051,0.034795,0.044309,0.063315,0.101111", \ "0.030855,0.032037,0.034171,0.038273,0.046580,0.064020,0.101111", \ "0.037013,0.038250,0.040521,0.044934,0.053476,0.069747,0.103161", \ "0.044119,0.045440,0.047810,0.052495,0.061525,0.078644,0.110896"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013061,0.013609,0.014599,0.016531,0.020260,0.027428,0.041286", \ "0.014490,0.015036,0.016027,0.017958,0.021688,0.028858,0.042717", \ "0.018457,0.019024,0.020041,0.022002,0.025728,0.032921,0.046820", \ "0.023346,0.024049,0.025304,0.027683,0.032077,0.040032,0.054413", \ "0.026605,0.027544,0.029208,0.032330,0.037978,0.047782,0.064450", \ "0.027109,0.028310,0.030447,0.034438,0.041633,0.053987,0.074283", \ "0.024380,0.025884,0.028539,0.033463,0.042296,0.057478,0.082181"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.060689,0.062456,0.065689,0.072122,0.084908,0.110303,0.160853", \ "0.061723,0.063503,0.066753,0.073217,0.086046,0.111504,0.162139", \ "0.066712,0.068490,0.071747,0.078221,0.091083,0.116604,0.167340", \ "0.075927,0.077684,0.080921,0.087365,0.100189,0.125671,0.176382", \ "0.089088,0.091000,0.094450,0.101136,0.114009,0.139411,0.189973", \ "0.104453,0.106620,0.110512,0.118032,0.132391,0.159462,0.209996", \ "0.123446,0.125841,0.130178,0.138619,0.154446,0.183940,0.237855"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010544,0.010945,0.011683,0.013151,0.016062,0.021822,0.033291", \ "0.010507,0.010912,0.011654,0.013129,0.016049,0.021815,0.033290", \ "0.010830,0.011195,0.011881,0.013273,0.016091,0.021798,0.033292", \ "0.013884,0.014197,0.014776,0.015975,0.018407,0.023374,0.033831", \ "0.019299,0.019606,0.020168,0.021310,0.023601,0.028228,0.037765", \ "0.026275,0.026613,0.027251,0.028517,0.031002,0.035737,0.044991", \ "0.034463,0.034858,0.035589,0.037062,0.039923,0.045232,0.054928"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032675,0.034278,0.037222,0.043085,0.054722,0.077775,0.123548", \ "0.032678,0.034283,0.037224,0.043084,0.054714,0.077786,0.123584", \ "0.032683,0.034284,0.037226,0.043084,0.054719,0.077771,0.123579", \ "0.032807,0.034393,0.037308,0.043133,0.054738,0.077766,0.123554", \ "0.036285,0.037671,0.040216,0.045361,0.055924,0.077931,0.123510", \ "0.042651,0.044123,0.046797,0.052065,0.062288,0.082000,0.124211", \ "0.050042,0.051572,0.054362,0.059856,0.070502,0.090797,0.129916"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013302,0.013848,0.014835,0.016762,0.020490,0.027662,0.041540", \ "0.014731,0.015276,0.016263,0.018190,0.021918,0.029091,0.042972", \ "0.018708,0.019270,0.020281,0.022234,0.025956,0.033154,0.047074", \ "0.023670,0.024367,0.025608,0.027970,0.032346,0.040286,0.054670", \ "0.027075,0.028000,0.029643,0.032730,0.038341,0.048103,0.064749", \ "0.027771,0.028955,0.031058,0.035008,0.042137,0.054423,0.074661", \ "0.025303,0.026769,0.029386,0.034243,0.042992,0.058069,0.082678"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.069183,0.070946,0.074178,0.080614,0.093404,0.118812,0.169357", \ "0.070275,0.072042,0.075284,0.081743,0.094570,0.120022,0.170614", \ "0.075287,0.077058,0.080305,0.086777,0.099638,0.125146,0.175828", \ "0.084460,0.086222,0.089450,0.095896,0.108727,0.134215,0.184894", \ "0.098254,0.100082,0.103334,0.109749,0.122523,0.147919,0.198465", \ "0.114745,0.116809,0.120547,0.127807,0.141660,0.168154,0.218488", \ "0.134808,0.137068,0.141178,0.149274,0.164616,0.193402,0.246526"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012875,0.013247,0.013932,0.015316,0.018116,0.023773,0.035188", \ "0.012840,0.013213,0.013904,0.015295,0.018103,0.023767,0.035185", \ "0.013139,0.013479,0.014120,0.015432,0.018141,0.023750,0.035187", \ "0.016296,0.016570,0.017099,0.018193,0.020503,0.025302,0.035719", \ "0.022116,0.022366,0.022848,0.023844,0.025941,0.030356,0.039694", \ "0.029807,0.030070,0.030581,0.031641,0.033812,0.038184,0.047115", \ "0.038872,0.039177,0.039747,0.040946,0.043403,0.048173,0.057353"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.037132,0.038743,0.041702,0.047601,0.059300,0.082453,0.128335", \ "0.037129,0.038745,0.041702,0.047598,0.059295,0.082449,0.128308", \ "0.037136,0.038744,0.041700,0.047604,0.059303,0.082442,0.128309", \ "0.037188,0.038792,0.041735,0.047621,0.059316,0.082458,0.128299", \ "0.039605,0.041043,0.043693,0.049055,0.059975,0.082509,0.128286", \ "0.045989,0.047454,0.050121,0.055402,0.065566,0.085749,0.128702", \ "0.053333,0.054861,0.057657,0.063159,0.073848,0.094200,0.133763"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.016125,0.016721,0.017799,0.019895,0.023912,0.031526,0.045973", \ "0.017397,0.017992,0.019070,0.021163,0.025176,0.032789,0.047235", \ "0.022741,0.023276,0.024272,0.026254,0.030151,0.037682,0.052081", \ "0.031144,0.031863,0.033153,0.035583,0.040052,0.047938,0.061923", \ "0.037322,0.038236,0.039925,0.043096,0.048918,0.059230,0.076679", \ "0.041046,0.042174,0.044200,0.048080,0.055232,0.067956,0.089625", \ "0.042172,0.043507,0.045876,0.050386,0.058847,0.073948,0.099759"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.042055,0.043299,0.045565,0.050047,0.058868,0.076282,0.110837", \ "0.043282,0.044550,0.046856,0.051410,0.060348,0.077908,0.112603", \ "0.048905,0.050152,0.052433,0.056959,0.065895,0.083532,0.118405", \ "0.058952,0.060215,0.062507,0.067016,0.075884,0.093414,0.128230", \ "0.069855,0.071370,0.074090,0.079342,0.089263,0.107528,0.142259", \ "0.079740,0.081548,0.084789,0.090964,0.102542,0.123649,0.161312", \ "0.090030,0.092146,0.095932,0.103123,0.116409,0.140407,0.182576"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012158,0.012584,0.013355,0.014881,0.017884,0.023773,0.035393", \ "0.012060,0.012491,0.013276,0.014821,0.017844,0.023753,0.035388", \ "0.011599,0.012002,0.012754,0.014281,0.017401,0.023592,0.035356", \ "0.016299,0.016671,0.017319,0.018569,0.020886,0.025271,0.035451", \ "0.022962,0.023445,0.024255,0.025840,0.028743,0.033826,0.042561", \ "0.030826,0.031426,0.032464,0.034441,0.038048,0.044300,0.054772", \ "0.039918,0.040647,0.041937,0.044377,0.048733,0.056242,0.068687"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.018229,0.019279,0.021225,0.025113,0.032867,0.048335,0.079104", \ "0.018253,0.019303,0.021241,0.025115,0.032872,0.048346,0.079111", \ "0.018300,0.019339,0.021274,0.025137,0.032878,0.048340,0.079117", \ "0.018902,0.019870,0.021693,0.025397,0.032976,0.048363,0.079101", \ "0.023519,0.024450,0.026164,0.029556,0.036096,0.049701,0.079164", \ "0.030015,0.030962,0.032728,0.036268,0.043220,0.056605,0.082693", \ "0.039074,0.039960,0.041681,0.045158,0.052157,0.065879,0.092301"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015103,0.015700,0.016775,0.018869,0.022878,0.030482,0.044909", \ "0.016381,0.016973,0.018044,0.020126,0.024127,0.031720,0.046142", \ "0.021853,0.022370,0.023339,0.025281,0.029130,0.036610,0.050964", \ "0.029720,0.030444,0.031773,0.034259,0.038818,0.046825,0.060822", \ "0.035237,0.036180,0.037908,0.041166,0.047131,0.057641,0.075322", \ "0.038095,0.039269,0.041364,0.045382,0.052742,0.065757,0.087776", \ "0.038204,0.039599,0.042055,0.046734,0.055468,0.070971,0.097286"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.048863,0.050404,0.053228,0.058825,0.069881,0.091760,0.135247", \ "0.049915,0.051486,0.054361,0.060047,0.071252,0.093320,0.136988", \ "0.055199,0.056746,0.059585,0.065234,0.076435,0.098600,0.142493", \ "0.064263,0.065804,0.068626,0.074219,0.085307,0.107338,0.151159", \ "0.074284,0.076034,0.079200,0.085368,0.097194,0.119389,0.163035", \ "0.083540,0.085540,0.089143,0.096086,0.109320,0.133989,0.179161", \ "0.093719,0.095987,0.100048,0.107850,0.122496,0.149587,0.198688"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011457,0.011889,0.012672,0.014216,0.017242,0.023149,0.034773", \ "0.011317,0.011758,0.012554,0.014123,0.017177,0.023111,0.034758", \ "0.011098,0.011486,0.012207,0.013689,0.016739,0.022926,0.034712", \ "0.016040,0.016408,0.017054,0.018307,0.020625,0.024930,0.034915", \ "0.022775,0.023255,0.024077,0.025662,0.028567,0.033635,0.042353", \ "0.030831,0.031414,0.032458,0.034425,0.038009,0.044232,0.054646", \ "0.040180,0.040919,0.042193,0.044623,0.048951,0.056401,0.068728"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022769,0.024086,0.026524,0.031386,0.041086,0.060422,0.098851", \ "0.022786,0.024101,0.026532,0.031391,0.041085,0.060414,0.098859", \ "0.022815,0.024125,0.026547,0.031399,0.041086,0.060409,0.098858", \ "0.023131,0.024394,0.026745,0.031501,0.041132,0.060402,0.098858", \ "0.026975,0.028158,0.030350,0.034576,0.043093,0.061014,0.098833", \ "0.032586,0.033786,0.036012,0.040468,0.049271,0.066283,0.100743", \ "0.040768,0.041894,0.044010,0.048337,0.057081,0.074432,0.108127"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015391,0.015983,0.017055,0.019140,0.023144,0.030749,0.045189", \ "0.016665,0.017254,0.018320,0.020398,0.024392,0.031987,0.046421", \ "0.022095,0.022616,0.023588,0.025535,0.029387,0.036872,0.051243", \ "0.030111,0.030831,0.032138,0.034604,0.039132,0.047102,0.061095", \ "0.035824,0.036749,0.038452,0.041672,0.047589,0.058045,0.075672", \ "0.038929,0.040074,0.042130,0.046096,0.053390,0.066332,0.088271", \ "0.039370,0.040714,0.043121,0.047722,0.056369,0.071759,0.097964"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.056168,0.057709,0.060526,0.066114,0.077180,0.099091,0.142611", \ "0.057401,0.058962,0.061814,0.067467,0.078640,0.100701,0.144373", \ "0.062621,0.064174,0.067016,0.072665,0.083867,0.106035,0.149917", \ "0.071622,0.073160,0.075978,0.081572,0.092681,0.114743,0.158588", \ "0.082600,0.084286,0.087341,0.093293,0.104744,0.126753,0.170446", \ "0.093059,0.094970,0.098407,0.105047,0.117848,0.141957,0.186546", \ "0.104502,0.106660,0.110500,0.117876,0.131925,0.158315,0.206648"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013966,0.014365,0.015096,0.016557,0.019467,0.025244,0.036755", \ "0.013822,0.014231,0.014977,0.016462,0.019401,0.025207,0.036741", \ "0.013515,0.013886,0.014574,0.015999,0.018958,0.025023,0.036696", \ "0.018827,0.019133,0.019670,0.020750,0.022822,0.026966,0.036886", \ "0.026555,0.026936,0.027600,0.028913,0.031442,0.036052,0.044327", \ "0.035698,0.036157,0.036997,0.038613,0.041690,0.047296,0.057090", \ "0.046189,0.046790,0.047821,0.049828,0.053510,0.060185,0.071722"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026481,0.027819,0.030281,0.035194,0.044960,0.064360,0.102893", \ "0.026482,0.027827,0.030289,0.035198,0.044963,0.064391,0.102899", \ "0.026494,0.027834,0.030290,0.035201,0.044966,0.064384,0.102915", \ "0.026626,0.027941,0.030367,0.035239,0.044981,0.064365,0.102896", \ "0.029830,0.031008,0.033160,0.037484,0.046335,0.064658,0.102890", \ "0.035247,0.036499,0.038792,0.043346,0.052236,0.069269,0.104323", \ "0.042764,0.043992,0.046266,0.050815,0.059830,0.077398,0.111171"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012041,0.012680,0.013833,0.016062,0.020300,0.028247,0.043116", \ "0.013451,0.014075,0.015208,0.017408,0.021613,0.029531,0.044381", \ "0.019547,0.020118,0.021135,0.023052,0.026911,0.034546,0.049241", \ "0.026852,0.027648,0.029078,0.031752,0.036594,0.044978,0.059244", \ "0.031911,0.032929,0.034798,0.038262,0.044551,0.055498,0.073681", \ "0.034408,0.035648,0.037894,0.042131,0.049842,0.063339,0.085923", \ "0.034187,0.035661,0.038266,0.043185,0.052287,0.068294,0.095224"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.046198,0.047700,0.050431,0.055819,0.066414,0.087328,0.128840", \ "0.047296,0.048826,0.051605,0.057081,0.067818,0.088900,0.130592", \ "0.052778,0.054282,0.057034,0.062473,0.073203,0.094378,0.136278", \ "0.062657,0.064177,0.066921,0.072328,0.082970,0.104012,0.145843", \ "0.073523,0.075269,0.078384,0.084417,0.095888,0.117239,0.158916", \ "0.083382,0.085388,0.088969,0.095827,0.108758,0.132653,0.176124", \ "0.093820,0.096116,0.100184,0.107943,0.122355,0.148729,0.196232"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010703,0.011192,0.012075,0.013777,0.017023,0.023173,0.034962", \ "0.010447,0.010956,0.011862,0.013604,0.016900,0.023098,0.034926", \ "0.010902,0.011260,0.011922,0.013333,0.016339,0.022685,0.034782", \ "0.016465,0.016832,0.017472,0.018707,0.020986,0.025174,0.034947", \ "0.023532,0.023999,0.024798,0.026350,0.029176,0.034157,0.042721", \ "0.031877,0.032469,0.033485,0.035396,0.038877,0.044930,0.055130", \ "0.041545,0.042276,0.043539,0.045900,0.050095,0.057316,0.069352"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.019558,0.020822,0.023169,0.027874,0.037315,0.056175,0.093826", \ "0.019610,0.020867,0.023205,0.027892,0.037308,0.056186,0.093818", \ "0.019684,0.020937,0.023258,0.027931,0.037336,0.056171,0.093822", \ "0.020162,0.021360,0.023596,0.028147,0.037432,0.056227,0.093816", \ "0.024067,0.025211,0.027320,0.031485,0.039699,0.057013,0.093858", \ "0.029475,0.030656,0.032840,0.037226,0.045848,0.062573,0.096029", \ "0.037198,0.038342,0.040504,0.044868,0.053586,0.070707,0.103837"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011585,0.012210,0.013340,0.015529,0.019706,0.027571,0.042340", \ "0.012997,0.013607,0.014714,0.016870,0.021008,0.028836,0.043580", \ "0.018981,0.019557,0.020583,0.022501,0.026297,0.033842,0.048424", \ "0.025782,0.026587,0.028041,0.030762,0.035673,0.044144,0.058425", \ "0.030191,0.031230,0.033143,0.036690,0.043097,0.054211,0.072583", \ "0.031850,0.033132,0.035450,0.039813,0.047710,0.061485,0.084367", \ "0.030637,0.032140,0.034850,0.039938,0.049310,0.065698,0.093076"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.052634,0.054432,0.057710,0.064204,0.077019,0.102391,0.152832", \ "0.053559,0.055391,0.058727,0.065323,0.078313,0.103901,0.154545", \ "0.058709,0.060512,0.063808,0.070357,0.083337,0.109033,0.159940", \ "0.067656,0.069451,0.072716,0.079198,0.092049,0.117584,0.168406", \ "0.077587,0.079567,0.083142,0.090121,0.103499,0.129032,0.179649", \ "0.086715,0.088926,0.092897,0.100581,0.115285,0.142961,0.194371", \ "0.096898,0.099342,0.103707,0.112163,0.128083,0.157884,0.212764"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010123,0.010611,0.011486,0.013180,0.016414,0.022549,0.034317", \ "0.009861,0.010362,0.011266,0.012994,0.016274,0.022458,0.034274", \ "0.010586,0.010911,0.011549,0.012902,0.015820,0.022065,0.034131", \ "0.016179,0.016549,0.017198,0.018425,0.020714,0.024856,0.034450", \ "0.023291,0.023767,0.024572,0.026118,0.028943,0.033902,0.042468", \ "0.031796,0.032387,0.033402,0.035305,0.038769,0.044791,0.054946", \ "0.041752,0.042480,0.043725,0.046073,0.050225,0.057370,0.069304"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023451,0.024992,0.027846,0.033567,0.044996,0.067788,0.113143", \ "0.023483,0.025021,0.027868,0.033574,0.045005,0.067800,0.113138", \ "0.023532,0.025062,0.027897,0.033592,0.045006,0.067803,0.113158", \ "0.023813,0.025301,0.028080,0.033692,0.045046,0.067772,0.113137", \ "0.027151,0.028574,0.031151,0.036218,0.046547,0.068103,0.113143", \ "0.031926,0.033367,0.036041,0.041396,0.051948,0.072326,0.114298", \ "0.039077,0.040460,0.043048,0.048298,0.058847,0.079695,0.120165"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011856,0.012478,0.013604,0.015789,0.019960,0.027826,0.042610", \ "0.013261,0.013868,0.014973,0.017125,0.021260,0.029089,0.043849", \ "0.019241,0.019810,0.020826,0.022728,0.026534,0.034091,0.048691", \ "0.026203,0.026999,0.028432,0.031120,0.035991,0.044423,0.058684", \ "0.030824,0.031848,0.033727,0.037226,0.043577,0.054627,0.072935", \ "0.032779,0.034030,0.036293,0.040585,0.048398,0.062076,0.084869", \ "0.031944,0.033407,0.036042,0.041015,0.050261,0.066513,0.093766"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.062115,0.063906,0.067181,0.073673,0.086524,0.111981,0.162547", \ "0.063265,0.065079,0.068392,0.074956,0.087929,0.113548,0.164288", \ "0.068337,0.070141,0.073444,0.080002,0.093006,0.118750,0.169725", \ "0.077184,0.078972,0.082243,0.088740,0.101636,0.127259,0.178192", \ "0.088042,0.089951,0.093408,0.100135,0.113137,0.138641,0.189396", \ "0.098387,0.100488,0.104280,0.111647,0.125915,0.153054,0.204040", \ "0.109800,0.112116,0.116251,0.124251,0.139585,0.168717,0.222909"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013115,0.013558,0.014366,0.015951,0.019040,0.025009,0.036624", \ "0.012828,0.013288,0.014123,0.015752,0.018894,0.024918,0.036578", \ "0.013248,0.013580,0.014208,0.015535,0.018388,0.024517,0.036434", \ "0.019607,0.019882,0.020376,0.021364,0.023324,0.027204,0.036728", \ "0.028029,0.028357,0.028931,0.030100,0.032407,0.036774,0.044778", \ "0.037907,0.038298,0.039042,0.040459,0.043240,0.048452,0.057809", \ "0.049319,0.049823,0.050715,0.052481,0.055778,0.061895,0.072823"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.028263,0.029849,0.032760,0.038577,0.050141,0.073108,0.118700", \ "0.028267,0.029856,0.032767,0.038578,0.050130,0.073079,0.118702", \ "0.028290,0.029876,0.032781,0.038584,0.050135,0.073076,0.118678", \ "0.028402,0.029967,0.032848,0.038624,0.050147,0.073076,0.118690", \ "0.030952,0.032374,0.035000,0.040281,0.051034,0.073205,0.118668", \ "0.035644,0.037160,0.039933,0.045420,0.056104,0.076664,0.119420", \ "0.042114,0.043625,0.046399,0.051917,0.062788,0.083930,0.124683"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012320,0.012956,0.014104,0.016327,0.020559,0.028505,0.043388", \ "0.013722,0.014345,0.015474,0.017669,0.021870,0.029788,0.044653", \ "0.019805,0.020369,0.021376,0.023284,0.027153,0.034798,0.049512", \ "0.027274,0.028055,0.029462,0.032107,0.036912,0.045257,0.059508", \ "0.032540,0.033547,0.035375,0.038794,0.045023,0.055911,0.074035", \ "0.035323,0.036531,0.038722,0.042892,0.050517,0.063932,0.086426", \ "0.035484,0.036896,0.039423,0.044240,0.053225,0.069101,0.095904"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.054023,0.055509,0.058223,0.063595,0.074205,0.095183,0.136817", \ "0.055307,0.056811,0.059558,0.064988,0.075697,0.096812,0.138578", \ "0.060729,0.062228,0.064966,0.070393,0.081126,0.102341,0.144308", \ "0.070584,0.072072,0.074791,0.080177,0.090828,0.111942,0.153868", \ "0.082575,0.084221,0.087185,0.092954,0.104002,0.125129,0.166907", \ "0.093830,0.095704,0.099070,0.105539,0.117955,0.141222,0.184091", \ "0.105698,0.107819,0.111603,0.118857,0.132570,0.158179,0.204873"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013833,0.014272,0.015069,0.016643,0.019717,0.025675,0.037289", \ "0.013559,0.014014,0.014842,0.016461,0.019588,0.025599,0.037253", \ "0.013732,0.014069,0.014712,0.016075,0.018982,0.025180,0.037108", \ "0.019919,0.020190,0.020680,0.021669,0.023622,0.027558,0.037251", \ "0.028235,0.028564,0.029143,0.030326,0.032645,0.037023,0.045039", \ "0.037905,0.038317,0.039060,0.040500,0.043312,0.048573,0.057993", \ "0.048977,0.049478,0.050419,0.052210,0.055580,0.061805,0.072849"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.023385,0.024694,0.027099,0.031906,0.041493,0.060526,0.098364", \ "0.023405,0.024711,0.027114,0.031918,0.041497,0.060512,0.098364", \ "0.023443,0.024744,0.027142,0.031931,0.041492,0.060535,0.098353", \ "0.023669,0.024950,0.027305,0.032034,0.041540,0.060521,0.098370", \ "0.026939,0.028124,0.030253,0.034486,0.043079,0.060951,0.098365", \ "0.032142,0.033396,0.035693,0.040221,0.049015,0.065811,0.100028", \ "0.039287,0.040560,0.042886,0.047504,0.056535,0.073936,0.107235"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011856,0.012478,0.013604,0.015789,0.019960,0.027826,0.042610", \ "0.013261,0.013868,0.014973,0.017125,0.021260,0.029089,0.043849", \ "0.019241,0.019810,0.020826,0.022728,0.026534,0.034091,0.048691", \ "0.026203,0.026999,0.028432,0.031120,0.035991,0.044423,0.058684", \ "0.030824,0.031848,0.033727,0.037226,0.043577,0.054627,0.072935", \ "0.032779,0.034030,0.036293,0.040585,0.048398,0.062076,0.084869", \ "0.031944,0.033407,0.036042,0.041015,0.050261,0.066513,0.093766"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.062115,0.063906,0.067181,0.073673,0.086524,0.111981,0.162547", \ "0.063265,0.065079,0.068392,0.074956,0.087929,0.113548,0.164288", \ "0.068337,0.070141,0.073444,0.080002,0.093006,0.118750,0.169725", \ "0.077184,0.078972,0.082243,0.088740,0.101636,0.127259,0.178192", \ "0.088042,0.089951,0.093408,0.100135,0.113137,0.138641,0.189396", \ "0.098387,0.100488,0.104280,0.111647,0.125915,0.153054,0.204040", \ "0.109800,0.112116,0.116251,0.124251,0.139585,0.168717,0.222909"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013115,0.013558,0.014366,0.015951,0.019040,0.025009,0.036624", \ "0.012828,0.013288,0.014123,0.015752,0.018894,0.024918,0.036578", \ "0.013248,0.013580,0.014208,0.015535,0.018388,0.024517,0.036434", \ "0.019607,0.019882,0.020376,0.021364,0.023324,0.027204,0.036728", \ "0.028029,0.028357,0.028931,0.030100,0.032407,0.036774,0.044778", \ "0.037907,0.038298,0.039042,0.040459,0.043240,0.048452,0.057809", \ "0.049319,0.049823,0.050715,0.052481,0.055778,0.061895,0.072823"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.028263,0.029849,0.032760,0.038577,0.050141,0.073108,0.118700", \ "0.028267,0.029856,0.032767,0.038578,0.050130,0.073079,0.118702", \ "0.028290,0.029876,0.032781,0.038584,0.050135,0.073076,0.118678", \ "0.028402,0.029967,0.032848,0.038624,0.050147,0.073076,0.118690", \ "0.030952,0.032374,0.035000,0.040281,0.051034,0.073205,0.118668", \ "0.035644,0.037160,0.039933,0.045420,0.056104,0.076664,0.119420", \ "0.042114,0.043625,0.046399,0.051917,0.062788,0.083930,0.124683"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012146,0.012764,0.013886,0.016063,0.020231,0.028095,0.042892", \ "0.013541,0.014147,0.015249,0.017398,0.021528,0.029358,0.044131", \ "0.019511,0.020074,0.021077,0.022967,0.026786,0.034353,0.048973", \ "0.026634,0.027419,0.028833,0.031490,0.036323,0.044708,0.058957", \ "0.031475,0.032481,0.034328,0.037776,0.044067,0.055050,0.073294", \ "0.033740,0.034966,0.037161,0.041376,0.049100,0.062677,0.085377", \ "0.033302,0.034733,0.037284,0.042147,0.051242,0.067348,0.094463"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.070669,0.072455,0.075720,0.082200,0.095047,0.120516,0.171126", \ "0.071958,0.073760,0.077056,0.083586,0.096528,0.122138,0.172886", \ "0.077030,0.078831,0.082122,0.088671,0.101660,0.127387,0.178353", \ "0.085785,0.087571,0.090841,0.097334,0.110246,0.135886,0.186848", \ "0.097168,0.099002,0.102321,0.108845,0.121728,0.147243,0.198060", \ "0.108485,0.110514,0.114169,0.121312,0.135236,0.161940,0.212646", \ "0.120847,0.123057,0.127041,0.134734,0.149642,0.178257,0.231816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015697,0.016109,0.016860,0.018353,0.021306,0.027125,0.038619", \ "0.015410,0.015838,0.016619,0.018154,0.021159,0.027033,0.038574", \ "0.015739,0.016049,0.016632,0.017885,0.020630,0.026632,0.038430", \ "0.022373,0.022588,0.022983,0.023808,0.025528,0.029242,0.038701", \ "0.031611,0.031867,0.032308,0.033259,0.035234,0.039173,0.046751", \ "0.042460,0.042754,0.043343,0.044481,0.046839,0.051487,0.060251", \ "0.054945,0.055327,0.056025,0.057452,0.060212,0.065636,0.075804"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032673,0.034273,0.037218,0.043081,0.054716,0.077788,0.123549", \ "0.032677,0.034277,0.037224,0.043079,0.054726,0.077804,0.123543", \ "0.032680,0.034287,0.037225,0.043088,0.054722,0.077763,0.123526", \ "0.032729,0.034323,0.037255,0.043100,0.054729,0.077801,0.123543", \ "0.034506,0.035978,0.038697,0.044166,0.055221,0.077823,0.123558", \ "0.039291,0.040820,0.043621,0.049136,0.059845,0.080628,0.123975", \ "0.045433,0.046983,0.049825,0.055450,0.066474,0.087749,0.128743"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.017388,0.017984,0.019062,0.021157,0.025172,0.032786,0.047234", \ "0.018711,0.019308,0.020384,0.022478,0.026492,0.034109,0.048557", \ "0.022804,0.023380,0.024428,0.026485,0.030476,0.038092,0.052559", \ "0.029351,0.030003,0.031177,0.033444,0.037730,0.045631,0.060172", \ "0.035463,0.036275,0.037739,0.040519,0.045639,0.054842,0.071058", \ "0.039515,0.040557,0.042417,0.045869,0.052191,0.063371,0.082428", \ "0.041151,0.042401,0.044621,0.048792,0.056419,0.069878,0.092580"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.046962,0.048183,0.050406,0.054830,0.063591,0.080961,0.115488", \ "0.048473,0.049699,0.051944,0.056392,0.065199,0.082621,0.117195", \ "0.054461,0.055688,0.057933,0.062390,0.071215,0.088685,0.123335", \ "0.064699,0.065932,0.068178,0.072628,0.081434,0.098875,0.133518", \ "0.076789,0.078222,0.080797,0.085794,0.095341,0.113146,0.147751", \ "0.088047,0.089745,0.092787,0.098633,0.109671,0.130100,0.167023", \ "0.099928,0.101898,0.105430,0.112172,0.124756,0.147840,0.189079"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012119,0.012543,0.013317,0.014851,0.017863,0.023761,0.035391", \ "0.012078,0.012504,0.013283,0.014823,0.017843,0.023750,0.035386", \ "0.011823,0.012255,0.013041,0.014597,0.017660,0.023683,0.035374", \ "0.013707,0.014107,0.014820,0.016239,0.019008,0.024405,0.035520", \ "0.017908,0.018310,0.019031,0.020435,0.023144,0.028371,0.038599", \ "0.023671,0.024133,0.024951,0.026539,0.029503,0.034914,0.044978", \ "0.030477,0.031025,0.032007,0.033880,0.037323,0.043393,0.053999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.021094,0.022167,0.024136,0.028067,0.035887,0.051434,0.082260", \ "0.021103,0.022176,0.024146,0.028073,0.035895,0.051448,0.082253", \ "0.021121,0.022191,0.024157,0.028076,0.035896,0.051451,0.082250", \ "0.021423,0.022448,0.024357,0.028203,0.035942,0.051437,0.082241", \ "0.025482,0.026436,0.028193,0.031606,0.038332,0.052382,0.082269", \ "0.031699,0.032708,0.034561,0.038203,0.045277,0.058751,0.085258", \ "0.039763,0.040795,0.042721,0.046476,0.053836,0.067889,0.094463"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.016389,0.016979,0.018055,0.020141,0.024145,0.031745,0.046170", \ "0.017703,0.018293,0.019364,0.021446,0.025444,0.033040,0.047463", \ "0.021821,0.022392,0.023426,0.025459,0.029418,0.037002,0.051434", \ "0.028152,0.028815,0.029998,0.032278,0.036582,0.044505,0.059040", \ "0.033774,0.034618,0.036119,0.038961,0.044177,0.053490,0.069804", \ "0.037130,0.038217,0.040125,0.043686,0.050186,0.061596,0.080893", \ "0.037850,0.039159,0.041459,0.045784,0.053660,0.067478,0.090587"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.055061,0.056582,0.059363,0.064898,0.075890,0.097730,0.141187", \ "0.056471,0.058003,0.060808,0.066377,0.077426,0.099325,0.142842", \ "0.062213,0.063744,0.066548,0.072127,0.083199,0.105163,0.148776", \ "0.071434,0.072965,0.075762,0.081320,0.092360,0.114288,0.157897", \ "0.082437,0.084123,0.087164,0.093112,0.104545,0.126489,0.170027", \ "0.092871,0.094781,0.098219,0.104887,0.117641,0.141709,0.186241", \ "0.104410,0.106568,0.110419,0.117825,0.131857,0.158149,0.206427"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.011385,0.011821,0.012610,0.014165,0.017203,0.023126,0.034762", \ "0.011312,0.011749,0.012544,0.014109,0.017163,0.023101,0.034753", \ "0.011149,0.011578,0.012361,0.013919,0.016988,0.023026,0.034737", \ "0.013253,0.013642,0.014350,0.015754,0.018503,0.023864,0.034923", \ "0.017611,0.018010,0.018726,0.020125,0.022805,0.027979,0.038136", \ "0.023491,0.023950,0.024766,0.026357,0.029311,0.034673,0.044654", \ "0.030444,0.030990,0.031980,0.033846,0.037282,0.043323,0.053841"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026490,0.027828,0.030290,0.035196,0.044955,0.064378,0.102901", \ "0.026493,0.027828,0.030289,0.035196,0.044959,0.064357,0.102888", \ "0.026502,0.027836,0.030291,0.035198,0.044957,0.064372,0.102894", \ "0.026631,0.027944,0.030371,0.035239,0.044979,0.064376,0.102883", \ "0.029799,0.030999,0.033174,0.037508,0.046345,0.064681,0.102880", \ "0.035170,0.036423,0.038729,0.043285,0.052185,0.069273,0.104346", \ "0.042314,0.043580,0.045909,0.050540,0.059652,0.077330,0.111168"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.016673,0.017263,0.018332,0.020413,0.024412,0.032011,0.046450", \ "0.017986,0.018575,0.019641,0.021717,0.025710,0.033305,0.047742", \ "0.022091,0.022659,0.023691,0.025723,0.029681,0.037267,0.051715", \ "0.028479,0.029134,0.030312,0.032582,0.036866,0.044778,0.059318", \ "0.034226,0.035052,0.036538,0.039353,0.044544,0.053816,0.070112", \ "0.037753,0.038816,0.040699,0.044221,0.050671,0.062028,0.081273", \ "0.038701,0.039975,0.042228,0.046506,0.054310,0.068049,0.091087"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.062310,0.063829,0.066613,0.072152,0.083158,0.105019,0.148511", \ "0.063781,0.065309,0.068110,0.073675,0.084719,0.106631,0.150176", \ "0.069536,0.071068,0.073870,0.079449,0.090522,0.112495,0.156138", \ "0.078744,0.080270,0.083066,0.088625,0.099672,0.121623,0.165252", \ "0.090435,0.092065,0.095012,0.100775,0.111911,0.133827,0.177391", \ "0.101969,0.103802,0.107091,0.113522,0.125930,0.149530,0.193593", \ "0.114640,0.116663,0.120331,0.127418,0.140951,0.166690,0.214260"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013893,0.014295,0.015033,0.016505,0.019429,0.025222,0.036746", \ "0.013816,0.014222,0.014967,0.016449,0.019387,0.025197,0.036736", \ "0.013618,0.014023,0.014763,0.016248,0.019209,0.025122,0.036721", \ "0.015828,0.016176,0.016819,0.018117,0.020724,0.025933,0.036901", \ "0.020620,0.020948,0.021567,0.022807,0.025270,0.030185,0.040130", \ "0.027216,0.027582,0.028252,0.029601,0.032209,0.037180,0.046825", \ "0.035002,0.035454,0.036254,0.037813,0.040796,0.046290,0.056281"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.030231,0.031579,0.034061,0.039003,0.048819,0.068287,0.106942", \ "0.030236,0.031584,0.034063,0.039005,0.048826,0.068299,0.106939", \ "0.030232,0.031586,0.034067,0.039004,0.048820,0.068290,0.106938", \ "0.030284,0.031628,0.034095,0.039019,0.048833,0.068290,0.106932", \ "0.032703,0.033906,0.036128,0.040607,0.049717,0.068432,0.106924", \ "0.038101,0.039376,0.041694,0.046278,0.055195,0.072360,0.108004", \ "0.044983,0.046283,0.048657,0.053363,0.062606,0.080357,0.114274"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013350,0.013986,0.015133,0.017353,0.021581,0.029518,0.044380", \ "0.014737,0.015367,0.016506,0.018714,0.022927,0.030849,0.045702", \ "0.019145,0.019756,0.020854,0.022968,0.027042,0.034870,0.049692", \ "0.025324,0.026042,0.027315,0.029750,0.034256,0.042473,0.057347", \ "0.030501,0.031412,0.033043,0.036097,0.041642,0.051358,0.068090", \ "0.033351,0.034522,0.036582,0.040394,0.047279,0.059206,0.079060", \ "0.033553,0.034981,0.037457,0.042058,0.050362,0.064760,0.088537"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.052202,0.053667,0.056339,0.061647,0.072162,0.093024,0.134512", \ "0.053636,0.055114,0.057808,0.063149,0.073718,0.094635,0.136180", \ "0.059544,0.061020,0.063716,0.069065,0.079656,0.100629,0.142260", \ "0.069615,0.071091,0.073785,0.079126,0.089690,0.110630,0.152258", \ "0.081538,0.083185,0.086146,0.091915,0.102967,0.123996,0.165562", \ "0.092633,0.094521,0.097900,0.104415,0.116800,0.140073,0.182867", \ "0.104474,0.106617,0.110413,0.117733,0.131471,0.157023,0.203678"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010615,0.011109,0.011996,0.013709,0.016970,0.023137,0.034943", \ "0.010485,0.010984,0.011886,0.013613,0.016895,0.023086,0.034916", \ "0.010554,0.010994,0.011801,0.013423,0.016619,0.022877,0.034845", \ "0.013272,0.013648,0.014339,0.015723,0.018456,0.023845,0.034953", \ "0.018045,0.018435,0.019131,0.020494,0.023119,0.028202,0.038278", \ "0.024211,0.024655,0.025458,0.027003,0.029880,0.035118,0.044936", \ "0.031415,0.031963,0.032921,0.034738,0.038080,0.043962,0.054267"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.022997,0.024298,0.026690,0.031474,0.041010,0.059976,0.097747", \ "0.023017,0.024315,0.026704,0.031478,0.041017,0.059980,0.097730", \ "0.023036,0.024337,0.026722,0.031488,0.041011,0.059975,0.097741", \ "0.023277,0.024549,0.026891,0.031602,0.041058,0.059987,0.097725", \ "0.026573,0.027763,0.029929,0.034164,0.042704,0.060486,0.097741", \ "0.031749,0.033006,0.035304,0.039818,0.048609,0.065412,0.099504", \ "0.038546,0.039852,0.042234,0.046908,0.056006,0.073461,0.106742"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.012913,0.013533,0.014655,0.016833,0.020995,0.028846,0.043606", \ "0.014297,0.014911,0.016023,0.018185,0.022327,0.030157,0.044902", \ "0.018634,0.019236,0.020319,0.022411,0.026420,0.034158,0.048871", \ "0.024535,0.025255,0.026538,0.028979,0.033490,0.041691,0.056512", \ "0.029219,0.030148,0.031808,0.034917,0.040533,0.050335,0.067121", \ "0.031395,0.032594,0.034707,0.038612,0.045641,0.057770,0.077819", \ "0.030711,0.032175,0.034741,0.039486,0.048024,0.062740,0.086868"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.059919,0.061681,0.064908,0.071321,0.084061,0.109384,0.159796", \ "0.061254,0.063030,0.066283,0.072735,0.085540,0.110936,0.161414", \ "0.066917,0.068691,0.071942,0.078405,0.091236,0.116700,0.167299", \ "0.076029,0.077799,0.081039,0.087480,0.100275,0.125702,0.176292", \ "0.086849,0.088757,0.092203,0.098957,0.111923,0.137305,0.187807", \ "0.097056,0.099169,0.102970,0.110377,0.124604,0.151718,0.202600", \ "0.108450,0.110780,0.114935,0.122993,0.138341,0.167414,0.221546"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.010039,0.010528,0.011403,0.013108,0.016354,0.022507,0.034296", \ "0.009892,0.010388,0.011276,0.012993,0.016260,0.022441,0.034261", \ "0.010054,0.010480,0.011273,0.012862,0.016024,0.022240,0.034189", \ "0.012884,0.013258,0.013936,0.015299,0.017997,0.023342,0.034360", \ "0.017733,0.018126,0.018824,0.020175,0.022780,0.027803,0.037807", \ "0.023976,0.024421,0.025223,0.026774,0.029640,0.034843,0.044597", \ "0.031317,0.031864,0.032820,0.034636,0.037963,0.043812,0.054046"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.027760,0.029349,0.032248,0.038039,0.049568,0.072468,0.117979", \ "0.027772,0.029356,0.032253,0.038041,0.049559,0.072452,0.117978", \ "0.027785,0.029364,0.032261,0.038046,0.049561,0.072452,0.117991", \ "0.027905,0.029471,0.032336,0.038085,0.049575,0.072455,0.117979", \ "0.030543,0.031978,0.034600,0.039856,0.050539,0.072617,0.117959", \ "0.035144,0.036662,0.039432,0.044901,0.055588,0.076176,0.118772", \ "0.041303,0.042849,0.045672,0.051242,0.062188,0.083350,0.124110"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013181,0.013799,0.014918,0.017090,0.021249,0.029101,0.043876", \ "0.014562,0.015174,0.016283,0.018440,0.022579,0.030411,0.045172", \ "0.018896,0.019496,0.020575,0.022655,0.026666,0.034410,0.049139", \ "0.024870,0.025582,0.026853,0.029273,0.033765,0.041955,0.056778", \ "0.029698,0.030615,0.032253,0.035326,0.040906,0.050658,0.067423", \ "0.032067,0.033246,0.035324,0.039178,0.046147,0.058205,0.078195", \ "0.031652,0.033069,0.035578,0.040260,0.048704,0.063325,0.087364"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.069352,0.071115,0.074351,0.080782,0.093567,0.118958,0.169498", \ "0.070758,0.072533,0.075788,0.082250,0.095077,0.120526,0.171141", \ "0.076436,0.078214,0.081470,0.087946,0.100810,0.126330,0.177037", \ "0.085514,0.087287,0.090539,0.096991,0.109822,0.135315,0.186016", \ "0.096966,0.098815,0.102140,0.108640,0.121476,0.146908,0.197509", \ "0.108287,0.110315,0.113959,0.121122,0.135016,0.161672,0.212297", \ "0.120759,0.122959,0.126949,0.134666,0.149519,0.178085,0.231570"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013016,0.013461,0.014275,0.015873,0.018977,0.024967,0.036601", \ "0.012854,0.013308,0.014132,0.015749,0.018879,0.024900,0.036566", \ "0.012871,0.013278,0.014034,0.015562,0.018621,0.024696,0.036493", \ "0.015910,0.016238,0.016840,0.018077,0.020609,0.025745,0.036655", \ "0.021407,0.021711,0.022261,0.023394,0.025699,0.030406,0.040128", \ "0.028613,0.028937,0.029531,0.030739,0.033146,0.037814,0.047119", \ "0.037051,0.037450,0.038138,0.039519,0.042234,0.047342,0.056908"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032676,0.034280,0.037223,0.043081,0.054719,0.077763,0.123528", \ "0.032680,0.034283,0.037224,0.043083,0.054716,0.077758,0.123555", \ "0.032688,0.034286,0.037225,0.043085,0.054720,0.077786,0.123532", \ "0.032730,0.034327,0.037257,0.043097,0.054722,0.077760,0.123521", \ "0.034540,0.036010,0.038726,0.044184,0.055230,0.077834,0.123487", \ "0.039226,0.040762,0.043562,0.049077,0.059802,0.080650,0.123979", \ "0.045163,0.046728,0.049602,0.055281,0.066357,0.087677,0.128755"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013627,0.014260,0.015403,0.017617,0.021838,0.029776,0.044652", \ "0.015011,0.015639,0.016774,0.018976,0.023184,0.031107,0.045974", \ "0.019414,0.020023,0.021114,0.023217,0.027293,0.035126,0.049963", \ "0.025660,0.026367,0.027633,0.030043,0.034534,0.042739,0.057616", \ "0.030975,0.031870,0.033483,0.036504,0.042008,0.051679,0.068393", \ "0.034017,0.035164,0.037191,0.040952,0.047776,0.059637,0.079433", \ "0.034481,0.035855,0.038275,0.042812,0.051033,0.065341,0.089030"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.059954,0.061414,0.064091,0.069404,0.079951,0.100873,0.142466", \ "0.061447,0.062917,0.065610,0.070951,0.081533,0.102503,0.144141", \ "0.067371,0.068841,0.071538,0.076889,0.087498,0.108522,0.150249", \ "0.077432,0.078901,0.081591,0.086927,0.097516,0.118513,0.160248", \ "0.090174,0.091751,0.094595,0.100162,0.110881,0.131885,0.173534", \ "0.102545,0.104332,0.107519,0.113749,0.125748,0.148513,0.190832", \ "0.115638,0.117635,0.121238,0.128159,0.141325,0.166284,0.212214"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013737,0.014179,0.014986,0.016572,0.019662,0.025639,0.037270", \ "0.013598,0.014048,0.014865,0.016469,0.019583,0.025587,0.037244", \ "0.013528,0.013933,0.014692,0.016223,0.019287,0.025374,0.037172", \ "0.016390,0.016715,0.017320,0.018570,0.021111,0.026289,0.037270", \ "0.021751,0.022052,0.022605,0.023742,0.026069,0.030821,0.040615", \ "0.028833,0.029154,0.029751,0.030963,0.033376,0.038096,0.047466", \ "0.037083,0.037486,0.038185,0.039580,0.042321,0.047488,0.057130"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.026931,0.028253,0.030692,0.035549,0.045199,0.064318,0.102280", \ "0.026937,0.028262,0.030696,0.035554,0.045196,0.064324,0.102270", \ "0.026950,0.028274,0.030709,0.035562,0.045200,0.064322,0.102272", \ "0.027066,0.028379,0.030792,0.035611,0.045231,0.064331,0.102272", \ "0.029634,0.030830,0.033018,0.037397,0.046287,0.064592,0.102261", \ "0.034827,0.036109,0.038434,0.043004,0.051861,0.068789,0.103570", \ "0.041446,0.042778,0.045196,0.049958,0.059204,0.076802,0.110214"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013181,0.013799,0.014918,0.017090,0.021249,0.029101,0.043876", \ "0.014562,0.015174,0.016283,0.018440,0.022579,0.030411,0.045172", \ "0.018896,0.019496,0.020575,0.022655,0.026666,0.034410,0.049139", \ "0.024870,0.025582,0.026853,0.029273,0.033765,0.041955,0.056778", \ "0.029698,0.030615,0.032253,0.035326,0.040906,0.050658,0.067423", \ "0.032067,0.033246,0.035324,0.039178,0.046147,0.058205,0.078195", \ "0.031652,0.033069,0.035578,0.040260,0.048704,0.063325,0.087364"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.069352,0.071115,0.074351,0.080782,0.093567,0.118958,0.169498", \ "0.070758,0.072533,0.075788,0.082250,0.095077,0.120526,0.171141", \ "0.076436,0.078214,0.081470,0.087946,0.100810,0.126330,0.177037", \ "0.085514,0.087287,0.090539,0.096991,0.109822,0.135315,0.186016", \ "0.096966,0.098815,0.102140,0.108640,0.121476,0.146908,0.197509", \ "0.108287,0.110315,0.113959,0.121122,0.135016,0.161672,0.212297", \ "0.120759,0.122959,0.126949,0.134666,0.149519,0.178085,0.231570"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013016,0.013461,0.014275,0.015873,0.018977,0.024967,0.036601", \ "0.012854,0.013308,0.014132,0.015749,0.018879,0.024900,0.036566", \ "0.012871,0.013278,0.014034,0.015562,0.018621,0.024696,0.036493", \ "0.015910,0.016238,0.016840,0.018077,0.020609,0.025745,0.036655", \ "0.021407,0.021711,0.022261,0.023394,0.025699,0.030406,0.040128", \ "0.028613,0.028937,0.029531,0.030739,0.033146,0.037814,0.047119", \ "0.037051,0.037450,0.038138,0.039519,0.042234,0.047342,0.056908"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.032676,0.034280,0.037223,0.043081,0.054719,0.077763,0.123528", \ "0.032680,0.034283,0.037224,0.043083,0.054716,0.077758,0.123555", \ "0.032688,0.034286,0.037225,0.043085,0.054720,0.077786,0.123532", \ "0.032730,0.034327,0.037257,0.043097,0.054722,0.077760,0.123521", \ "0.034540,0.036010,0.038726,0.044184,0.055230,0.077834,0.123487", \ "0.039226,0.040762,0.043562,0.049077,0.059802,0.080650,0.123979", \ "0.045163,0.046728,0.049602,0.055281,0.066357,0.087677,0.128755"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.013466,0.014082,0.015197,0.017364,0.021518,0.029370,0.044158", \ "0.014845,0.015455,0.016560,0.018713,0.022847,0.030680,0.045454", \ "0.019178,0.019772,0.020845,0.022914,0.026927,0.034677,0.049421", \ "0.025218,0.025924,0.027181,0.029582,0.034056,0.042231,0.057057", \ "0.030189,0.031096,0.032709,0.035751,0.041285,0.050995,0.067737", \ "0.032766,0.033916,0.035958,0.039761,0.046670,0.058654,0.078581", \ "0.032604,0.033995,0.036452,0.041051,0.049410,0.063929,0.087873"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.077841,0.079600,0.082833,0.089271,0.102055,0.127460,0.178001", \ "0.079294,0.081068,0.084318,0.090766,0.103590,0.129043,0.179619", \ "0.085002,0.086778,0.090027,0.096497,0.109352,0.134867,0.185534", \ "0.094056,0.095828,0.099074,0.105529,0.118370,0.143862,0.194535", \ "0.105737,0.107515,0.110764,0.117210,0.130008,0.155445,0.206055", \ "0.118049,0.120014,0.123547,0.130514,0.144123,0.170355,0.220847", \ "0.131355,0.133482,0.137341,0.144837,0.159347,0.187421,0.240360"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.015597,0.016011,0.016769,0.018273,0.021243,0.027083,0.038597", \ "0.015434,0.015856,0.016625,0.018148,0.021144,0.027015,0.038562", \ "0.015412,0.015792,0.016500,0.017942,0.020876,0.026811,0.038489", \ "0.018490,0.018782,0.019327,0.020459,0.022841,0.027820,0.038643", \ "0.024341,0.024586,0.025057,0.026045,0.028148,0.032611,0.042127", \ "0.032185,0.032437,0.032913,0.033916,0.036017,0.040319,0.049281", \ "0.041422,0.041725,0.042271,0.043392,0.045699,0.050295,0.059358"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.037127,0.038745,0.041703,0.047601,0.059309,0.082456,0.128314", \ "0.037129,0.038745,0.041709,0.047600,0.059298,0.082457,0.128296", \ "0.037137,0.038749,0.041703,0.047599,0.059304,0.082446,0.128277", \ "0.037150,0.038761,0.041717,0.047609,0.059313,0.082458,0.128286", \ "0.038332,0.039842,0.042637,0.048245,0.059543,0.082486,0.128278", \ "0.043025,0.044546,0.047333,0.052836,0.063532,0.084733,0.128578", \ "0.048826,0.050407,0.053298,0.058993,0.070118,0.091487,0.132860"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.579094,0.581255,0.571795,0.564368,0.560094,0.563062,0.573918", \ "0.521394,0.523836,0.524213,0.531448,0.546519,0.574543,0.609203", \ "0.547863,0.543481,0.533910,0.534113,0.551054,0.588785,0.628567", \ "1.064126,1.029729,1.001419,0.929552,0.826835,0.733611,0.726661", \ "1.969075,1.941175,1.882873,1.770206,1.597214,1.346387,1.071803", \ "3.286184,3.250417,3.206091,3.096403,2.868322,2.477830,1.954706", \ "4.989804,4.991907,4.946972,4.825687,4.628628,4.156365,3.403344"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.913173,5.881352,5.921112,5.942474,6.021706,6.118852,6.098292", \ "5.700470,5.744011,5.789273,5.828594,5.907225,5.982547,6.057427", \ "5.748670,5.755144,5.739529,5.772764,5.841473,5.835781,5.836336", \ "6.287595,6.250264,6.241459,6.195727,6.195472,6.070745,6.139528", \ "7.137145,7.130390,7.156952,7.177057,7.100124,6.859831,6.669917", \ "8.593266,8.544529,8.481717,8.438604,8.317059,8.203492,7.748747", \ "10.766650,10.737660,10.622360,10.482420,10.205630,9.961515,9.410635"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.677328,0.674056,0.661901,0.636931,0.602748,0.576273,0.574275", \ "0.619493,0.616570,0.610387,0.602999,0.593289,0.597711,0.607124", \ "0.630293,0.625616,0.613973,0.616400,0.611877,0.630198,0.662648", \ "1.095490,1.064108,1.040090,0.983888,0.885394,0.799901,0.772595", \ "1.884645,1.839732,1.822754,1.724040,1.583271,1.369631,1.112909", \ "3.021447,3.009130,2.964985,2.888885,2.709252,2.378947,1.924191", \ "4.513208,4.520494,4.493792,4.436852,4.261597,3.890229,3.235356"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.749949,5.790345,5.823182,5.861931,5.913530,5.947478,6.010654", \ "5.564761,5.570020,5.645662,5.689538,5.800846,5.855757,5.928596", \ "5.594280,5.587487,5.597562,5.641243,5.693898,5.783723,5.811440", \ "6.094952,6.117912,6.099391,6.056441,6.042560,5.959288,5.938482", \ "7.019739,7.043184,7.044266,6.965578,6.846146,6.548529,6.293451", \ "8.256578,8.233487,8.178522,8.163039,8.164469,7.831105,7.545888", \ "10.209520,10.154310,10.084320,9.967885,9.766743,9.621360,9.050330"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.635064,0.632374,0.625425,0.609503,0.584432,0.570315,0.571896", \ "0.579683,0.580460,0.578583,0.579439,0.578427,0.589807,0.607909", \ "0.602620,0.587470,0.590396,0.599412,0.603151,0.624024,0.660718", \ "1.049101,1.034372,1.001228,0.944375,0.853943,0.791395,0.776453", \ "1.892074,1.850700,1.805691,1.711435,1.569330,1.344840,1.107957", \ "3.107318,3.082011,3.020972,2.919824,2.710323,2.367931,1.907110", \ "4.701425,4.652877,4.604676,4.521991,4.300015,3.895700,3.219134"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.400995,7.403658,7.427322,7.481267,7.555734,7.429049,7.702873", \ "7.242400,7.247130,7.275047,7.341572,7.457556,7.336639,7.624815", \ "7.180053,7.223793,7.241196,7.287148,7.343354,7.321650,7.510701", \ "7.651319,7.636960,7.635034,7.614707,7.602154,7.645062,7.627794", \ "8.570868,8.570034,8.540867,8.473061,8.385492,8.256803,7.948258", \ "9.685653,9.679355,9.702094,9.711609,9.664189,9.440331,8.902049", \ "11.529890,11.529920,11.491410,11.375610,11.234740,11.111130,10.675270"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.622872,0.607986,0.601697,0.581829,0.568484,0.568320,0.578166", \ "0.573298,0.573287,0.576319,0.569488,0.580806,0.606163,0.633796", \ "0.614753,0.612692,0.595863,0.599061,0.611554,0.640930,0.678648", \ "1.085893,1.069149,1.031767,0.966022,0.873792,0.789385,0.778291", \ "1.893291,1.868683,1.822768,1.730707,1.570295,1.351808,1.102095", \ "3.048287,3.037715,2.986070,2.892884,2.715782,2.358251,1.908332", \ "4.585608,4.563870,4.511933,4.455467,4.282666,3.896286,3.225512"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.977012,5.994970,6.001391,6.055472,6.058389,6.154869,6.001884", \ "5.745434,5.795164,5.822996,5.897602,5.972218,5.947993,6.135442", \ "5.767859,5.782897,5.772248,5.834503,5.766772,5.821217,6.022270", \ "6.287486,6.267590,6.252654,6.238659,6.113086,6.141129,5.932246", \ "7.138076,7.143183,7.185698,7.090387,6.951227,6.727855,6.478493", \ "8.323326,8.345259,8.296033,8.277543,8.184076,7.976461,7.437128", \ "10.295890,10.258380,10.176940,10.047280,9.864354,9.685915,9.185076"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.693788,0.692524,0.674699,0.651472,0.619223,0.588243,0.579415", \ "0.651719,0.649276,0.646844,0.630638,0.623983,0.621506,0.636651", \ "0.680538,0.677996,0.674023,0.660113,0.666546,0.676700,0.705547", \ "1.117196,1.094228,1.065453,1.012600,0.926233,0.839725,0.817642", \ "1.806785,1.792610,1.754328,1.699510,1.574425,1.370471,1.134105", \ "2.844656,2.849375,2.818830,2.740963,2.594276,2.301541,1.888076", \ "4.222764,4.209865,4.182416,4.120294,3.986197,3.675053,3.084897"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("5.873964,5.890354,5.902887,5.952654,5.972492,5.999218,6.043024", \ "5.694393,5.713626,5.732722,5.789708,5.810665,5.890846,5.972235", \ "5.680104,5.687318,5.688370,5.695807,5.686212,5.751054,5.894474", \ "6.141599,6.135193,6.147714,6.094879,6.018046,5.949532,6.030139", \ "7.063871,7.040982,6.993367,6.937628,6.798614,6.624395,6.348673", \ "8.137143,8.142166,8.134305,8.102380,7.967948,7.774593,7.405690", \ "9.886498,9.851001,9.788471,9.681691,9.555359,9.380665,8.698612"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.650817,0.646023,0.639917,0.617987,0.603349,0.579246,0.575598", \ "0.611941,0.612825,0.610680,0.611288,0.606407,0.614172,0.631186", \ "0.633370,0.650587,0.648583,0.647046,0.653258,0.674228,0.703548", \ "1.070245,1.061110,1.029380,0.973629,0.890300,0.833708,0.821486", \ "1.840379,1.805147,1.765869,1.689070,1.550696,1.350722,1.131327", \ "2.932822,2.914115,2.871178,2.766444,2.583660,2.292481,1.870252", \ "4.378935,4.346474,4.302001,4.228264,4.038515,3.681358,3.078916"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.527717,7.543023,7.554795,7.578970,7.664839,7.612621,7.647756", \ "7.340908,7.394601,7.411227,7.465427,7.547509,7.528273,7.580378", \ "7.318820,7.329863,7.336120,7.354241,7.342211,7.396289,7.480516", \ "7.712615,7.714703,7.704962,7.701946,7.691759,7.576989,7.660110", \ "8.536063,8.526374,8.491784,8.439961,8.372747,8.229553,8.151945", \ "9.615661,9.632418,9.663057,9.710469,9.558627,9.255024,9.056673", \ "11.248160,11.228000,11.197200,11.146240,11.058570,10.836430,10.460830"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.579048,0.578555,0.576573,0.570161,0.566614,0.563152,0.572996", \ "0.542796,0.545561,0.543830,0.559088,0.571671,0.600749,0.627471", \ "0.572900,0.571235,0.578347,0.585320,0.607183,0.638197,0.683283", \ "1.038997,1.020463,0.992458,0.934529,0.840955,0.783361,0.782824", \ "1.894620,1.851560,1.807789,1.706586,1.552174,1.327743,1.096291", \ "3.135526,3.101542,3.037611,2.930031,2.702160,2.357811,1.894793", \ "4.748992,4.716938,4.639704,4.558039,4.316254,3.884731,3.208742"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.581644,7.604512,7.649420,7.640185,7.737156,7.638407,7.824178", \ "7.422835,7.471431,7.497669,7.497897,7.612029,7.678696,7.759652", \ "7.357757,7.400160,7.429807,7.460604,7.499369,7.462472,7.460486", \ "7.809255,7.796681,7.795953,7.765610,7.754387,7.728531,7.752859", \ "8.716744,8.690576,8.666284,8.600744,8.522334,8.280325,8.244864", \ "9.807059,9.803374,9.785094,9.822733,9.741950,9.373287,9.091628", \ "11.625610,11.586620,11.557540,11.500120,11.355250,11.248430,10.745690"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.650817,0.646023,0.639917,0.617987,0.603349,0.579246,0.575598", \ "0.611941,0.612825,0.610680,0.611288,0.606407,0.614172,0.631186", \ "0.633370,0.650587,0.648583,0.647046,0.653258,0.674228,0.703548", \ "1.070245,1.061110,1.029380,0.973629,0.890300,0.833708,0.821486", \ "1.840379,1.805147,1.765869,1.689070,1.550696,1.350722,1.131327", \ "2.932822,2.914115,2.871178,2.766444,2.583660,2.292481,1.870252", \ "4.378935,4.346474,4.302001,4.228264,4.038515,3.681358,3.078916"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.527717,7.543023,7.554795,7.578970,7.664839,7.612621,7.647756", \ "7.340908,7.394601,7.411227,7.465427,7.547509,7.528273,7.580378", \ "7.318820,7.329863,7.336120,7.354241,7.342211,7.396289,7.480516", \ "7.712615,7.714703,7.704962,7.701946,7.691759,7.576989,7.660110", \ "8.536063,8.526374,8.491784,8.439961,8.372747,8.229553,8.151945", \ "9.615661,9.632418,9.663057,9.710469,9.558627,9.255024,9.056673", \ "11.248160,11.228000,11.197200,11.146240,11.058570,10.836430,10.460830"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.620677,0.618099,0.604926,0.602709,0.590294,0.575524,0.577012", \ "0.570848,0.579850,0.589793,0.593099,0.596153,0.615932,0.634763", \ "0.629722,0.618321,0.623420,0.634615,0.640046,0.671064,0.705702", \ "1.036339,1.016606,0.993683,0.937340,0.869042,0.834252,0.822220", \ "1.826306,1.792651,1.751717,1.666733,1.531361,1.332569,1.126622", \ "2.968556,2.945400,2.888739,2.770506,2.586627,2.274525,1.856621", \ "4.485885,4.428898,4.381774,4.273482,4.071612,3.680913,3.063602"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.147165,9.174444,9.209917,9.228983,9.278409,9.220693,9.472404", \ "9.038684,9.033804,9.085242,9.117130,9.184566,9.132411,9.410472", \ "8.935785,8.948412,8.956992,8.991875,9.072078,9.023536,9.310215", \ "9.278392,9.283472,9.282827,9.301497,9.241191,9.283512,9.205746", \ "10.045560,10.043090,10.056540,10.022260,9.916790,9.823715,9.738577", \ "11.195350,11.226620,11.245680,11.199450,11.074540,10.935860,10.631340", \ "12.708350,12.702160,12.692410,12.666930,12.628240,12.384460,12.020320"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.587903,0.582373,0.568461,0.567581,0.558166,0.565308,0.575629", \ "0.500537,0.508808,0.508705,0.530219,0.553061,0.576453,0.606340", \ "0.390921,0.398731,0.409415,0.431262,0.471688,0.530566,0.597149", \ "0.657059,0.656741,0.634120,0.615630,0.567869,0.536587,0.582584", \ "1.231074,1.232667,1.185534,1.143755,1.051540,0.916334,0.750987", \ "2.173930,2.165410,2.126162,2.064066,1.919769,1.686286,1.362467", \ "3.447059,3.427127,3.392990,3.316602,3.179913,2.904703,2.407169"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.472978,7.509675,7.542449,7.549855,7.588725,7.530425,7.670774", \ "7.361014,7.400361,7.377643,7.455581,7.508466,7.571013,7.557207", \ "7.390706,7.423886,7.392310,7.456658,7.442584,7.482172,7.537173", \ "7.934821,7.908523,7.897162,7.871418,7.883069,7.712956,7.621753", \ "8.797113,8.870115,8.868873,8.872608,8.783773,8.620017,8.354016", \ "10.185460,10.207610,10.191590,10.175460,10.136630,9.837161,9.580577", \ "12.272770,12.263550,12.234830,12.157850,11.983070,11.806000,11.326810"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.661549,0.669958,0.649088,0.633856,0.601399,0.579193,0.575872", \ "0.594594,0.597500,0.599217,0.594483,0.594475,0.593457,0.614546", \ "0.482952,0.486590,0.496329,0.511118,0.533740,0.571109,0.624364", \ "0.713219,0.715863,0.695413,0.680850,0.639413,0.601480,0.643542", \ "1.229217,1.215838,1.175749,1.148637,1.074679,0.964591,0.813285", \ "2.014621,2.010532,1.972147,1.950769,1.850089,1.660876,1.380379", \ "3.088900,3.116223,3.083245,3.051407,2.939813,2.735634,2.327951"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.391916,7.390088,7.453163,7.481250,7.502485,7.572852,7.622040", \ "7.244895,7.281384,7.303505,7.340246,7.400033,7.457386,7.523971", \ "7.269059,7.303907,7.284233,7.345916,7.339164,7.385021,7.453607", \ "7.785436,7.770123,7.764398,7.754948,7.741532,7.623238,7.626515", \ "8.761164,8.733963,8.704587,8.651978,8.554444,8.433550,8.258849", \ "9.923444,9.920504,9.899149,9.939104,9.890097,9.566785,9.265604", \ "11.770190,11.736740,11.706970,11.670160,11.524810,11.369840,10.846970"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.629223,0.626226,0.622062,0.610964,0.591315,0.574438,0.573808", \ "0.557084,0.554976,0.562765,0.575652,0.583448,0.594525,0.612437", \ "0.454901,0.460474,0.473212,0.484900,0.518090,0.567312,0.626511", \ "0.676918,0.682126,0.668178,0.647015,0.608149,0.599404,0.638645", \ "1.235507,1.211495,1.175491,1.146617,1.067496,0.949028,0.809452", \ "2.077062,2.078625,2.038747,1.981554,1.860884,1.647827,1.370764", \ "3.254660,3.237223,3.186712,3.122306,2.981826,2.756680,2.316987"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.034101,9.033290,9.051589,9.095499,9.079026,9.110538,9.312251", \ "8.892072,8.921374,8.920579,8.972427,9.016282,8.954941,9.216359", \ "8.905086,8.902965,8.919068,8.953997,8.985241,9.028343,9.175556", \ "9.323489,9.313082,9.317713,9.337422,9.330888,9.210797,9.311491", \ "10.242830,10.221450,10.202540,10.183040,10.071090,10.018860,9.864767", \ "11.420030,11.428700,11.433170,11.468220,11.375960,11.134980,10.919250", \ "13.210110,13.194230,13.177600,13.159580,13.102640,12.870510,12.461430"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.618505,0.611301,0.595965,0.590347,0.572051,0.571867,0.580550", \ "0.548075,0.555537,0.552207,0.568690,0.584048,0.600383,0.631931", \ "0.454700,0.459793,0.473289,0.485366,0.528026,0.587069,0.647179", \ "0.701188,0.698892,0.684804,0.671541,0.629346,0.598419,0.652948", \ "1.227656,1.218987,1.177864,1.149435,1.075779,0.958495,0.806193", \ "2.019775,2.027261,1.990045,1.964522,1.840566,1.657668,1.370723", \ "3.159252,3.144147,3.113780,3.080865,2.979337,2.742021,2.322105"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.571518,7.613540,7.628102,7.657223,7.618984,7.596164,7.566514", \ "7.459605,7.460134,7.479992,7.512400,7.541140,7.592853,7.730921", \ "7.446997,7.481764,7.452166,7.470908,7.482197,7.524186,7.666660", \ "7.944509,7.953451,7.925564,7.916837,7.879607,7.810665,7.837050", \ "8.883703,8.853717,8.829791,8.783785,8.705728,8.565097,8.198928", \ "10.000600,9.999330,10.027440,10.028040,10.004560,9.647435,9.420909", \ "11.826040,11.834140,11.807870,11.750440,11.679380,11.525520,11.004830"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.693340,0.684032,0.665163,0.648841,0.612960,0.587910,0.581243", \ "0.623993,0.627418,0.622162,0.624802,0.621800,0.616937,0.633910", \ "0.529123,0.536436,0.544114,0.559815,0.582798,0.616635,0.671091", \ "0.760796,0.740720,0.737586,0.726639,0.686352,0.654033,0.694995", \ "1.206058,1.213813,1.177530,1.153080,1.102922,1.003146,0.857443", \ "1.923167,1.920846,1.896300,1.864527,1.795123,1.635273,1.392153", \ "2.883474,2.910681,2.871075,2.865320,2.769190,2.617648,2.260503"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("7.522440,7.533178,7.537775,7.579603,7.583687,7.529496,7.574346", \ "7.373872,7.387781,7.397876,7.448740,7.504233,7.550736,7.487759", \ "7.368116,7.376525,7.414604,7.415175,7.451762,7.488971,7.546724", \ "7.844430,7.843756,7.830115,7.809015,7.768493,7.720756,7.605773", \ "8.739332,8.721446,8.687350,8.625237,8.545184,8.364708,8.203500", \ "9.840444,9.860050,9.889887,9.920081,9.778369,9.497824,9.158142", \ "11.475700,11.461570,11.454680,11.411180,11.317760,11.097380,10.628170"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.645130,0.641203,0.636544,0.622410,0.604249,0.578513,0.578947", \ "0.585567,0.587348,0.601786,0.605345,0.610867,0.617844,0.634847", \ "0.502598,0.508513,0.521595,0.542162,0.570774,0.612078,0.669322", \ "0.732193,0.723306,0.711330,0.694642,0.659157,0.646318,0.688906", \ "1.230133,1.208850,1.177282,1.152356,1.088718,0.986083,0.850128", \ "1.993127,1.988661,1.961730,1.903466,1.808533,1.629278,1.380983", \ "3.035398,3.021405,2.982400,2.933518,2.819274,2.624811,2.250106"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.128419,9.139753,9.182606,9.213955,9.247902,9.201636,9.397187", \ "9.032515,9.046662,9.056077,9.099550,9.151917,9.238046,9.308751", \ "9.006796,9.017252,9.021543,9.040258,9.109686,9.034433,9.254112", \ "9.403208,9.404733,9.397275,9.400689,9.398838,9.372293,9.418005", \ "10.239010,10.234230,10.228070,10.178810,10.104430,10.022360,9.868756", \ "11.424490,11.455810,11.471910,11.406540,11.250090,11.114400,10.725590", \ "12.951610,12.949360,12.944720,12.929590,12.916850,12.656210,12.165620"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.577414,0.575989,0.573541,0.567239,0.571255,0.568208,0.578112", \ "0.518978,0.520898,0.544839,0.550053,0.571588,0.601835,0.631035", \ "0.431413,0.439080,0.455718,0.474325,0.523000,0.582107,0.648371", \ "0.662868,0.671385,0.657367,0.639479,0.600688,0.591902,0.650874", \ "1.230621,1.211773,1.174932,1.134330,1.052249,0.938749,0.797641", \ "2.102720,2.086968,2.054231,1.982924,1.860523,1.649793,1.358853", \ "3.288917,3.269879,3.217096,3.163935,3.002125,2.747675,2.306673"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.209463,9.207413,9.223260,9.277735,9.307151,9.230803,9.257787", \ "9.069266,9.069265,9.088654,9.157117,9.145858,9.289994,9.163763", \ "9.035641,9.078106,9.092436,9.088539,9.117864,9.241789,9.132587", \ "9.486985,9.476895,9.480261,9.467318,9.459128,9.336685,9.492503", \ "10.372300,10.354550,10.336660,10.291640,10.213650,10.114890,9.879099", \ "11.551750,11.562380,11.571480,11.589360,11.536280,11.236600,11.028280", \ "13.272090,13.291770,13.242170,13.208520,13.192230,12.949380,12.370800"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.645130,0.641203,0.636544,0.622410,0.604249,0.578513,0.578947", \ "0.585567,0.587348,0.601786,0.605345,0.610867,0.617844,0.634847", \ "0.502598,0.508513,0.521595,0.542162,0.570774,0.612078,0.669322", \ "0.732193,0.723306,0.711330,0.694642,0.659157,0.646318,0.688906", \ "1.230133,1.208850,1.177282,1.152356,1.088718,0.986083,0.850128", \ "1.993127,1.988661,1.961730,1.903466,1.808533,1.629278,1.380983", \ "3.035398,3.021405,2.982400,2.933518,2.819274,2.624811,2.250106"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.128419,9.139753,9.182606,9.213955,9.247902,9.201636,9.397187", \ "9.032515,9.046662,9.056077,9.099550,9.151917,9.238046,9.308751", \ "9.006796,9.017252,9.021543,9.040258,9.109686,9.034433,9.254112", \ "9.403208,9.404733,9.397275,9.400689,9.398838,9.372293,9.418005", \ "10.239010,10.234230,10.228070,10.178810,10.104430,10.022360,9.868756", \ "11.424490,11.455810,11.471910,11.406540,11.250090,11.114400,10.725590", \ "12.951610,12.949360,12.944720,12.929590,12.916850,12.656210,12.165620"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("0.614186,0.613847,0.615932,0.604456,0.585458,0.578953,0.579991", \ "0.561901,0.566626,0.576161,0.591167,0.595464,0.611490,0.636787", \ "0.483527,0.491003,0.495290,0.525195,0.556019,0.608476,0.671548", \ "0.695713,0.689092,0.677595,0.663185,0.630595,0.643853,0.693952", \ "1.222642,1.209471,1.178532,1.137801,1.073613,0.968417,0.850878", \ "2.037871,2.017843,1.965789,1.917321,1.797791,1.622949,1.367925", \ "3.107900,3.112378,3.060848,2.983108,2.878651,2.640019,2.238822"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.775480,10.787600,10.794240,10.817680,10.853280,10.937280,10.997600", \ "10.654900,10.668310,10.703560,10.718080,10.803670,10.846810,10.916320", \ "10.617500,10.629100,10.672990,10.679190,10.717090,10.843170,10.860420", \ "10.972030,10.979090,10.978110,10.995800,11.032260,11.042610,11.019280", \ "11.771060,11.770110,11.750430,11.730210,11.676020,11.513430,11.374800", \ "13.012640,13.005960,12.977760,12.939450,12.814250,12.686540,12.298470", \ "14.470030,14.476510,14.449710,14.486790,14.495960,14.204740,13.718490"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.401028,2.416646,2.429044,2.467421,2.514990,2.593854,2.665070", \ "2.370983,2.399287,2.412314,2.454055,2.495704,2.580739,2.661320", \ "2.301348,2.310082,2.343422,2.392898,2.462814,2.531443,2.631294", \ "2.600057,2.620237,2.601209,2.576293,2.560950,2.610824,2.687999", \ "3.385856,3.383242,3.348461,3.307846,3.210424,3.083727,2.973310", \ "4.609004,4.591383,4.544992,4.463996,4.333872,4.105870,3.755590", \ "6.276330,6.258284,6.217172,6.154538,5.988868,5.661679,5.109249"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.642112,9.618004,9.658384,9.659600,9.591413,9.578773,9.728394", \ "9.454899,9.487240,9.516967,9.526274,9.602858,9.487217,9.701193", \ "9.278227,9.300847,9.286968,9.341967,9.382558,9.472856,9.409665", \ "9.216423,9.242502,9.260434,9.279767,9.319738,9.354879,9.378726", \ "9.400685,9.423997,9.435008,9.433800,9.348612,9.293187,9.410414", \ "9.839210,9.836299,9.863401,9.849799,9.944764,9.800966,9.670969", \ "11.161900,11.119870,11.071940,10.985430,10.873080,10.786520,10.521770"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.396998,2.433114,2.435953,2.479278,2.537837,2.599082,2.672037", \ "2.408065,2.416894,2.447249,2.482185,2.529673,2.618027,2.694290", \ "2.409371,2.420873,2.436868,2.480090,2.524986,2.610968,2.704935", \ "2.694444,2.685562,2.674364,2.650240,2.653956,2.700955,2.760837", \ "3.376508,3.368741,3.334129,3.307568,3.228654,3.120533,3.022997", \ "4.454584,4.444553,4.416279,4.354327,4.232045,4.024721,3.733923", \ "5.924924,5.914043,5.890557,5.818644,5.709795,5.430160,4.950437"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("9.534965,9.571355,9.581262,9.615815,9.631213,9.481778,9.596651", \ "9.365281,9.406191,9.423556,9.475406,9.512059,9.383061,9.522874", \ "9.164618,9.201949,9.214380,9.276221,9.313379,9.424407,9.431582", \ "9.126466,9.119459,9.166173,9.139850,9.156661,9.090972,9.252883", \ "9.264681,9.291473,9.287064,9.258555,9.250763,9.277467,9.150460", \ "9.724947,9.746622,9.735075,9.821685,9.693222,9.629078,9.531655", \ "10.811480,10.792780,10.754080,10.683760,10.654030,10.550330,10.288440"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.478075,2.469593,2.490513,2.513881,2.563714,2.613200,2.685827", \ "2.470137,2.483381,2.498211,2.524088,2.572347,2.639100,2.706381", \ "2.465201,2.474433,2.493528,2.522064,2.558582,2.628766,2.718132", \ "2.693353,2.675441,2.682887,2.659972,2.670026,2.714388,2.772079", \ "3.395857,3.373445,3.358059,3.316116,3.231876,3.118921,3.036794", \ "4.496694,4.486107,4.447873,4.370126,4.242299,4.040850,3.732989", \ "6.023454,6.002806,5.949964,5.894524,5.728886,5.435795,4.964991"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("11.172360,11.167840,11.218760,11.228460,11.279680,11.296220,11.271240", \ "11.029490,11.069290,11.084270,11.123860,11.180390,11.240770,11.202740", \ "10.819460,10.857940,10.871560,10.885460,10.951750,11.096080,11.036140", \ "10.762860,10.787300,10.765560,10.783130,10.780700,10.953410,10.935420", \ "10.877160,10.868150,10.868620,10.909980,10.887200,10.931190,10.825930", \ "11.345340,11.336430,11.387860,11.367160,11.358180,11.143780,11.195160", \ "12.277490,12.271990,12.257200,12.242190,12.216050,12.150210,11.806720"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.063085,2.080887,2.135812,2.176426,2.267000,2.364172,2.466634", \ "2.032865,2.057134,2.091526,2.151060,2.214067,2.324411,2.432015", \ "1.948550,2.001909,2.003479,2.079635,2.159026,2.270631,2.369368", \ "2.340010,2.324104,2.310771,2.305228,2.283926,2.336627,2.411147", \ "3.108235,3.086261,3.064962,3.013545,2.944834,2.802323,2.688187", \ "4.306362,4.289721,4.238721,4.180780,4.043583,3.786077,3.460523", \ "5.915632,5.895051,5.868622,5.776802,5.628987,5.307911,4.759229"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.900028,8.899665,8.917387,8.929342,8.950016,8.983019,9.053386", \ "8.719136,8.722834,8.747990,8.778316,8.856014,8.958431,8.970955", \ "8.544453,8.544795,8.565073,8.621648,8.689911,8.736015,8.780108", \ "8.481408,8.476805,8.490308,8.501101,8.549969,8.441707,8.693434", \ "8.679721,8.665945,8.676638,8.643919,8.671913,8.681150,8.666332", \ "9.027948,9.035871,9.026498,9.048779,9.197128,9.048786,8.932207", \ "10.264890,10.224940,10.191170,10.125840,10.026630,10.005620,9.768157"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.083483,2.094247,2.143692,2.200459,2.274638,2.376074,2.467423", \ "2.086721,2.086098,2.125082,2.182061,2.266347,2.361959,2.462484", \ "2.062353,2.062918,2.095626,2.163428,2.225200,2.332492,2.445919", \ "2.403142,2.404357,2.398694,2.380106,2.357023,2.416220,2.495463", \ "3.096642,3.092568,3.064208,3.032425,2.960378,2.848160,2.748848", \ "4.149192,4.145879,4.120262,4.049772,3.950079,3.738702,3.451562", \ "5.556441,5.570524,5.539663,5.478251,5.369245,5.086904,4.629021"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("8.812132,8.825656,8.835069,8.849506,8.845587,8.834647,8.825865", \ "8.644906,8.662782,8.679996,8.711960,8.776571,8.887572,8.758022", \ "8.452455,8.466234,8.477975,8.517830,8.553218,8.548435,8.767224", \ "8.396794,8.408066,8.412776,8.410554,8.410114,8.477131,8.517875", \ "8.532352,8.538242,8.535575,8.524405,8.502932,8.490714,8.489774", \ "8.909128,8.915258,8.956926,9.004902,8.944039,8.803777,8.715308", \ "9.893702,9.895734,9.875829,9.806407,9.768436,9.684687,9.442268"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.192955,2.205345,2.232708,2.248144,2.310031,2.393169,2.487125", \ "2.186200,2.198837,2.196879,2.244524,2.304981,2.397465,2.483253", \ "2.138277,2.150000,2.177856,2.232277,2.277779,2.369135,2.464645", \ "2.425318,2.425090,2.408168,2.388706,2.390114,2.437235,2.511613", \ "3.133841,3.116218,3.098855,3.047447,2.968892,2.847236,2.763719", \ "4.214681,4.201323,4.160998,4.100371,3.948775,3.745614,3.443391", \ "5.698121,5.680985,5.648021,5.572098,5.406855,5.107478,4.618225"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.584930,10.598260,10.643830,10.652160,10.723070,10.744110,10.754260", \ "10.484190,10.500410,10.514830,10.542290,10.648030,10.659640,10.690150", \ "10.281720,10.296830,10.322030,10.326750,10.416830,10.474780,10.534680", \ "10.173640,10.184620,10.227110,10.253600,10.300170,10.398080,10.455140", \ "10.300100,10.298160,10.297770,10.330380,10.354480,10.399700,10.419900", \ "10.687740,10.705590,10.796090,10.738820,10.677350,10.693280,10.632060", \ "11.541730,11.523330,11.521400,11.561590,11.611450,11.529330,11.337600"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.186414,2.199382,2.227084,2.242323,2.307070,2.392574,2.480494", \ "2.108722,2.125151,2.158601,2.219298,2.268724,2.347922,2.448933", \ "2.065490,2.077789,2.118744,2.131569,2.203875,2.296311,2.390485", \ "2.360394,2.335305,2.339417,2.312679,2.318902,2.359299,2.431066", \ "3.149783,3.131449,3.097502,3.038670,2.946609,2.805804,2.708652", \ "4.344468,4.328176,4.292443,4.191746,4.031909,3.797412,3.449862", \ "6.048022,6.019596,5.949781,5.865337,5.654421,5.304261,4.765505"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.661890,10.661140,10.724670,10.746460,10.743650,10.845370,10.848920", \ "10.555620,10.558160,10.581610,10.646620,10.626550,10.745860,10.768590", \ "10.327170,10.373060,10.395430,10.403590,10.450920,10.606220,10.583560", \ "10.248170,10.290290,10.303780,10.345590,10.362260,10.449890,10.498450", \ "10.432150,10.423640,10.434750,10.430580,10.470990,10.459510,10.550210", \ "10.743950,10.783000,10.843300,10.911250,10.945820,10.832470,10.821410", \ "11.851410,11.810110,11.803870,11.769000,11.700060,11.750580,11.526440"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.192955,2.205345,2.232708,2.248144,2.310031,2.393169,2.487125", \ "2.186200,2.198837,2.196879,2.244524,2.304981,2.397465,2.483253", \ "2.138277,2.150000,2.177856,2.232277,2.277779,2.369135,2.464645", \ "2.425318,2.425090,2.408168,2.388706,2.390114,2.437235,2.511613", \ "3.133841,3.116218,3.098855,3.047447,2.968892,2.847236,2.763719", \ "4.214681,4.201323,4.160998,4.100371,3.948775,3.745614,3.443391", \ "5.698121,5.680985,5.648021,5.572098,5.406855,5.107478,4.618225"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.584930,10.598260,10.643830,10.652160,10.723070,10.744110,10.754260", \ "10.484190,10.500410,10.514830,10.542290,10.648030,10.659640,10.690150", \ "10.281720,10.296830,10.322030,10.326750,10.416830,10.474780,10.534680", \ "10.173640,10.184620,10.227110,10.253600,10.300170,10.398080,10.455140", \ "10.300100,10.298160,10.297770,10.330380,10.354480,10.399700,10.419900", \ "10.687740,10.705590,10.796090,10.738820,10.677350,10.693280,10.632060", \ "11.541730,11.523330,11.521400,11.561590,11.611450,11.529330,11.337600"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.256893,2.266590,2.288098,2.311987,2.343938,2.423479,2.502391", \ "2.235076,2.232611,2.263826,2.296647,2.335863,2.405976,2.498869", \ "2.200906,2.210452,2.232949,2.267551,2.312475,2.393124,2.481556", \ "2.426089,2.415655,2.412146,2.396192,2.421460,2.459983,2.527684", \ "3.136560,3.135370,3.096135,3.048637,2.963397,2.839409,2.773779", \ "4.255188,4.233825,4.190692,4.102185,3.970498,3.743070,3.433561", \ "5.778353,5.753279,5.693164,5.606563,5.425792,5.102291,4.627607"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.236570,12.249830,12.259400,12.315190,12.363720,12.332640,12.359630", \ "12.117930,12.142260,12.183560,12.224880,12.283850,12.252040,12.483240", \ "11.914900,11.930340,11.981260,11.984300,12.098230,12.101130,12.363340", \ "11.829610,11.841720,11.848900,11.891900,11.922970,11.995850,12.194580", \ "11.894540,11.920270,11.941460,11.917480,11.993320,11.991630,12.032530", \ "12.379590,12.360810,12.375870,12.345720,12.359710,12.268740,12.338570", \ "13.105930,13.097570,13.112190,13.150000,13.160840,13.078240,12.947380"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.375347,2.416845,2.434480,2.475605,2.520878,2.584443,2.669848", \ "2.388557,2.380789,2.411702,2.454812,2.498740,2.582544,2.658267", \ "2.278377,2.292218,2.323662,2.355691,2.428385,2.522844,2.619890", \ "2.394210,2.390683,2.390423,2.392247,2.406254,2.483506,2.587509", \ "2.863636,2.846884,2.859100,2.848940,2.810058,2.762946,2.704665", \ "3.695058,3.674170,3.666297,3.626319,3.562501,3.426377,3.251706", \ "4.857746,4.849619,4.854042,4.817716,4.698897,4.524881,4.191484"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("11.010230,11.035520,11.052910,11.068460,11.018950,11.047590,11.070010", \ "10.928490,10.955990,10.976920,10.958090,10.964010,11.075000,10.978960", \ "10.815040,10.842140,10.863230,10.852570,10.921370,10.982460,11.030880", \ "10.773450,10.821530,10.816410,10.808800,10.812680,10.856740,10.963970", \ "10.991150,10.962240,10.977300,10.982940,10.970210,10.927270,10.844520", \ "11.402270,11.413050,11.407950,11.432080,11.484860,11.378790,11.283070", \ "12.584710,12.561380,12.546200,12.496690,12.438680,12.463590,12.107320"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.408657,2.413987,2.430206,2.482780,2.525550,2.602169,2.675254", \ "2.405268,2.416500,2.448183,2.487732,2.532880,2.607804,2.692899", \ "2.349669,2.363549,2.393835,2.440937,2.493965,2.594973,2.690892", \ "2.474506,2.477355,2.470639,2.481667,2.487327,2.577815,2.673916", \ "2.893907,2.879338,2.895445,2.885629,2.869114,2.828156,2.790375", \ "3.600132,3.622036,3.600635,3.595577,3.534790,3.442161,3.273096", \ "4.661373,4.657439,4.638629,4.625795,4.540044,4.407852,4.137658"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.973730,10.966120,10.970110,10.998100,10.975910,11.071480,11.080580", \ "10.857240,10.892790,10.901070,10.903200,10.922040,10.984430,10.995650", \ "10.766790,10.769810,10.810710,10.817850,10.841990,10.762410,10.887160", \ "10.743270,10.736550,10.747190,10.788930,10.808980,10.827400,10.848170", \ "10.859290,10.848980,10.850650,10.850280,10.879740,10.736890,10.776190", \ "11.295490,11.323070,11.373390,11.342470,11.324340,11.131080,11.163990", \ "12.286040,12.242670,12.273210,12.239850,12.218860,12.073820,11.805110"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.480239,2.484777,2.507450,2.516316,2.564510,2.614979,2.687163", \ "2.482663,2.468977,2.513079,2.518318,2.575734,2.638653,2.707825", \ "2.415745,2.427383,2.451484,2.481984,2.534246,2.607879,2.703998", \ "2.490808,2.503171,2.500100,2.478555,2.510656,2.588450,2.690765", \ "2.925463,2.912127,2.922518,2.903624,2.875955,2.820797,2.796945", \ "3.653446,3.663232,3.649138,3.618877,3.550571,3.444261,3.279456", \ "4.747149,4.719041,4.718623,4.672483,4.605031,4.424568,4.133233"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.604220,12.597560,12.626490,12.621940,12.675010,12.664310,12.762090", \ "12.497390,12.533780,12.542880,12.562470,12.623010,12.543280,12.680030", \ "12.409260,12.404160,12.413570,12.451070,12.504460,12.510060,12.594260", \ "12.337460,12.372880,12.398070,12.417510,12.429290,12.429740,12.538030", \ "12.443090,12.475930,12.486710,12.506610,12.451630,12.426500,12.457060", \ "12.952240,12.973550,12.982790,12.954800,12.916270,12.754850,12.833770", \ "13.815260,13.821360,13.825210,13.803560,13.871290,13.616440,13.455260"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.050600,2.112355,2.115177,2.193590,2.268835,2.360999,2.460996", \ "2.054286,2.056161,2.100490,2.152471,2.216485,2.323382,2.439188", \ "1.941622,1.959993,1.978518,2.054988,2.136470,2.253988,2.365605", \ "2.096135,2.115523,2.114624,2.117734,2.117500,2.219147,2.329632", \ "2.592854,2.563565,2.577465,2.570549,2.541915,2.505296,2.444853", \ "3.390869,3.395389,3.384622,3.348436,3.286532,3.157225,2.974389", \ "4.546782,4.540004,4.506338,4.480947,4.405990,4.220751,3.894397"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.320070,10.294520,10.307780,10.343290,10.386690,10.347310,10.295640", \ "10.216530,10.214920,10.231810,10.242480,10.314620,10.322810,10.394680", \ "10.110310,10.115720,10.125140,10.137540,10.140970,10.161850,10.331260", \ "10.062850,10.058730,10.069770,10.126690,10.126370,10.204140,10.045800", \ "10.240150,10.237790,10.248860,10.212500,10.246120,10.245870,10.309410", \ "10.569840,10.606600,10.620840,10.668410,10.732660,10.678730,10.599630", \ "11.664520,11.667670,11.667580,11.630890,11.582310,11.660150,11.430690"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.100315,2.097265,2.143960,2.186432,2.276189,2.360531,2.470057", \ "2.089310,2.090425,2.134168,2.188092,2.267724,2.370279,2.463907", \ "2.013729,2.039400,2.069426,2.124005,2.209018,2.326906,2.436328", \ "2.189371,2.186587,2.188520,2.195749,2.211808,2.302277,2.420244", \ "2.608764,2.607512,2.611361,2.612412,2.599732,2.562156,2.523017", \ "3.333531,3.304380,3.330130,3.286979,3.261479,3.159861,3.016870", \ "4.331051,4.327201,4.317428,4.298728,4.230315,4.110586,3.843745"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("10.233030,10.242990,10.246710,10.264890,10.315560,10.303720,10.239020", \ "10.120390,10.132170,10.176340,10.172440,10.198300,10.184000,10.204880", \ "10.031450,10.043450,10.050790,10.086000,10.105460,10.142000,10.274030", \ "9.993154,10.002430,10.005340,10.032740,10.088250,10.034850,10.080570", \ "10.112170,10.111300,10.121870,10.099860,10.150150,10.159440,10.045880", \ "10.512710,10.529380,10.550840,10.578410,10.507960,10.434790,10.357310", \ "11.362900,11.382380,11.384910,11.409410,11.437160,11.305140,11.014780"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.183049,2.195900,2.223863,2.248895,2.312615,2.401362,2.487191", \ "2.177292,2.190452,2.218640,2.260946,2.319200,2.394831,2.483222", \ "2.093553,2.104943,2.130491,2.195315,2.263849,2.350955,2.454782", \ "2.225296,2.221109,2.220455,2.225796,2.248128,2.323517,2.434359", \ "2.662954,2.650522,2.659718,2.635607,2.612963,2.562864,2.537691", \ "3.403039,3.377397,3.367861,3.340748,3.271247,3.161043,3.004585", \ "4.431966,4.427319,4.399575,4.381089,4.303914,4.128160,3.845838"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.036750,12.045310,12.064150,12.072380,12.126670,12.123960,12.167610", \ "11.931790,11.948470,11.951910,11.993730,12.053290,12.012990,12.093860", \ "11.845600,11.865330,11.867470,11.899850,11.974010,11.977890,11.995560", \ "11.801020,11.811200,11.816900,11.855360,11.901450,11.928170,11.977460", \ "11.877180,11.886150,11.886770,11.911680,11.934640,11.993910,12.025340", \ "12.352850,12.346520,12.345990,12.327190,12.346020,12.234450,12.244270", \ "13.079930,13.110470,13.125910,13.142490,13.172210,13.144000,12.913060"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.176112,2.189132,2.217545,2.247127,2.312808,2.391360,2.480662", \ "2.144209,2.157455,2.185872,2.228806,2.271272,2.353375,2.448617", \ "2.011497,2.053390,2.058511,2.106281,2.178548,2.270884,2.380049", \ "2.150223,2.146802,2.144515,2.134050,2.157942,2.244436,2.349373", \ "2.636208,2.622349,2.596959,2.595609,2.560557,2.494718,2.469452", \ "3.464092,3.431712,3.431679,3.378876,3.286486,3.160903,2.977243", \ "4.649147,4.640163,4.604471,4.547015,4.421774,4.230320,3.898129"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.053430,12.096140,12.111400,12.121770,12.121040,12.164490,12.283000", \ "11.982110,12.001800,12.043990,12.033310,12.079980,12.188310,12.256920", \ "11.878300,11.922370,11.941190,11.972240,12.024170,12.049050,12.127890", \ "11.869480,11.867200,11.880960,11.923750,11.971850,12.026710,12.103890", \ "12.001210,11.998660,12.009190,12.002010,11.977610,12.090310,12.103170", \ "12.352540,12.400490,12.427630,12.533230,12.493220,12.486660,12.365010", \ "13.350510,13.319370,13.333350,13.379990,13.398020,13.381100,13.190980"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.183049,2.195900,2.223863,2.248895,2.312615,2.401362,2.487191", \ "2.177292,2.190452,2.218640,2.260946,2.319200,2.394831,2.483222", \ "2.093553,2.104943,2.130491,2.195315,2.263849,2.350955,2.454782", \ "2.225296,2.221109,2.220455,2.225796,2.248128,2.323517,2.434359", \ "2.662954,2.650522,2.659718,2.635607,2.612963,2.562864,2.537691", \ "3.403039,3.377397,3.367861,3.340748,3.271247,3.161043,3.004585", \ "4.431966,4.427319,4.399575,4.381089,4.303914,4.128160,3.845838"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.036750,12.045310,12.064150,12.072380,12.126670,12.123960,12.167610", \ "11.931790,11.948470,11.951910,11.993730,12.053290,12.012990,12.093860", \ "11.845600,11.865330,11.867470,11.899850,11.974010,11.977890,11.995560", \ "11.801020,11.811200,11.816900,11.855360,11.901450,11.928170,11.977460", \ "11.877180,11.886150,11.886770,11.911680,11.934640,11.993910,12.025340", \ "12.352850,12.346520,12.345990,12.327190,12.346020,12.234450,12.244270", \ "13.079930,13.110470,13.125910,13.142490,13.172210,13.144000,12.913060"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.249252,2.259027,2.280883,2.312475,2.360370,2.422130,2.503556", \ "2.248196,2.252435,2.274726,2.299600,2.353216,2.419001,2.495401", \ "2.148896,2.160808,2.205979,2.237109,2.281737,2.363892,2.466464", \ "2.232107,2.229203,2.227097,2.232139,2.277253,2.353290,2.450497", \ "2.689511,2.677446,2.660882,2.655495,2.618237,2.565076,2.543386", \ "3.422556,3.423924,3.411159,3.369528,3.285053,3.180545,3.010237", \ "4.510824,4.503163,4.474234,4.426383,4.332220,4.134652,3.844256"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.645590,13.657500,13.662770,13.718590,13.771600,13.794870,13.774490", \ "13.586290,13.599260,13.606490,13.650690,13.664490,13.750380,13.858990", \ "13.467270,13.480240,13.525440,13.551750,13.559560,13.664810,13.814600", \ "13.417640,13.429360,13.442890,13.498720,13.559810,13.561340,13.680120", \ "13.522460,13.532660,13.532840,13.531160,13.532690,13.618560,13.583170", \ "13.933150,13.940970,13.939500,13.947340,13.873390,13.928590,13.877110", \ "14.685870,14.684990,14.710380,14.737840,14.825910,14.695310,14.499120"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.713090,3.750433,3.793698,3.837470,3.991884,4.159758,4.368114", \ "3.644252,3.655906,3.705313,3.795694,3.900272,4.092315,4.298438", \ "3.559107,3.569135,3.607528,3.710449,3.839276,3.996929,4.219321", \ "3.776882,3.776497,3.793141,3.836844,3.910954,4.066637,4.241012", \ "4.520154,4.540601,4.516068,4.509352,4.505358,4.468259,4.505710", \ "5.729290,5.716061,5.690763,5.662572,5.579858,5.461898,5.241487", \ "7.469273,7.453492,7.410325,7.347954,7.248234,6.987189,6.573143"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.794790,12.824350,12.848530,12.877910,12.794250,12.843400,13.001010", \ "12.648190,12.681840,12.714140,12.745070,12.807030,12.903820,12.779180", \ "12.445200,12.475380,12.502790,12.524100,12.609980,12.657190,12.812020", \ "12.368220,12.395990,12.418570,12.454790,12.504760,12.533000,12.655450", \ "12.401770,12.378420,12.400480,12.363970,12.355130,12.441380,12.563720", \ "12.373540,12.404560,12.435670,12.526910,12.511150,12.609120,12.632830", \ "13.044600,13.046110,13.015730,12.965680,12.967890,13.029420,13.000190"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.433209,3.509219,3.533478,3.656143,3.787106,3.979962,4.226661", \ "3.367955,3.393022,3.449363,3.551935,3.713962,3.903744,4.136919", \ "3.274228,3.345977,3.354965,3.464933,3.589740,3.790473,4.030484", \ "3.558314,3.539597,3.582115,3.606545,3.701363,3.857262,4.036864", \ "4.299092,4.281793,4.290744,4.309665,4.275096,4.242780,4.302406", \ "5.466256,5.470138,5.438955,5.409693,5.332229,5.217136,5.000365", \ "7.118379,7.100850,7.091370,7.032662,6.928483,6.680875,6.289047"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.113950,12.109800,12.160410,12.157440,12.163400,12.153640,12.227720", \ "11.930120,11.970790,11.988110,12.012150,12.112600,12.080940,12.136200", \ "11.744360,11.781670,11.794340,11.827470,11.892320,11.764790,12.069960", \ "11.672260,11.706880,11.714940,11.724660,11.680960,11.694010,11.857730", \ "11.663380,11.696360,11.702250,11.693990,11.638720,11.711880,11.849400", \ "11.724970,11.730370,11.811330,11.896500,11.830880,11.726310,11.833900", \ "12.272650,12.284260,12.254960,12.209890,12.249530,12.347670,12.269380"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.630572,3.651692,3.696118,3.760332,3.885531,4.047045,4.256056", \ "3.523942,3.526586,3.603927,3.682673,3.775407,3.954948,4.159535", \ "3.449777,3.469459,3.517514,3.582227,3.687175,3.854697,4.067885", \ "3.636635,3.650157,3.659094,3.691729,3.789022,3.892676,4.073505", \ "4.386466,4.363766,4.375636,4.343057,4.325795,4.278965,4.320880", \ "5.563701,5.527547,5.503095,5.447992,5.390427,5.237861,5.015122", \ "7.239586,7.222536,7.170870,7.122560,6.980998,6.690295,6.299156"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.751110,13.746720,13.755880,13.770210,13.787470,13.890870,13.900750", \ "13.593490,13.633590,13.648130,13.709200,13.766890,13.663710,13.812190", \ "13.398940,13.437430,13.451090,13.492870,13.492730,13.660790,13.614850", \ "13.311080,13.306000,13.357310,13.365070,13.352140,13.513860,13.538710", \ "13.300650,13.295080,13.301570,13.341000,13.383240,13.363020,13.526350", \ "13.405710,13.417550,13.461120,13.484960,13.395590,13.511510,13.503670", \ "13.823660,13.847440,13.833800,13.870740,13.888700,13.919460,13.896030"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.978176,3.035541,3.101195,3.207299,3.430356,3.676640,3.987956", \ "2.931632,2.973384,3.062606,3.135835,3.346700,3.602179,3.912118", \ "2.861553,2.932367,2.950429,3.103361,3.251090,3.531203,3.819760", \ "3.183148,3.227856,3.247710,3.280513,3.383490,3.563786,3.817292", \ "4.005687,4.026660,3.990001,4.023793,4.019780,3.994694,4.068326", \ "5.220491,5.204194,5.215096,5.176866,5.106629,4.963254,4.793720", \ "6.928513,6.900383,6.878877,6.826608,6.710116,6.465811,6.065637"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.066510,12.068930,12.091520,12.152640,12.147780,12.232590,12.153240", \ "11.875530,11.927660,11.958170,12.003780,12.078040,11.992670,12.218170", \ "11.700300,11.729770,11.755570,11.752610,11.823770,11.955420,12.090450", \ "11.614280,11.644500,11.635330,11.678690,11.750070,11.839830,11.882080", \ "11.633460,11.636720,11.657560,11.667760,11.656650,11.632120,11.818900", \ "11.595940,11.606490,11.701200,11.792090,11.834220,11.765540,11.884510", \ "12.201820,12.177950,12.157110,12.181220,12.146400,12.372000,12.182210"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.837700,2.873144,2.945720,3.060262,3.286888,3.537715,3.859728", \ "2.743855,2.798301,2.864392,2.965244,3.174351,3.459511,3.778395", \ "2.710289,2.738230,2.799546,2.913097,3.088601,3.360171,3.667641", \ "3.057373,3.076672,3.079168,3.120803,3.223816,3.409287,3.661038", \ "3.839191,3.856597,3.827066,3.853844,3.838234,3.840854,3.901269", \ "5.019183,5.016331,4.996773,4.966752,4.895953,4.766592,4.601495", \ "6.606955,6.629599,6.606609,6.563814,6.451142,6.209081,5.827809"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("11.349980,11.350090,11.395270,11.418100,11.450080,11.444100,11.529200", \ "11.192960,11.210750,11.227840,11.258430,11.330600,11.383530,11.456480", \ "10.977260,10.991050,11.039080,11.085420,11.150800,11.110790,11.351190", \ "10.910560,10.922360,10.928600,10.984090,10.989780,11.037420,11.149510", \ "10.920580,10.931640,10.935950,10.927900,10.978010,10.982030,11.086560", \ "10.922440,10.953990,11.040960,11.099560,11.080200,11.011690,11.131210", \ "11.459570,11.455070,11.437050,11.455980,11.439540,11.534500,11.449400"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.074770,3.090047,3.153192,3.251423,3.413635,3.638814,3.898766", \ "2.993481,3.020293,3.034243,3.155787,3.300009,3.528714,3.804746", \ "2.915739,2.942813,2.988785,3.087262,3.198103,3.447299,3.713305", \ "3.184205,3.181216,3.201974,3.232961,3.328616,3.496720,3.714163", \ "3.951544,3.965839,3.956600,3.935836,3.897420,3.867629,3.939877", \ "5.125543,5.120023,5.084803,5.037950,4.954824,4.819341,4.611672", \ "6.785789,6.766613,6.711501,6.635804,6.510192,6.248459,5.851044"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.145030,13.158260,13.195950,13.228530,13.267680,13.137730,13.358750", \ "13.031560,13.047890,13.062010,13.114940,13.169300,13.247680,13.279920", \ "12.805860,12.838900,12.870570,12.910620,12.914860,13.036610,13.096440", \ "12.722430,12.735330,12.744030,12.798480,12.820480,12.878300,13.024210", \ "12.695840,12.707340,12.712860,12.754400,12.739000,12.770970,12.818670", \ "12.805680,12.843700,12.894010,12.906620,12.828580,12.867670,12.843380", \ "13.166290,13.173060,13.208890,13.228390,13.252160,13.363930,13.154910"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.242589,3.245348,3.285791,3.401015,3.552597,3.769994,4.038797", \ "3.146037,3.172438,3.228873,3.321659,3.481815,3.677270,3.952702", \ "3.068220,3.110648,3.177335,3.235873,3.394081,3.588584,3.850553", \ "3.320969,3.330146,3.364340,3.412559,3.499352,3.652455,3.874486", \ "4.119548,4.137057,4.106213,4.081528,4.078117,4.042267,4.116729", \ "5.344272,5.339911,5.313977,5.257481,5.171465,5.008803,4.801956", \ "7.059655,7.040968,7.015757,6.917201,6.784787,6.496071,6.072608"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.837350,13.884220,13.906370,13.902740,13.993760,14.030960,14.105960", \ "13.721670,13.725760,13.773490,13.782460,13.889160,14.003140,14.014860", \ "13.518160,13.521130,13.546860,13.629500,13.605960,13.752230,13.836460", \ "13.435450,13.436270,13.458220,13.472700,13.572020,13.633450,13.684930", \ "13.417870,13.427410,13.439120,13.486730,13.489600,13.585680,13.618390", \ "13.463570,13.480290,13.534890,13.624040,13.609360,13.592870,13.669500", \ "13.908820,13.911830,13.908270,13.919300,13.910480,14.159870,13.967430"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.074770,3.090047,3.153192,3.251423,3.413635,3.638814,3.898766", \ "2.993481,3.020293,3.034243,3.155787,3.300009,3.528714,3.804746", \ "2.915739,2.942813,2.988785,3.087262,3.198103,3.447299,3.713305", \ "3.184205,3.181216,3.201974,3.232961,3.328616,3.496720,3.714163", \ "3.951544,3.965839,3.956600,3.935836,3.897420,3.867629,3.939877", \ "5.125543,5.120023,5.084803,5.037950,4.954824,4.819341,4.611672", \ "6.785789,6.766613,6.711501,6.635804,6.510192,6.248459,5.851044"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.145030,13.158260,13.195950,13.228530,13.267680,13.137730,13.358750", \ "13.031560,13.047890,13.062010,13.114940,13.169300,13.247680,13.279920", \ "12.805860,12.838900,12.870570,12.910620,12.914860,13.036610,13.096440", \ "12.722430,12.735330,12.744030,12.798480,12.820480,12.878300,13.024210", \ "12.695840,12.707340,12.712860,12.754400,12.739000,12.770970,12.818670", \ "12.805680,12.843700,12.894010,12.906620,12.828580,12.867670,12.843380", \ "13.166290,13.173060,13.208890,13.228390,13.252160,13.363930,13.154910"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.202382,3.240672,3.284203,3.360442,3.487185,3.699836,3.935203", \ "3.133904,3.156613,3.205057,3.273164,3.395166,3.611010,3.844608", \ "3.045148,3.064666,3.108224,3.173639,3.323462,3.501245,3.739431", \ "3.265222,3.255097,3.298256,3.312157,3.418510,3.529203,3.733275", \ "4.027055,4.009437,4.015328,3.968066,3.946269,3.894518,3.977339", \ "5.207364,5.200764,5.159935,5.095782,5.002024,4.840512,4.628412", \ "6.884600,6.860143,6.803357,6.729228,6.565795,6.278247,5.861481"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("14.796250,14.809490,14.818860,14.835630,14.908380,14.933460,14.963100", \ "14.681820,14.684440,14.730460,14.740770,14.846990,14.840930,14.885730", \ "14.474700,14.490530,14.503460,14.562870,14.644070,14.634370,14.705230", \ "14.378550,14.392670,14.403300,14.426340,14.509550,14.555100,14.628940", \ "14.346570,14.358920,14.365770,14.404990,14.441220,14.362610,14.634480", \ "14.489870,14.505430,14.525930,14.509560,14.458090,14.430800,14.661050", \ "14.790610,14.803500,14.813270,14.888640,14.962600,15.007410,14.963840"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.679225,3.737274,3.785953,3.848351,3.986623,4.171821,4.372257", \ "3.617636,3.641252,3.691318,3.781489,3.900007,4.088975,4.297782", \ "3.553576,3.579003,3.623789,3.698546,3.824088,4.014041,4.211670", \ "3.591921,3.607607,3.629317,3.692620,3.803189,3.960686,4.174757", \ "4.074577,4.108765,4.107290,4.139178,4.143081,4.181248,4.282815", \ "4.897447,4.873525,4.884981,4.894579,4.882327,4.849560,4.770009", \ "6.111632,6.083932,6.074925,6.046655,6.015475,5.907238,5.694857"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("14.222780,14.249170,14.268050,14.293850,14.227590,14.240920,14.361940", \ "14.129340,14.157580,14.179790,14.186870,14.191960,14.299750,14.308590", \ "14.046930,14.022010,14.059860,14.060430,14.131920,14.134250,14.281780", \ "13.988480,13.962490,13.985600,14.000860,14.069800,13.974860,14.147050", \ "13.980090,13.955310,13.999940,14.007870,14.013260,14.068800,14.053210", \ "13.995390,14.034070,14.076720,14.141000,14.170820,14.224240,14.213840", \ "14.460810,14.465920,14.502240,14.516220,14.509770,14.642410,14.453650"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.485237,3.511384,3.565951,3.652660,3.802075,3.981730,4.226596", \ "3.375482,3.377395,3.466091,3.554875,3.699056,3.899282,4.138637", \ "3.283835,3.309110,3.363376,3.437451,3.588089,3.792878,4.034050", \ "3.398803,3.419035,3.435361,3.463440,3.591144,3.759334,3.998405", \ "3.854299,3.893560,3.897459,3.929111,3.942229,3.999871,4.089424", \ "4.669420,4.662226,4.674883,4.675673,4.662197,4.639323,4.566418", \ "5.801249,5.805322,5.789313,5.792202,5.768454,5.649658,5.443219"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.554330,13.547250,13.551310,13.573040,13.592110,13.653840,13.463550", \ "13.464300,13.459050,13.466800,13.474020,13.550220,13.597190,13.611290", \ "13.346820,13.341260,13.348510,13.407850,13.389920,13.512120,13.469090", \ "13.294610,13.286250,13.292880,13.340720,13.369080,13.441670,13.477380", \ "13.289050,13.283610,13.298880,13.324920,13.347960,13.364950,13.308160", \ "13.334550,13.393160,13.436820,13.457570,13.426400,13.443980,13.474060", \ "13.739700,13.766470,13.801120,13.805130,13.832400,13.858330,13.901970"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.628586,3.658811,3.686268,3.766156,3.875466,4.046376,4.247730", \ "3.546781,3.571000,3.611896,3.686234,3.774630,3.958178,4.151323", \ "3.417664,3.466119,3.498143,3.577809,3.678042,3.851487,4.050882", \ "3.481140,3.502391,3.512010,3.567218,3.663379,3.819471,4.020109", \ "3.946136,3.976283,3.971454,3.988193,3.987455,4.025159,4.122074", \ "4.742255,4.756764,4.746800,4.742032,4.692668,4.646767,4.573344", \ "5.927899,5.920831,5.903236,5.857727,5.806092,5.683686,5.472051"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("15.143720,15.178550,15.190590,15.221480,15.229770,15.116780,15.285680", \ "15.062950,15.099130,15.123500,15.136150,15.097060,15.204810,15.292450", \ "14.988270,14.983730,15.017030,15.052980,15.071510,14.986870,15.153220", \ "14.929930,14.924220,14.942700,14.983830,14.999750,15.007790,15.136090", \ "14.888030,14.924340,14.929770,14.944490,15.005500,15.009870,15.065600", \ "15.037480,15.096320,15.101050,15.087580,15.077600,15.138000,15.149810", \ "15.384460,15.373120,15.417880,15.466330,15.538140,15.426140,15.376340"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.034997,3.067224,3.134007,3.208936,3.435782,3.673686,3.982986", \ "2.950597,2.994032,3.050207,3.136201,3.352956,3.611605,3.902924", \ "2.875597,2.904931,2.966901,3.073087,3.262694,3.519474,3.818371", \ "3.020948,3.039042,3.063572,3.122682,3.255589,3.495332,3.770086", \ "3.525282,3.558327,3.590920,3.618543,3.654609,3.728292,3.861586", \ "4.362676,4.382855,4.390946,4.391055,4.391674,4.379277,4.338821", \ "5.571282,5.574033,5.553654,5.533876,5.508564,5.395847,5.234971"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("13.474440,13.472750,13.489370,13.518100,13.583420,13.574250,13.557200", \ "13.382600,13.382980,13.431040,13.469770,13.482990,13.456380,13.653360", \ "13.254940,13.301120,13.321860,13.351740,13.375290,13.474700,13.555140", \ "13.216330,13.250860,13.269780,13.304180,13.324910,13.329810,13.495780", \ "13.238800,13.240040,13.259500,13.249570,13.329330,13.347950,13.466810", \ "13.215260,13.283040,13.337630,13.445390,13.413850,13.412330,13.403770", \ "13.631620,13.672840,13.665810,13.713460,13.794760,13.980050,13.845580"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("2.824602,2.860538,2.953939,3.068471,3.286474,3.542658,3.863623", \ "2.761261,2.797592,2.867069,2.971300,3.171280,3.453130,3.771592", \ "2.664794,2.683311,2.770004,2.903244,3.060715,3.337695,3.657810", \ "2.852876,2.876236,2.918974,2.965148,3.077413,3.331881,3.610887", \ "3.399668,3.398690,3.432096,3.444386,3.509014,3.577711,3.715196", \ "4.191031,4.167384,4.174990,4.217345,4.199649,4.192910,4.180623", \ "5.336775,5.333943,5.331923,5.296189,5.296807,5.207304,5.031472"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("12.758380,12.768800,12.809300,12.832320,12.816950,12.760650,12.848620", \ "12.669560,12.681560,12.725550,12.736880,12.768810,12.803060,12.753220", \ "12.592250,12.604340,12.611780,12.626260,12.646320,12.749850,12.837500", \ "12.506930,12.518060,12.559970,12.563610,12.595810,12.601170,12.607340", \ "12.526160,12.535390,12.539980,12.566800,12.569650,12.656980,12.648860", \ "12.571090,12.629080,12.703200,12.697300,12.721340,12.745030,12.701450", \ "12.931560,12.941340,12.981420,13.025660,13.103220,13.183670,13.029100"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.082664,3.079499,3.138020,3.225016,3.389276,3.618836,3.892336", \ "2.996182,3.010300,3.067361,3.161299,3.326129,3.534836,3.814525", \ "2.879235,2.897488,2.947322,3.067139,3.196420,3.442259,3.710325", \ "3.008470,3.013523,3.029417,3.082569,3.212393,3.404519,3.665794", \ "3.525736,3.518896,3.517482,3.554893,3.579027,3.612626,3.753853", \ "4.320353,4.319226,4.313599,4.314301,4.262771,4.240354,4.204360", \ "5.476827,5.477024,5.456150,5.411880,5.355078,5.242153,5.050728"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("14.584850,14.570960,14.613450,14.621550,14.673630,14.620180,14.775290", \ "14.480790,14.492900,14.500760,14.539720,14.605800,14.501740,14.684210", \ "14.369130,14.381850,14.427680,14.460980,14.523510,14.487750,14.686560", \ "14.315920,14.327820,14.372830,14.402170,14.389360,14.519230,14.627460", \ "14.297320,14.308920,14.357490,14.349100,14.424440,14.361430,14.576790", \ "14.470480,14.482720,14.490780,14.505620,14.525380,14.543380,14.629450", \ "14.727070,14.741030,14.792730,14.850040,14.894580,14.935670,14.947450"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.244963,3.270610,3.324176,3.394003,3.559629,3.750962,4.021321", \ "3.168698,3.159434,3.247862,3.340552,3.485882,3.702171,3.953720", \ "3.079641,3.103200,3.153130,3.230338,3.376659,3.582197,3.856388", \ "3.140816,3.146529,3.178617,3.247772,3.373335,3.570079,3.804853", \ "3.650852,3.684281,3.679251,3.707465,3.730415,3.773063,3.896345", \ "4.494351,4.472935,4.500463,4.482448,4.463869,4.427813,4.364340", \ "5.716534,5.716653,5.694850,5.661396,5.598932,5.456126,5.255264"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("15.282350,15.281310,15.300200,15.337710,15.338020,15.417990,15.525990", \ "15.189690,15.200740,15.221640,15.253060,15.314860,15.395720,15.502710", \ "15.074960,15.075280,15.097410,15.172740,15.183450,15.279580,15.352030", \ "15.021670,15.021360,15.042240,15.077940,15.084850,15.213990,15.319840", \ "15.017220,15.017570,15.036010,15.065380,15.113310,15.202320,15.267990", \ "15.106510,15.126870,15.184580,15.243280,15.193120,15.206520,15.251160", \ "15.406720,15.446330,15.458070,15.496200,15.626190,15.669820,15.744810"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.082664,3.079499,3.138020,3.225016,3.389276,3.618836,3.892336", \ "2.996182,3.010300,3.067361,3.161299,3.326129,3.534836,3.814525", \ "2.879235,2.897488,2.947322,3.067139,3.196420,3.442259,3.710325", \ "3.008470,3.013523,3.029417,3.082569,3.212393,3.404519,3.665794", \ "3.525736,3.518896,3.517482,3.554893,3.579027,3.612626,3.753853", \ "4.320353,4.319226,4.313599,4.314301,4.262771,4.240354,4.204360", \ "5.476827,5.477024,5.456150,5.411880,5.355078,5.242153,5.050728"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("14.584850,14.570960,14.613450,14.621550,14.673630,14.620180,14.775290", \ "14.480790,14.492900,14.500760,14.539720,14.605800,14.501740,14.684210", \ "14.369130,14.381850,14.427680,14.460980,14.523510,14.487750,14.686560", \ "14.315920,14.327820,14.372830,14.402170,14.389360,14.519230,14.627460", \ "14.297320,14.308920,14.357490,14.349100,14.424440,14.361430,14.576790", \ "14.470480,14.482720,14.490780,14.505620,14.525380,14.543380,14.629450", \ "14.727070,14.741030,14.792730,14.850040,14.894580,14.935670,14.947450"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("3.193138,3.248856,3.295206,3.361303,3.507599,3.699500,3.935803", \ "3.121636,3.157400,3.198337,3.282485,3.407198,3.612839,3.845800", \ "3.005691,3.061755,3.108273,3.158688,3.282684,3.500393,3.733320", \ "3.077718,3.101153,3.109594,3.189478,3.270764,3.458470,3.686640", \ "3.608186,3.601717,3.596065,3.616899,3.626193,3.651749,3.770456", \ "4.411018,4.391037,4.392561,4.369096,4.330401,4.271796,4.213647", \ "5.587860,5.585096,5.561270,5.498163,5.410315,5.278840,5.074386"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.801088,1.602180,3.204350,6.408700,12.817400,25.634800"); values ("16.207160,16.219160,16.224970,16.269220,16.238880,16.343720,16.381150", \ "16.134260,16.147460,16.155890,16.197480,16.219960,16.231910,16.285950", \ "16.034370,16.040360,16.047970,16.101800,16.128540,16.246010,16.374370", \ "15.969430,15.982550,15.990720,16.039900,16.018210,16.132880,16.144040", \ "15.946410,15.958520,15.965640,16.003750,16.024030,16.123960,16.186490", \ "16.095600,16.108890,16.112060,16.140510,16.123790,16.153430,16.238260", \ "16.378810,16.397530,16.417220,16.507830,16.606140,16.631700,16.547990"); } } } } /****************************************************************************************** Module : AOI222_X4 Cell Description : Combinational cell (AOI222_X4) with drive strength X4 *******************************************************************************************/ cell (AOI222_X4) { drive_strength : 4; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 134.853561; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 88.068420; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 109.382020; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 88.671881; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 126.983780; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 109.382130; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 130.693310; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 109.985592; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 141.574950; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 88.671881; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 109.985592; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 89.276223; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 141.575610; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 127.447540; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 142.067640; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 142.068410; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 153.728520; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 109.382130; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 130.693420; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 109.985592; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 141.658000; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 130.693420; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 152.001850; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 131.296882; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 156.273370; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 109.985592; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 131.296882; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 110.590155; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 156.274030; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 142.012860; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 156.633070; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 156.633730; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 168.473360; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 88.671992; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 109.985592; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 89.276335; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 141.658660; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 109.985592; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 131.296882; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 110.590155; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 156.274030; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 89.276333; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 110.590155; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 89.881667; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 156.272050; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 142.013520; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 156.633620; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 156.631750; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 168.472590; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 132.148170; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 146.766950; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 146.766840; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 153.803540; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 146.766950; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 161.385730; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 161.385620; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 168.495690; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 146.766950; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 161.385730; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 161.382980; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 168.495250; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 153.947860; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 168.568180; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 168.567740; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 183.034940; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.596468; fall_capacitance : 1.366426; rise_capacitance : 1.596468; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.701800; fall_capacitance : 1.409926; rise_capacitance : 1.701800; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.596573; fall_capacitance : 1.497763; rise_capacitance : 1.596573; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.677640; fall_capacitance : 1.503462; rise_capacitance : 1.677640; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.578039; fall_capacitance : 1.565171; rise_capacitance : 1.578039; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.650615; fall_capacitance : 1.567317; rise_capacitance : 1.650615; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "!(!(!(((A1 & A2) | (B1 & B2)) | (C1 & C2))))"; timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038770,0.042752,0.046032,0.051600,0.061457,0.080093,0.116925", \ "0.040134,0.044117,0.047396,0.052964,0.062822,0.081457,0.118288", \ "0.045217,0.049201,0.052482,0.058049,0.067907,0.086542,0.123371", \ "0.052920,0.056923,0.060218,0.065806,0.075675,0.094313,0.131142", \ "0.059253,0.063303,0.066628,0.072248,0.082135,0.100779,0.137609", \ "0.063690,0.067867,0.071268,0.076944,0.086854,0.105509,0.142319", \ "0.065629,0.070010,0.073549,0.079364,0.089261,0.107948,0.144743"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.056688,0.062085,0.066833,0.076010,0.094302,0.130826,0.203722", \ "0.057671,0.063068,0.067817,0.076993,0.095288,0.131809,0.204706", \ "0.062662,0.068058,0.072806,0.081983,0.100276,0.136795,0.209696", \ "0.074370,0.079764,0.084512,0.093689,0.111979,0.148495,0.221398", \ "0.091301,0.096814,0.101568,0.110700,0.128941,0.165437,0.238328", \ "0.109670,0.115437,0.120247,0.129364,0.147523,0.183960,0.256841", \ "0.129679,0.135744,0.140666,0.149773,0.167891,0.204226,0.277063"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004193,0.005972,0.007740,0.011271,0.018617,0.034105,0.065958", \ "0.004194,0.005971,0.007740,0.011271,0.018617,0.034104,0.065957", \ "0.004197,0.005974,0.007742,0.011272,0.018618,0.034104,0.065956", \ "0.004313,0.006072,0.007823,0.011326,0.018644,0.034112,0.065958", \ "0.004539,0.006258,0.007979,0.011438,0.018706,0.034135,0.065961", \ "0.004999,0.006647,0.008307,0.011674,0.018833,0.034173,0.065969", \ "0.005646,0.007254,0.008848,0.012092,0.019076,0.034259,0.065981"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005286,0.008364,0.012123,0.020431,0.037642,0.072237,0.141526", \ "0.005286,0.008364,0.012122,0.020432,0.037648,0.072244,0.141524", \ "0.005286,0.008362,0.012122,0.020430,0.037645,0.072256,0.141521", \ "0.005278,0.008359,0.012119,0.020429,0.037644,0.072239,0.141510", \ "0.005559,0.008564,0.012222,0.020460,0.037653,0.072252,0.141524", \ "0.006034,0.008986,0.012458,0.020538,0.037672,0.072259,0.141532", \ "0.006553,0.009539,0.012810,0.020662,0.037710,0.072273,0.141534"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038768,0.042751,0.046031,0.051599,0.061455,0.080090,0.116920", \ "0.040140,0.044123,0.047402,0.052970,0.062827,0.081462,0.118292", \ "0.045266,0.049248,0.052530,0.058096,0.067953,0.086590,0.123419", \ "0.052992,0.056997,0.060292,0.065879,0.075747,0.094384,0.131215", \ "0.059195,0.063246,0.066571,0.072193,0.082073,0.100717,0.137547", \ "0.063169,0.067348,0.070749,0.076429,0.086343,0.104998,0.141809", \ "0.064263,0.068652,0.072193,0.078012,0.087922,0.106595,0.143390"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.064164,0.069838,0.074641,0.083791,0.102032,0.138526,0.211428", \ "0.064979,0.070651,0.075455,0.084604,0.102846,0.139340,0.212238", \ "0.069649,0.075320,0.080122,0.089272,0.107516,0.144014,0.216912", \ "0.081207,0.086861,0.091662,0.100814,0.119057,0.155560,0.228455", \ "0.099506,0.105211,0.110013,0.119139,0.137345,0.173829,0.246722", \ "0.120120,0.126065,0.130943,0.140076,0.158185,0.194617,0.267476", \ "0.142597,0.148829,0.153844,0.162959,0.181015,0.217369,0.290210"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004193,0.005971,0.007740,0.011271,0.018617,0.034104,0.065958", \ "0.004193,0.005972,0.007740,0.011271,0.018617,0.034104,0.065957", \ "0.004196,0.005974,0.007742,0.011273,0.018618,0.034104,0.065956", \ "0.004312,0.006072,0.007822,0.011326,0.018644,0.034111,0.065959", \ "0.004540,0.006259,0.007979,0.011439,0.018706,0.034135,0.065963", \ "0.005005,0.006654,0.008311,0.011678,0.018835,0.034173,0.065969", \ "0.005663,0.007269,0.008862,0.012103,0.019083,0.034261,0.065983"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005791,0.008802,0.012365,0.020514,0.037676,0.072275,0.141529", \ "0.005788,0.008800,0.012365,0.020515,0.037683,0.072280,0.141529", \ "0.005781,0.008796,0.012362,0.020512,0.037677,0.072270,0.141535", \ "0.005746,0.008766,0.012347,0.020507,0.037673,0.072266,0.141544", \ "0.005882,0.008870,0.012398,0.020521,0.037675,0.072266,0.141542", \ "0.006329,0.009308,0.012665,0.020613,0.037704,0.072289,0.141544", \ "0.006846,0.009882,0.013061,0.020761,0.037745,0.072300,0.141540"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040476,0.044496,0.047799,0.053392,0.063268,0.081909,0.118737", \ "0.041847,0.045870,0.049172,0.054765,0.064641,0.083283,0.120113", \ "0.046973,0.050996,0.054299,0.059892,0.069768,0.088411,0.125240", \ "0.055095,0.059142,0.062460,0.068070,0.077957,0.096601,0.133428", \ "0.061989,0.066085,0.069445,0.075102,0.085022,0.103675,0.140502", \ "0.066692,0.070954,0.074405,0.080113,0.090062,0.108726,0.145531", \ "0.068609,0.073087,0.076690,0.082577,0.092532,0.111218,0.148004"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.071463,0.077194,0.082010,0.091151,0.109375,0.145860,0.218756", \ "0.072374,0.078105,0.082921,0.092061,0.110285,0.146773,0.219666", \ "0.076937,0.082668,0.087484,0.096625,0.114851,0.151339,0.224233", \ "0.088083,0.093804,0.098618,0.107766,0.125988,0.162473,0.235368", \ "0.106783,0.112499,0.117306,0.126436,0.144632,0.181104,0.254003", \ "0.128654,0.134605,0.139482,0.148606,0.166712,0.203141,0.275995", \ "0.152264,0.158494,0.163504,0.172610,0.190683,0.226955,0.299766"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004333,0.006090,0.007841,0.011345,0.018660,0.034120,0.065961", \ "0.004333,0.006090,0.007841,0.011344,0.018660,0.034120,0.065960", \ "0.004336,0.006093,0.007843,0.011347,0.018661,0.034121,0.065961", \ "0.004465,0.006198,0.007929,0.011405,0.018689,0.034129,0.065960", \ "0.004751,0.006440,0.008134,0.011553,0.018772,0.034159,0.065968", \ "0.005282,0.006897,0.008526,0.011839,0.018929,0.034208,0.065973", \ "0.005966,0.007558,0.009126,0.012311,0.019211,0.034315,0.065993"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005903,0.008907,0.012426,0.020534,0.037682,0.072272,0.141543", \ "0.005902,0.008908,0.012426,0.020536,0.037682,0.072280,0.141540", \ "0.005902,0.008905,0.012424,0.020534,0.037689,0.072271,0.141541", \ "0.005881,0.008887,0.012415,0.020533,0.037683,0.072275,0.141535", \ "0.005908,0.008902,0.012420,0.020534,0.037683,0.072287,0.141532", \ "0.006341,0.009320,0.012672,0.020614,0.037700,0.072291,0.141544", \ "0.006849,0.009881,0.013059,0.020758,0.037745,0.072291,0.141544"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038777,0.042761,0.046041,0.051608,0.061465,0.080101,0.116931", \ "0.040175,0.044158,0.047437,0.053005,0.062862,0.081498,0.118329", \ "0.045301,0.049284,0.052565,0.058133,0.067990,0.086625,0.123455", \ "0.052988,0.056998,0.060293,0.065880,0.075748,0.094386,0.131217", \ "0.059159,0.063209,0.066534,0.072139,0.082037,0.100681,0.137511", \ "0.063111,0.067291,0.070693,0.076344,0.086266,0.104921,0.141732", \ "0.064210,0.068599,0.072141,0.077960,0.087867,0.106526,0.143320"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.066744,0.072392,0.077188,0.086338,0.104584,0.141083,0.213977", \ "0.067475,0.073122,0.077918,0.087068,0.105313,0.141808,0.214707", \ "0.071907,0.077555,0.082350,0.091500,0.109744,0.146240,0.219137", \ "0.083107,0.088750,0.093545,0.102695,0.120938,0.157434,0.230332", \ "0.101007,0.106715,0.111517,0.120643,0.138854,0.175328,0.248222", \ "0.121308,0.127259,0.132137,0.141270,0.159384,0.195819,0.268681", \ "0.143531,0.149768,0.154785,0.163903,0.181916,0.218317,0.291154"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004193,0.005972,0.007741,0.011271,0.018617,0.034104,0.065957", \ "0.004194,0.005972,0.007740,0.011271,0.018617,0.034105,0.065958", \ "0.004196,0.005974,0.007742,0.011272,0.018618,0.034105,0.065956", \ "0.004312,0.006072,0.007822,0.011326,0.018644,0.034111,0.065957", \ "0.004540,0.006259,0.007979,0.011439,0.018707,0.034135,0.065963", \ "0.005009,0.006657,0.008314,0.011681,0.018836,0.034173,0.065968", \ "0.005668,0.007274,0.008867,0.012106,0.019085,0.034262,0.065983"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005749,0.008761,0.012341,0.020505,0.037676,0.072266,0.141531", \ "0.005749,0.008761,0.012341,0.020504,0.037680,0.072263,0.141529", \ "0.005748,0.008760,0.012340,0.020504,0.037674,0.072279,0.141535", \ "0.005738,0.008752,0.012335,0.020504,0.037673,0.072271,0.141528", \ "0.005895,0.008875,0.012401,0.020521,0.037675,0.072272,0.141526", \ "0.006338,0.009319,0.012671,0.020616,0.037701,0.072276,0.141533", \ "0.006850,0.009889,0.013067,0.020767,0.037738,0.072301,0.141538"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038776,0.042759,0.046039,0.051607,0.061464,0.080099,0.116931", \ "0.040177,0.044159,0.047439,0.053006,0.062863,0.081500,0.118329", \ "0.045338,0.049320,0.052600,0.058168,0.068025,0.086661,0.123490", \ "0.053053,0.057059,0.060354,0.065939,0.075808,0.094445,0.131276", \ "0.059120,0.063171,0.066497,0.072095,0.081997,0.100642,0.137471", \ "0.062706,0.066888,0.070291,0.075975,0.085866,0.104521,0.141332", \ "0.063122,0.067513,0.071058,0.076881,0.086757,0.105445,0.142235"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.074469,0.080390,0.085274,0.094419,0.112613,0.149083,0.221971", \ "0.075031,0.080951,0.085835,0.094979,0.113175,0.149643,0.222534", \ "0.079166,0.085085,0.089970,0.099114,0.117312,0.153783,0.226670", \ "0.090147,0.096059,0.100942,0.110092,0.128287,0.164754,0.237644", \ "0.108763,0.114665,0.119540,0.128676,0.146832,0.183291,0.256180", \ "0.131009,0.137133,0.142096,0.151247,0.169308,0.205715,0.278591", \ "0.155369,0.161763,0.166882,0.176020,0.194062,0.230407,0.303231"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004193,0.005972,0.007740,0.011271,0.018617,0.034105,0.065957", \ "0.004193,0.005972,0.007740,0.011271,0.018617,0.034104,0.065957", \ "0.004197,0.005974,0.007742,0.011273,0.018618,0.034104,0.065958", \ "0.004311,0.006071,0.007822,0.011326,0.018644,0.034111,0.065958", \ "0.004541,0.006259,0.007979,0.011440,0.018707,0.034135,0.065961", \ "0.005013,0.006660,0.008317,0.011682,0.018837,0.034173,0.065968", \ "0.005679,0.007285,0.008878,0.012114,0.019090,0.034264,0.065981"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006212,0.009236,0.012638,0.020619,0.037706,0.072290,0.141548", \ "0.006211,0.009236,0.012637,0.020619,0.037712,0.072288,0.141549", \ "0.006210,0.009233,0.012636,0.020615,0.037714,0.072290,0.141545", \ "0.006190,0.009216,0.012626,0.020611,0.037705,0.072286,0.141553", \ "0.006203,0.009221,0.012627,0.020613,0.037707,0.072285,0.141550", \ "0.006623,0.009651,0.012908,0.020709,0.037730,0.072294,0.141548", \ "0.007130,0.010233,0.013338,0.020881,0.037773,0.072310,0.141560"); } } timing () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040484,0.044505,0.047807,0.053400,0.063276,0.081918,0.118746", \ "0.041883,0.045905,0.049208,0.054801,0.064677,0.083319,0.120149", \ "0.047046,0.051068,0.054370,0.059963,0.069839,0.088482,0.125311", \ "0.055153,0.059201,0.062518,0.068128,0.078015,0.096659,0.133486", \ "0.061915,0.066027,0.069387,0.075027,0.084951,0.103604,0.140427", \ "0.066252,0.070515,0.073968,0.079704,0.089637,0.108301,0.145106", \ "0.067504,0.071989,0.075596,0.081488,0.091423,0.110124,0.146908"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083344,0.089335,0.094247,0.103387,0.121563,0.158016,0.230900", \ "0.084031,0.090021,0.094935,0.104076,0.122248,0.158705,0.231586", \ "0.088069,0.094059,0.098970,0.108110,0.126289,0.162743,0.235625", \ "0.098629,0.104616,0.109526,0.118669,0.136845,0.173299,0.246183", \ "0.117144,0.123114,0.128016,0.137142,0.155288,0.191741,0.264630", \ "0.140677,0.146816,0.151787,0.160923,0.178979,0.215383,0.288233", \ "0.166283,0.172683,0.177804,0.186964,0.204942,0.241273,0.314089"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004333,0.006090,0.007841,0.011344,0.018660,0.034120,0.065959", \ "0.004333,0.006090,0.007840,0.011345,0.018660,0.034120,0.065960", \ "0.004335,0.006093,0.007843,0.011346,0.018660,0.034121,0.065961", \ "0.004465,0.006197,0.007928,0.011404,0.018690,0.034128,0.065961", \ "0.004752,0.006441,0.008135,0.011554,0.018772,0.034159,0.065969", \ "0.005289,0.006906,0.008532,0.011844,0.018932,0.034210,0.065973", \ "0.005984,0.007576,0.009142,0.012325,0.019220,0.034319,0.065992"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006351,0.009377,0.012729,0.020652,0.037722,0.072300,0.141541", \ "0.006350,0.009378,0.012729,0.020650,0.037720,0.072293,0.141540", \ "0.006350,0.009377,0.012728,0.020649,0.037723,0.072301,0.141540", \ "0.006341,0.009369,0.012723,0.020648,0.037716,0.072292,0.141540", \ "0.006310,0.009336,0.012703,0.020642,0.037718,0.072293,0.141539", \ "0.006659,0.009689,0.012934,0.020723,0.037740,0.072295,0.141555", \ "0.007157,0.010255,0.013353,0.020887,0.037784,0.072316,0.141553"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040486,0.044507,0.047809,0.053402,0.063278,0.081919,0.118749", \ "0.041883,0.045904,0.049207,0.054800,0.064676,0.083317,0.120148", \ "0.047010,0.051033,0.054336,0.059929,0.069805,0.088446,0.125278", \ "0.055093,0.059142,0.062460,0.068069,0.077956,0.096600,0.133428", \ "0.061938,0.066050,0.069411,0.075071,0.084990,0.103643,0.140466", \ "0.066630,0.070892,0.074344,0.080083,0.090041,0.108705,0.145510", \ "0.068569,0.073050,0.076654,0.082542,0.092501,0.111195,0.147977"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.074000,0.079705,0.084512,0.093652,0.111882,0.148367,0.221270", \ "0.074833,0.080536,0.085346,0.094483,0.112712,0.149204,0.222099", \ "0.079185,0.084888,0.089696,0.098836,0.117065,0.153551,0.226453", \ "0.090041,0.095744,0.100551,0.109693,0.127920,0.164409,0.237297", \ "0.108351,0.114069,0.118875,0.127993,0.146186,0.182659,0.255554", \ "0.129899,0.135853,0.140731,0.149835,0.167961,0.204392,0.277239", \ "0.153247,0.159479,0.164490,0.173597,0.191671,0.227954,0.300756"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004333,0.006090,0.007841,0.011345,0.018660,0.034120,0.065960", \ "0.004333,0.006090,0.007841,0.011345,0.018660,0.034120,0.065960", \ "0.004336,0.006093,0.007843,0.011347,0.018660,0.034121,0.065961", \ "0.004465,0.006198,0.007929,0.011405,0.018689,0.034128,0.065960", \ "0.004752,0.006441,0.008135,0.011554,0.018772,0.034159,0.065969", \ "0.005284,0.006901,0.008529,0.011841,0.018930,0.034209,0.065972", \ "0.005973,0.007563,0.009130,0.012315,0.019214,0.034316,0.065994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005861,0.008862,0.012397,0.020523,0.037685,0.072275,0.141526", \ "0.005862,0.008859,0.012398,0.020527,0.037682,0.072268,0.141530", \ "0.005861,0.008860,0.012397,0.020525,0.037685,0.072267,0.141529", \ "0.005857,0.008858,0.012395,0.020522,0.037676,0.072266,0.141527", \ "0.005911,0.008901,0.012419,0.020529,0.037679,0.072268,0.141530", \ "0.006348,0.009325,0.012675,0.020615,0.037702,0.072280,0.141542", \ "0.006853,0.009887,0.013063,0.020760,0.037736,0.072289,0.141541"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040484,0.044505,0.047807,0.053400,0.063276,0.081918,0.118746", \ "0.041883,0.045905,0.049208,0.054801,0.064677,0.083319,0.120149", \ "0.047046,0.051068,0.054370,0.059963,0.069839,0.088482,0.125311", \ "0.055153,0.059201,0.062518,0.068128,0.078015,0.096659,0.133486", \ "0.061915,0.066027,0.069387,0.075027,0.084951,0.103604,0.140427", \ "0.066252,0.070515,0.073968,0.079704,0.089637,0.108301,0.145106", \ "0.067504,0.071989,0.075596,0.081488,0.091423,0.110124,0.146908"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083344,0.089335,0.094247,0.103387,0.121563,0.158016,0.230900", \ "0.084031,0.090021,0.094935,0.104076,0.122248,0.158705,0.231586", \ "0.088069,0.094059,0.098970,0.108110,0.126289,0.162743,0.235625", \ "0.098629,0.104616,0.109526,0.118669,0.136845,0.173299,0.246183", \ "0.117144,0.123114,0.128016,0.137142,0.155288,0.191741,0.264630", \ "0.140677,0.146816,0.151787,0.160923,0.178979,0.215383,0.288233", \ "0.166283,0.172683,0.177804,0.186964,0.204942,0.241273,0.314089"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004333,0.006090,0.007841,0.011344,0.018660,0.034120,0.065959", \ "0.004333,0.006090,0.007840,0.011345,0.018660,0.034120,0.065960", \ "0.004335,0.006093,0.007843,0.011346,0.018660,0.034121,0.065961", \ "0.004465,0.006197,0.007928,0.011404,0.018690,0.034128,0.065961", \ "0.004752,0.006441,0.008135,0.011554,0.018772,0.034159,0.065969", \ "0.005289,0.006906,0.008532,0.011844,0.018932,0.034210,0.065973", \ "0.005984,0.007576,0.009142,0.012325,0.019220,0.034319,0.065992"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006351,0.009377,0.012729,0.020652,0.037722,0.072300,0.141541", \ "0.006350,0.009378,0.012729,0.020650,0.037720,0.072293,0.141540", \ "0.006350,0.009377,0.012728,0.020649,0.037723,0.072301,0.141540", \ "0.006341,0.009369,0.012723,0.020648,0.037716,0.072292,0.141540", \ "0.006310,0.009336,0.012703,0.020642,0.037718,0.072293,0.141539", \ "0.006659,0.009689,0.012934,0.020723,0.037740,0.072295,0.141555", \ "0.007157,0.010255,0.013353,0.020887,0.037784,0.072316,0.141553"); } } timing () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042156,0.046215,0.049542,0.055163,0.065060,0.083709,0.120535", \ "0.043558,0.047617,0.050944,0.056565,0.066461,0.085111,0.121939", \ "0.048719,0.052779,0.056108,0.061729,0.071625,0.090275,0.127105", \ "0.057174,0.061257,0.064600,0.070237,0.080145,0.098799,0.135625", \ "0.064566,0.068730,0.072125,0.077820,0.087769,0.106429,0.143252", \ "0.069630,0.073961,0.077459,0.083241,0.093215,0.111894,0.148692", \ "0.071700,0.076254,0.079913,0.085868,0.095861,0.114570,0.151350"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.092184,0.098246,0.103186,0.112322,0.130480,0.166921,0.239799", \ "0.092969,0.099030,0.103970,0.113107,0.131268,0.167702,0.240582", \ "0.096961,0.103022,0.107962,0.117100,0.135257,0.171694,0.244574", \ "0.107216,0.113276,0.118216,0.127354,0.145512,0.181945,0.254823", \ "0.125351,0.131400,0.136334,0.145487,0.163627,0.200064,0.272940", \ "0.149998,0.156161,0.161142,0.170266,0.188330,0.224746,0.297595", \ "0.176825,0.183236,0.188364,0.197492,0.215435,0.251783,0.324575"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004474,0.006213,0.007947,0.011424,0.018707,0.034139,0.065966", \ "0.004474,0.006213,0.007947,0.011424,0.018707,0.034140,0.065965", \ "0.004478,0.006215,0.007950,0.011426,0.018707,0.034140,0.065963", \ "0.004609,0.006323,0.008038,0.011487,0.018738,0.034149,0.065964", \ "0.004950,0.006614,0.008286,0.011667,0.018839,0.034187,0.065973", \ "0.005530,0.007127,0.008729,0.011995,0.019023,0.034248,0.065982", \ "0.006237,0.007821,0.009372,0.012510,0.019337,0.034373,0.066007"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006488,0.009522,0.012825,0.020688,0.037728,0.072298,0.141552", \ "0.006486,0.009520,0.012825,0.020687,0.037728,0.072296,0.141552", \ "0.006486,0.009522,0.012825,0.020687,0.037730,0.072301,0.141555", \ "0.006483,0.009518,0.012823,0.020685,0.037728,0.072301,0.141554", \ "0.006454,0.009489,0.012805,0.020681,0.037728,0.072299,0.141557", \ "0.006708,0.009742,0.012973,0.020738,0.037738,0.072310,0.141555", \ "0.007198,0.010293,0.013381,0.020901,0.037782,0.072329,0.141558"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040085,0.044068,0.047347,0.052915,0.062772,0.081407,0.118237", \ "0.041512,0.045495,0.048775,0.054343,0.064200,0.082834,0.119666", \ "0.045458,0.049441,0.052721,0.058289,0.068146,0.086781,0.123611", \ "0.051460,0.055458,0.058750,0.064329,0.074194,0.092829,0.129658", \ "0.057204,0.061233,0.064547,0.070155,0.080037,0.098680,0.135512", \ "0.061377,0.065477,0.068835,0.074481,0.084403,0.103056,0.139876", \ "0.063167,0.067394,0.070831,0.076556,0.086530,0.105194,0.142006"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.061541,0.066976,0.071726,0.080894,0.099173,0.135690,0.208587", \ "0.062613,0.068049,0.072800,0.081966,0.100247,0.136760,0.209663", \ "0.067839,0.073274,0.078025,0.087192,0.105473,0.141989,0.214892", \ "0.079614,0.085048,0.089798,0.098965,0.117243,0.153760,0.226656", \ "0.097594,0.103104,0.107856,0.116994,0.135231,0.171727,0.244628", \ "0.117632,0.123381,0.128184,0.137275,0.155434,0.191887,0.264757", \ "0.139333,0.145360,0.150262,0.159378,0.177439,0.213819,0.286663"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004194,0.005972,0.007740,0.011271,0.018617,0.034104,0.065956", \ "0.004193,0.005971,0.007740,0.011271,0.018617,0.034104,0.065956", \ "0.004195,0.005974,0.007742,0.011272,0.018617,0.034105,0.065956", \ "0.004269,0.006036,0.007793,0.011307,0.018635,0.034109,0.065956", \ "0.004419,0.006160,0.007899,0.011385,0.018679,0.034125,0.065960", \ "0.004699,0.006399,0.008102,0.011533,0.018763,0.034154,0.065963", \ "0.005135,0.006785,0.008438,0.011785,0.018909,0.034210,0.065977"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005375,0.008430,0.012156,0.020439,0.037646,0.072253,0.141522", \ "0.005375,0.008429,0.012155,0.020439,0.037647,0.072261,0.141520", \ "0.005374,0.008429,0.012154,0.020439,0.037648,0.072246,0.141518", \ "0.005369,0.008424,0.012153,0.020440,0.037649,0.072245,0.141514", \ "0.005559,0.008563,0.012220,0.020459,0.037650,0.072242,0.141511", \ "0.006008,0.008960,0.012442,0.020532,0.037672,0.072259,0.141515", \ "0.006496,0.009469,0.012761,0.020641,0.037703,0.072267,0.141534"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040083,0.044066,0.047346,0.052914,0.062770,0.081405,0.118235", \ "0.041516,0.045499,0.048779,0.054347,0.064204,0.082838,0.119670", \ "0.045507,0.049489,0.052769,0.058337,0.068194,0.086830,0.123658", \ "0.051565,0.055563,0.058854,0.064435,0.074299,0.092934,0.129763", \ "0.057284,0.061312,0.064624,0.070229,0.080115,0.098758,0.135590", \ "0.061213,0.065312,0.068669,0.074327,0.084239,0.102893,0.139712", \ "0.062455,0.066681,0.070118,0.075847,0.085818,0.104486,0.141297"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070356,0.076087,0.080904,0.090043,0.108266,0.144752,0.217651", \ "0.071285,0.077014,0.081830,0.090970,0.109194,0.145679,0.218577", \ "0.076258,0.081988,0.086804,0.095944,0.114169,0.150658,0.223550", \ "0.087796,0.093515,0.098330,0.107477,0.125699,0.162185,0.235080", \ "0.106753,0.112470,0.117278,0.126396,0.144611,0.181089,0.253984", \ "0.129121,0.135062,0.139935,0.149049,0.167084,0.203516,0.276392", \ "0.153356,0.159567,0.164567,0.173676,0.191727,0.228014,0.300829"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004194,0.005971,0.007740,0.011271,0.018617,0.034105,0.065958", \ "0.004193,0.005972,0.007741,0.011271,0.018617,0.034104,0.065956", \ "0.004195,0.005973,0.007741,0.011272,0.018617,0.034105,0.065956", \ "0.004268,0.006036,0.007793,0.011307,0.018635,0.034109,0.065957", \ "0.004417,0.006158,0.007898,0.011384,0.018679,0.034125,0.065959", \ "0.004695,0.006396,0.008100,0.011532,0.018762,0.034154,0.065965", \ "0.005134,0.006784,0.008437,0.011784,0.018907,0.034209,0.065978"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005903,0.008904,0.012425,0.020533,0.037680,0.072274,0.141541", \ "0.005899,0.008905,0.012424,0.020535,0.037681,0.072277,0.141544", \ "0.005900,0.008901,0.012423,0.020534,0.037682,0.072265,0.141543", \ "0.005876,0.008884,0.012413,0.020530,0.037680,0.072275,0.141537", \ "0.005905,0.008900,0.012420,0.020531,0.037681,0.072272,0.141526", \ "0.006325,0.009304,0.012663,0.020613,0.037699,0.072275,0.141536", \ "0.006814,0.009843,0.013030,0.020747,0.037732,0.072286,0.141549"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.041790,0.045811,0.049114,0.054707,0.064583,0.083225,0.120056", \ "0.043224,0.047245,0.050548,0.056141,0.066016,0.084658,0.121490", \ "0.047219,0.051241,0.054544,0.060136,0.070012,0.088655,0.125485", \ "0.053422,0.057463,0.060777,0.066381,0.076263,0.094906,0.131733", \ "0.059499,0.063580,0.066920,0.072557,0.082459,0.101110,0.137935", \ "0.063955,0.068120,0.071513,0.077205,0.087141,0.105804,0.142619", \ "0.065812,0.070114,0.073597,0.079374,0.089375,0.108064,0.144871"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.077623,0.083412,0.088243,0.097378,0.115585,0.152061,0.224957", \ "0.078596,0.084386,0.089217,0.098350,0.116558,0.153033,0.225931", \ "0.083510,0.089297,0.094128,0.103262,0.121469,0.157944,0.230841", \ "0.094731,0.100512,0.105343,0.114477,0.132686,0.169160,0.242056", \ "0.113706,0.119475,0.124298,0.133420,0.151605,0.188078,0.260973", \ "0.137243,0.143197,0.148075,0.157204,0.175258,0.211681,0.284557", \ "0.162636,0.168855,0.173856,0.182961,0.201032,0.237271,0.310100"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004331,0.006089,0.007841,0.011345,0.018660,0.034120,0.065961", \ "0.004333,0.006090,0.007841,0.011345,0.018660,0.034121,0.065960", \ "0.004335,0.006092,0.007842,0.011345,0.018660,0.034120,0.065961", \ "0.004413,0.006158,0.007896,0.011383,0.018679,0.034126,0.065962", \ "0.004587,0.006306,0.008024,0.011477,0.018732,0.034146,0.065965", \ "0.004904,0.006579,0.008258,0.011650,0.018831,0.034181,0.065969", \ "0.005384,0.007011,0.008636,0.011937,0.018998,0.034246,0.065984"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006015,0.009014,0.012489,0.020557,0.037696,0.072276,0.141529", \ "0.006018,0.009011,0.012489,0.020557,0.037690,0.072276,0.141528", \ "0.006014,0.009012,0.012488,0.020558,0.037691,0.072286,0.141528", \ "0.006002,0.009002,0.012482,0.020554,0.037692,0.072282,0.141537", \ "0.005982,0.008981,0.012469,0.020553,0.037690,0.072281,0.141542", \ "0.006355,0.009333,0.012680,0.020617,0.037702,0.072280,0.141532", \ "0.006842,0.009865,0.013044,0.020753,0.037734,0.072305,0.141548"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040093,0.044077,0.047356,0.052924,0.062780,0.081415,0.118246", \ "0.041551,0.045534,0.048814,0.054382,0.064239,0.082875,0.119704", \ "0.045547,0.049529,0.052809,0.058377,0.068234,0.086869,0.123699", \ "0.051575,0.055572,0.058864,0.064444,0.074308,0.092944,0.129773", \ "0.057249,0.061279,0.064591,0.070197,0.080082,0.098724,0.135557", \ "0.061135,0.065237,0.068594,0.074245,0.084163,0.102817,0.139637", \ "0.062344,0.066572,0.070010,0.075740,0.085685,0.104365,0.141177"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.072926,0.078631,0.083437,0.092579,0.110808,0.147292,0.220191", \ "0.073781,0.079484,0.084290,0.093429,0.111657,0.148144,0.221045", \ "0.078538,0.084241,0.089048,0.098188,0.116416,0.152903,0.225805", \ "0.089780,0.095481,0.100288,0.109427,0.127656,0.164139,0.237035", \ "0.108340,0.114059,0.118866,0.127981,0.146199,0.182673,0.255569", \ "0.130379,0.136325,0.141200,0.150319,0.168414,0.204843,0.277713", \ "0.154353,0.160568,0.165570,0.174679,0.192733,0.229029,0.301838"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004194,0.005971,0.007740,0.011271,0.018617,0.034104,0.065956", \ "0.004193,0.005972,0.007740,0.011271,0.018617,0.034105,0.065956", \ "0.004194,0.005973,0.007741,0.011272,0.018617,0.034105,0.065956", \ "0.004268,0.006036,0.007793,0.011307,0.018635,0.034109,0.065957", \ "0.004418,0.006159,0.007899,0.011384,0.018679,0.034125,0.065960", \ "0.004699,0.006399,0.008102,0.011533,0.018763,0.034154,0.065964", \ "0.005139,0.006789,0.008440,0.011786,0.018909,0.034209,0.065979"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005862,0.008861,0.012398,0.020526,0.037684,0.072272,0.141530", \ "0.005861,0.008860,0.012398,0.020524,0.037676,0.072264,0.141526", \ "0.005858,0.008861,0.012397,0.020525,0.037680,0.072267,0.141526", \ "0.005854,0.008857,0.012395,0.020524,0.037684,0.072268,0.141525", \ "0.005911,0.008902,0.012420,0.020530,0.037683,0.072280,0.141532", \ "0.006334,0.009311,0.012667,0.020612,0.037703,0.072285,0.141531", \ "0.006822,0.009849,0.013035,0.020749,0.037742,0.072288,0.141549"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040092,0.044074,0.047354,0.052922,0.062779,0.081413,0.118244", \ "0.041552,0.045536,0.048816,0.054383,0.064240,0.082876,0.119707", \ "0.045582,0.049564,0.052844,0.058412,0.068269,0.086905,0.123734", \ "0.051662,0.055660,0.058951,0.064530,0.074395,0.093031,0.129858", \ "0.057330,0.061357,0.064669,0.070277,0.080163,0.098805,0.135637", \ "0.061038,0.065137,0.068494,0.074141,0.084055,0.102708,0.139529", \ "0.061806,0.066033,0.069469,0.075203,0.085174,0.103820,0.140631"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081995,0.087984,0.092895,0.102034,0.120210,0.156668,0.229549", \ "0.082705,0.088695,0.093606,0.102746,0.120924,0.157376,0.230260", \ "0.087247,0.093237,0.098147,0.107287,0.125464,0.161917,0.234797", \ "0.098261,0.104247,0.109157,0.118301,0.136473,0.172924,0.245810", \ "0.117094,0.123065,0.127968,0.137100,0.155282,0.191726,0.264609", \ "0.141073,0.147205,0.152172,0.161330,0.179361,0.215755,0.288620", \ "0.167277,0.173663,0.178774,0.187938,0.205958,0.242242,0.315058"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004193,0.005972,0.007741,0.011270,0.018617,0.034104,0.065958", \ "0.004194,0.005971,0.007740,0.011271,0.018617,0.034104,0.065956", \ "0.004195,0.005973,0.007742,0.011272,0.018618,0.034104,0.065956", \ "0.004268,0.006035,0.007793,0.011307,0.018635,0.034109,0.065958", \ "0.004416,0.006158,0.007897,0.011384,0.018679,0.034124,0.065959", \ "0.004695,0.006396,0.008099,0.011531,0.018762,0.034153,0.065965", \ "0.005137,0.006786,0.008438,0.011785,0.018907,0.034208,0.065978"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006349,0.009375,0.012727,0.020650,0.037716,0.072291,0.141540", \ "0.006349,0.009376,0.012727,0.020649,0.037717,0.072289,0.141540", \ "0.006348,0.009374,0.012728,0.020650,0.037720,0.072307,0.141550", \ "0.006339,0.009367,0.012722,0.020646,0.037719,0.072291,0.141538", \ "0.006311,0.009338,0.012704,0.020642,0.037718,0.072307,0.141541", \ "0.006645,0.009673,0.012924,0.020718,0.037742,0.072306,0.141542", \ "0.007129,0.010221,0.013328,0.020878,0.037778,0.072310,0.141562"); } } timing () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.041798,0.045820,0.049122,0.054715,0.064591,0.083234,0.120065", \ "0.043261,0.047283,0.050585,0.056178,0.066054,0.084695,0.121526", \ "0.047292,0.051315,0.054617,0.060210,0.070086,0.088729,0.125559", \ "0.053518,0.057558,0.060872,0.066476,0.076359,0.095002,0.131829", \ "0.059544,0.063626,0.066966,0.072601,0.082506,0.101157,0.137984", \ "0.063782,0.067944,0.071337,0.077025,0.086964,0.105626,0.142441", \ "0.065183,0.069487,0.072970,0.078747,0.088744,0.107421,0.144228"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.090822,0.096879,0.101819,0.110956,0.129114,0.165554,0.238430", \ "0.091589,0.097651,0.102592,0.111729,0.129888,0.166321,0.239201", \ "0.096079,0.102140,0.107080,0.116217,0.134375,0.170810,0.243687", \ "0.106785,0.112844,0.117784,0.126921,0.145080,0.181517,0.254392", \ "0.125336,0.131384,0.136319,0.145450,0.163576,0.200012,0.272897", \ "0.150326,0.156483,0.161462,0.170577,0.188644,0.225031,0.297889", \ "0.177765,0.184165,0.189286,0.198420,0.216401,0.252756,0.325524"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004331,0.006090,0.007841,0.011345,0.018659,0.034121,0.065961", \ "0.004333,0.006089,0.007841,0.011345,0.018659,0.034121,0.065961", \ "0.004335,0.006092,0.007842,0.011346,0.018660,0.034120,0.065960", \ "0.004412,0.006157,0.007896,0.011382,0.018678,0.034125,0.065961", \ "0.004586,0.006305,0.008024,0.011476,0.018732,0.034146,0.065964", \ "0.004905,0.006580,0.008259,0.011650,0.018831,0.034181,0.065969", \ "0.005391,0.007014,0.008639,0.011938,0.018999,0.034246,0.065985"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006487,0.009521,0.012825,0.020687,0.037728,0.072303,0.141559", \ "0.006487,0.009521,0.012825,0.020686,0.037731,0.072296,0.141559", \ "0.006488,0.009522,0.012826,0.020690,0.037731,0.072296,0.141559", \ "0.006483,0.009520,0.012823,0.020686,0.037728,0.072314,0.141557", \ "0.006455,0.009491,0.012805,0.020679,0.037726,0.072296,0.141557", \ "0.006700,0.009732,0.012963,0.020736,0.037737,0.072297,0.141554", \ "0.007175,0.010268,0.013361,0.020893,0.037781,0.072315,0.141566"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.041800,0.045822,0.049124,0.054717,0.064594,0.083235,0.120067", \ "0.043260,0.047281,0.050584,0.056177,0.066053,0.084694,0.121525", \ "0.047258,0.051281,0.054583,0.060176,0.070053,0.088693,0.125525", \ "0.053433,0.057473,0.060787,0.066391,0.076273,0.094916,0.131745", \ "0.059466,0.063547,0.066888,0.072522,0.082429,0.101079,0.137906", \ "0.063878,0.068042,0.071436,0.077128,0.087071,0.105733,0.142548", \ "0.065717,0.070020,0.073504,0.079276,0.089283,0.107947,0.144754"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.080142,0.085904,0.090725,0.099857,0.118070,0.154547,0.227439", \ "0.081043,0.086804,0.091625,0.100758,0.118969,0.155447,0.228341", \ "0.085756,0.091517,0.096339,0.105471,0.123682,0.160161,0.233050", \ "0.096725,0.102485,0.107306,0.116438,0.134649,0.171124,0.244015", \ "0.115345,0.121110,0.125931,0.135055,0.153253,0.189710,0.262600", \ "0.138556,0.144512,0.149390,0.158509,0.176589,0.213011,0.285880", \ "0.163659,0.169880,0.174882,0.183992,0.202064,0.238309,0.311131"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004331,0.006090,0.007841,0.011345,0.018660,0.034121,0.065961", \ "0.004333,0.006089,0.007841,0.011344,0.018659,0.034121,0.065960", \ "0.004335,0.006092,0.007842,0.011346,0.018660,0.034120,0.065961", \ "0.004413,0.006157,0.007896,0.011383,0.018678,0.034126,0.065961", \ "0.004588,0.006307,0.008025,0.011478,0.018733,0.034146,0.065964", \ "0.004906,0.006581,0.008260,0.011652,0.018832,0.034181,0.065969", \ "0.005388,0.007015,0.008640,0.011940,0.019000,0.034247,0.065983"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005974,0.008966,0.012459,0.020545,0.037691,0.072284,0.141530", \ "0.005972,0.008966,0.012458,0.020547,0.037686,0.072277,0.141542", \ "0.005972,0.008965,0.012458,0.020545,0.037689,0.072277,0.141529", \ "0.005970,0.008965,0.012457,0.020548,0.037690,0.072272,0.141528", \ "0.005983,0.008974,0.012463,0.020546,0.037692,0.072277,0.141540", \ "0.006360,0.009338,0.012681,0.020620,0.037706,0.072280,0.141530", \ "0.006847,0.009869,0.013047,0.020752,0.037736,0.072296,0.141552"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.041798,0.045820,0.049122,0.054715,0.064591,0.083234,0.120065", \ "0.043261,0.047283,0.050585,0.056178,0.066054,0.084695,0.121526", \ "0.047292,0.051315,0.054617,0.060210,0.070086,0.088729,0.125559", \ "0.053518,0.057558,0.060872,0.066476,0.076359,0.095002,0.131829", \ "0.059544,0.063626,0.066966,0.072601,0.082506,0.101157,0.137984", \ "0.063782,0.067944,0.071337,0.077025,0.086964,0.105626,0.142441", \ "0.065183,0.069487,0.072970,0.078747,0.088744,0.107421,0.144228"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.090822,0.096879,0.101819,0.110956,0.129114,0.165554,0.238430", \ "0.091589,0.097651,0.102592,0.111729,0.129888,0.166321,0.239201", \ "0.096079,0.102140,0.107080,0.116217,0.134375,0.170810,0.243687", \ "0.106785,0.112844,0.117784,0.126921,0.145080,0.181517,0.254392", \ "0.125336,0.131384,0.136319,0.145450,0.163576,0.200012,0.272897", \ "0.150326,0.156483,0.161462,0.170577,0.188644,0.225031,0.297889", \ "0.177765,0.184165,0.189286,0.198420,0.216401,0.252756,0.325524"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004331,0.006090,0.007841,0.011345,0.018659,0.034121,0.065961", \ "0.004333,0.006089,0.007841,0.011345,0.018659,0.034121,0.065961", \ "0.004335,0.006092,0.007842,0.011346,0.018660,0.034120,0.065960", \ "0.004412,0.006157,0.007896,0.011382,0.018678,0.034125,0.065961", \ "0.004586,0.006305,0.008024,0.011476,0.018732,0.034146,0.065964", \ "0.004905,0.006580,0.008259,0.011650,0.018831,0.034181,0.065969", \ "0.005391,0.007014,0.008639,0.011938,0.018999,0.034246,0.065985"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006487,0.009521,0.012825,0.020687,0.037728,0.072303,0.141559", \ "0.006487,0.009521,0.012825,0.020686,0.037731,0.072296,0.141559", \ "0.006488,0.009522,0.012826,0.020690,0.037731,0.072296,0.141559", \ "0.006483,0.009520,0.012823,0.020686,0.037728,0.072314,0.141557", \ "0.006455,0.009491,0.012805,0.020679,0.037726,0.072296,0.141557", \ "0.006700,0.009732,0.012963,0.020736,0.037737,0.072297,0.141554", \ "0.007175,0.010268,0.013361,0.020893,0.037781,0.072315,0.141566"); } } timing () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.043472,0.047530,0.050857,0.056478,0.066374,0.085025,0.121854", \ "0.044935,0.048993,0.052321,0.057942,0.067838,0.086488,0.123315", \ "0.048969,0.053029,0.056357,0.061978,0.071875,0.090524,0.127353", \ "0.055329,0.059405,0.062743,0.068374,0.078278,0.096929,0.133753", \ "0.061691,0.065816,0.069186,0.074851,0.084781,0.103441,0.140263", \ "0.066430,0.070646,0.074074,0.079803,0.089774,0.108446,0.145259", \ "0.068455,0.072820,0.076346,0.082162,0.092189,0.110880,0.147683"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.099610,0.105743,0.110715,0.119852,0.137991,0.174413,0.247286", \ "0.100434,0.106566,0.111537,0.120674,0.138814,0.175231,0.248104", \ "0.104883,0.111015,0.115988,0.125124,0.143265,0.179687,0.252558", \ "0.115377,0.121509,0.126481,0.135618,0.153759,0.190176,0.263050", \ "0.133532,0.139656,0.144626,0.153777,0.171928,0.208344,0.281215", \ "0.159254,0.165441,0.170434,0.179543,0.197622,0.234010,0.306850", \ "0.187857,0.194279,0.199412,0.208565,0.226526,0.262887,0.335669"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004474,0.006213,0.007947,0.011424,0.018706,0.034140,0.065961", \ "0.004475,0.006213,0.007947,0.011424,0.018707,0.034140,0.065965", \ "0.004476,0.006214,0.007949,0.011425,0.018707,0.034140,0.065961", \ "0.004557,0.006281,0.008003,0.011463,0.018726,0.034146,0.065963", \ "0.004752,0.006451,0.008151,0.011573,0.018790,0.034170,0.065971", \ "0.005098,0.006753,0.008412,0.011766,0.018901,0.034211,0.065976", \ "0.005609,0.007218,0.008823,0.012082,0.019087,0.034285,0.065995"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006628,0.009671,0.012927,0.020726,0.037741,0.072317,0.141561", \ "0.006627,0.009672,0.012927,0.020725,0.037739,0.072316,0.141562", \ "0.006626,0.009672,0.012927,0.020726,0.037744,0.072303,0.141562", \ "0.006626,0.009670,0.012927,0.020728,0.037744,0.072319,0.141563", \ "0.006608,0.009651,0.012914,0.020721,0.037739,0.072318,0.141552", \ "0.006759,0.009798,0.013010,0.020752,0.037750,0.072300,0.141551", \ "0.007231,0.010324,0.013404,0.020908,0.037784,0.072314,0.141564"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045977,0.049995,0.053299,0.058894,0.068772,0.087415,0.124245", \ "0.047322,0.051339,0.054643,0.060239,0.070116,0.088759,0.125592", \ "0.052288,0.056306,0.059611,0.065205,0.075083,0.093727,0.130556", \ "0.061587,0.065609,0.068915,0.074515,0.084396,0.103040,0.139872", \ "0.070512,0.074568,0.077899,0.083526,0.093413,0.112067,0.148898", \ "0.077526,0.081670,0.085052,0.090687,0.100566,0.119224,0.156042", \ "0.082136,0.086430,0.089910,0.095657,0.105468,0.124130,0.160935"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.073388,0.078875,0.083638,0.092803,0.111079,0.147595,0.220501", \ "0.074624,0.080112,0.084874,0.094039,0.112316,0.148830,0.221735", \ "0.079759,0.085246,0.090009,0.099174,0.117451,0.153965,0.226869", \ "0.089845,0.095333,0.100095,0.109258,0.127533,0.164046,0.236952", \ "0.104871,0.110430,0.115198,0.124330,0.142566,0.179066,0.251963", \ "0.122025,0.127807,0.132629,0.141735,0.159901,0.196373,0.269263", \ "0.141555,0.147585,0.152501,0.161632,0.179763,0.216185,0.289054"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004327,0.006088,0.007842,0.011347,0.018663,0.034123,0.065961", \ "0.004326,0.006088,0.007841,0.011347,0.018662,0.034123,0.065964", \ "0.004327,0.006088,0.007841,0.011347,0.018662,0.034123,0.065965", \ "0.004366,0.006120,0.007867,0.011364,0.018671,0.034125,0.065962", \ "0.004547,0.006271,0.007994,0.011455,0.018720,0.034145,0.065966", \ "0.004886,0.006552,0.008229,0.011622,0.018809,0.034168,0.065971", \ "0.005395,0.007009,0.008627,0.011917,0.018975,0.034224,0.065978"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005457,0.008505,0.012197,0.020456,0.037658,0.072257,0.141516", \ "0.005458,0.008505,0.012197,0.020457,0.037660,0.072269,0.141519", \ "0.005458,0.008504,0.012196,0.020456,0.037659,0.072250,0.141528", \ "0.005458,0.008507,0.012198,0.020457,0.037663,0.072266,0.141526", \ "0.005628,0.008633,0.012261,0.020474,0.037661,0.072254,0.141529", \ "0.006026,0.009004,0.012479,0.020550,0.037685,0.072270,0.141523", \ "0.006463,0.009470,0.012778,0.020661,0.037727,0.072287,0.141532"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045986,0.050003,0.053307,0.058903,0.068781,0.087424,0.124254", \ "0.047376,0.051394,0.054698,0.060293,0.070171,0.088814,0.125646", \ "0.052406,0.056423,0.059728,0.065323,0.075201,0.093845,0.130677", \ "0.061709,0.065731,0.069038,0.074637,0.084518,0.103162,0.139994", \ "0.070508,0.074565,0.077897,0.083533,0.093415,0.112069,0.148899", \ "0.077171,0.081315,0.084698,0.090333,0.100193,0.118852,0.155669", \ "0.081064,0.085364,0.088848,0.094599,0.104337,0.123066,0.159868"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.087403,0.093178,0.098012,0.107157,0.125381,0.161869,0.234767", \ "0.088390,0.094166,0.099000,0.108146,0.126370,0.162854,0.235755", \ "0.092885,0.098662,0.103495,0.112641,0.130864,0.167354,0.240250", \ "0.102342,0.108117,0.112951,0.122096,0.140319,0.176806,0.249702", \ "0.117402,0.123207,0.128046,0.137155,0.155364,0.191836,0.264730", \ "0.135895,0.141907,0.146822,0.155953,0.174057,0.210503,0.283375", \ "0.157292,0.163542,0.168579,0.177698,0.195840,0.232222,0.305069"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ "0.004325,0.006088,0.007841,0.011347,0.018663,0.034123,0.065964", \ "0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065963", \ "0.004366,0.006119,0.007866,0.011364,0.018671,0.034124,0.065962", \ "0.004547,0.006271,0.007995,0.011455,0.018720,0.034145,0.065966", \ "0.004890,0.006557,0.008233,0.011625,0.018810,0.034169,0.065969", \ "0.005413,0.007027,0.008642,0.011929,0.018982,0.034226,0.065976"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005963,0.008977,0.012473,0.020557,0.037693,0.072296,0.141545", \ "0.005963,0.008977,0.012473,0.020556,0.037695,0.072291,0.141542", \ "0.005964,0.008978,0.012473,0.020557,0.037694,0.072278,0.141541", \ "0.005965,0.008978,0.012475,0.020558,0.037692,0.072289,0.141546", \ "0.006028,0.009036,0.012507,0.020566,0.037695,0.072280,0.141536", \ "0.006414,0.009431,0.012758,0.020658,0.037722,0.072291,0.141550", \ "0.006846,0.009921,0.013110,0.020798,0.037764,0.072314,0.141555"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047737,0.051794,0.055120,0.060740,0.070636,0.089286,0.126116", \ "0.049127,0.053185,0.056510,0.062130,0.072026,0.090677,0.127507", \ "0.054153,0.058212,0.061538,0.067158,0.077055,0.095704,0.132531", \ "0.063612,0.067674,0.071004,0.076627,0.086527,0.105177,0.142008", \ "0.073018,0.077131,0.080493,0.086164,0.096054,0.114714,0.151536", \ "0.080338,0.084558,0.087984,0.093666,0.103557,0.122223,0.159033", \ "0.084923,0.089315,0.092856,0.098672,0.108458,0.127200,0.163992"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.095016,0.100850,0.105699,0.114839,0.133045,0.169518,0.242419", \ "0.096093,0.101925,0.106775,0.115915,0.134122,0.170597,0.243493", \ "0.100623,0.106456,0.111307,0.120446,0.138654,0.175128,0.248024", \ "0.110012,0.115846,0.120696,0.129835,0.148044,0.184516,0.257415", \ "0.125283,0.131129,0.135981,0.145105,0.163285,0.199744,0.272634", \ "0.144945,0.150957,0.155875,0.165003,0.183104,0.219536,0.292419", \ "0.167433,0.173684,0.178718,0.187835,0.205991,0.242343,0.315183"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004466,0.006207,0.007945,0.011423,0.018707,0.034141,0.065965", \ "0.004467,0.006208,0.007944,0.011423,0.018707,0.034141,0.065965", \ "0.004467,0.006208,0.007944,0.011423,0.018706,0.034140,0.065968", \ "0.004506,0.006241,0.007971,0.011441,0.018715,0.034143,0.065965", \ "0.004733,0.006432,0.008131,0.011557,0.018779,0.034168,0.065970", \ "0.005137,0.006776,0.008423,0.011767,0.018894,0.034201,0.065977", \ "0.005704,0.007298,0.008887,0.012121,0.019098,0.034275,0.065985"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006076,0.009087,0.012541,0.020579,0.037699,0.072287,0.141553", \ "0.006074,0.009086,0.012539,0.020583,0.037698,0.072286,0.141552", \ "0.006074,0.009088,0.012540,0.020579,0.037701,0.072287,0.141552", \ "0.006075,0.009086,0.012539,0.020580,0.037701,0.072287,0.141550", \ "0.006102,0.009110,0.012555,0.020587,0.037702,0.072289,0.141552", \ "0.006439,0.009455,0.012773,0.020662,0.037721,0.072293,0.141553", \ "0.006856,0.009926,0.013111,0.020797,0.037763,0.072309,0.141564"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.044065,0.048083,0.051389,0.056986,0.066864,0.085508,0.122339", \ "0.045378,0.049398,0.052704,0.058300,0.068179,0.086824,0.123652", \ "0.050356,0.054375,0.057680,0.063276,0.073155,0.091799,0.128628", \ "0.059395,0.063418,0.066726,0.072329,0.082213,0.100858,0.137687", \ "0.067652,0.071713,0.075048,0.080686,0.090594,0.109247,0.146079", \ "0.073912,0.078067,0.081457,0.087104,0.096988,0.115646,0.152461", \ "0.077604,0.081924,0.085420,0.091186,0.100967,0.119675,0.156474"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081688,0.087336,0.092131,0.101280,0.119524,0.156026,0.228919", \ "0.082848,0.088496,0.093292,0.102439,0.120686,0.157185,0.230078", \ "0.087768,0.093416,0.098211,0.107360,0.125606,0.162100,0.235003", \ "0.096994,0.102643,0.107439,0.116588,0.134833,0.171331,0.244224", \ "0.110607,0.116305,0.121107,0.130233,0.148441,0.184919,0.257806", \ "0.126733,0.132621,0.137483,0.146613,0.164752,0.201205,0.274083", \ "0.145860,0.151955,0.156905,0.165992,0.184168,0.220578,0.293433"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004330,0.006092,0.007845,0.011351,0.018665,0.034124,0.065962", \ "0.004329,0.006091,0.007845,0.011350,0.018665,0.034125,0.065962", \ "0.004327,0.006089,0.007843,0.011348,0.018664,0.034124,0.065963", \ "0.004376,0.006128,0.007873,0.011369,0.018674,0.034127,0.065964", \ "0.004566,0.006285,0.008006,0.011464,0.018724,0.034146,0.065965", \ "0.004930,0.006591,0.008261,0.011645,0.018821,0.034173,0.065970", \ "0.005472,0.007084,0.008692,0.011968,0.019004,0.034234,0.065980"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005749,0.008762,0.012341,0.020505,0.037672,0.072259,0.141537", \ "0.005751,0.008761,0.012341,0.020506,0.037679,0.072269,0.141533", \ "0.005750,0.008761,0.012341,0.020505,0.037676,0.072279,0.141526", \ "0.005752,0.008763,0.012341,0.020505,0.037674,0.072264,0.141536", \ "0.005865,0.008857,0.012391,0.020523,0.037676,0.072264,0.141536", \ "0.006199,0.009193,0.012598,0.020592,0.037706,0.072290,0.141530", \ "0.006569,0.009594,0.012866,0.020694,0.037726,0.072287,0.141538"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.044072,0.048092,0.051397,0.056994,0.066873,0.085517,0.122348", \ "0.045434,0.049453,0.052759,0.058356,0.068234,0.086879,0.123707", \ "0.050471,0.054489,0.057794,0.063391,0.073270,0.091913,0.128743", \ "0.059516,0.063537,0.066845,0.072449,0.082331,0.100976,0.137808", \ "0.067675,0.071735,0.075070,0.080697,0.090603,0.109255,0.146085", \ "0.073574,0.077731,0.081122,0.086768,0.096646,0.115304,0.152118", \ "0.076563,0.080889,0.084390,0.090159,0.099953,0.118645,0.155445"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.095606,0.101526,0.106411,0.115555,0.133749,0.170219,0.243102", \ "0.096518,0.102438,0.107323,0.116466,0.134660,0.171129,0.244013", \ "0.100842,0.106762,0.111647,0.120791,0.138985,0.175451,0.248341", \ "0.109652,0.115572,0.120457,0.129602,0.147795,0.184259,0.257151", \ "0.123288,0.129231,0.134122,0.143244,0.161428,0.197878,0.270762", \ "0.140545,0.146660,0.151626,0.160771,0.178851,0.215257,0.288123", \ "0.161290,0.167599,0.172673,0.181790,0.199939,0.236306,0.309157"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004331,0.006092,0.007845,0.011351,0.018665,0.034124,0.065962", \ "0.004329,0.006092,0.007845,0.011351,0.018665,0.034124,0.065962", \ "0.004328,0.006089,0.007843,0.011349,0.018664,0.034124,0.065963", \ "0.004375,0.006127,0.007872,0.011368,0.018674,0.034126,0.065964", \ "0.004564,0.006285,0.008006,0.011464,0.018724,0.034147,0.065969", \ "0.004935,0.006596,0.008266,0.011648,0.018822,0.034173,0.065972", \ "0.005491,0.007101,0.008707,0.011979,0.019011,0.034236,0.065978"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006213,0.009238,0.012639,0.020616,0.037707,0.072284,0.141546", \ "0.006215,0.009238,0.012639,0.020619,0.037707,0.072288,0.141540", \ "0.006213,0.009239,0.012639,0.020616,0.037708,0.072304,0.141548", \ "0.006215,0.009239,0.012640,0.020620,0.037710,0.072293,0.141548", \ "0.006264,0.009284,0.012667,0.020628,0.037717,0.072296,0.141542", \ "0.006584,0.009630,0.012898,0.020712,0.037743,0.072299,0.141549", \ "0.006943,0.010044,0.013206,0.020842,0.037772,0.072314,0.141550"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045843,0.049901,0.053228,0.058849,0.068747,0.087397,0.124224", \ "0.047204,0.051263,0.054590,0.060211,0.070108,0.088759,0.125587", \ "0.052229,0.056287,0.059614,0.065235,0.075132,0.093782,0.130613", \ "0.061472,0.065537,0.068867,0.074492,0.084393,0.103045,0.139874", \ "0.070273,0.074391,0.077756,0.083430,0.093334,0.111993,0.148818", \ "0.076878,0.081111,0.084546,0.090240,0.100137,0.118802,0.155612", \ "0.080606,0.085023,0.088583,0.094415,0.104235,0.122930,0.159721"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.104421,0.110411,0.115322,0.124461,0.142639,0.179092,0.251974", \ "0.105436,0.111427,0.116338,0.125481,0.143655,0.180103,0.252993", \ "0.109803,0.115794,0.120704,0.129844,0.148018,0.184468,0.257357", \ "0.118532,0.124522,0.129433,0.138572,0.156748,0.193198,0.266084", \ "0.132299,0.138296,0.143209,0.152332,0.170492,0.206935,0.279814", \ "0.150521,0.156663,0.161641,0.170790,0.188875,0.225282,0.298144", \ "0.172159,0.178482,0.183565,0.192707,0.210866,0.247201,0.320048"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065966", \ "0.004470,0.006210,0.007947,0.011426,0.018709,0.034142,0.065966", \ "0.004466,0.006208,0.007944,0.011424,0.018708,0.034141,0.065967", \ "0.004516,0.006248,0.007976,0.011446,0.018718,0.034144,0.065966", \ "0.004757,0.006451,0.008147,0.011568,0.018785,0.034169,0.065970", \ "0.005191,0.006821,0.008462,0.011794,0.018908,0.034205,0.065976", \ "0.005784,0.007377,0.008958,0.012175,0.019130,0.034286,0.065987"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006350,0.009376,0.012728,0.020650,0.037716,0.072290,0.141542", \ "0.006348,0.009378,0.012728,0.020649,0.037725,0.072291,0.141555", \ "0.006348,0.009377,0.012727,0.020649,0.037717,0.072309,0.141555", \ "0.006350,0.009376,0.012728,0.020652,0.037721,0.072296,0.141541", \ "0.006368,0.009395,0.012740,0.020655,0.037721,0.072293,0.141540", \ "0.006645,0.009690,0.012940,0.020728,0.037740,0.072299,0.141555", \ "0.006988,0.010087,0.013237,0.020851,0.037782,0.072319,0.141557"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045832,0.049892,0.053220,0.058841,0.068738,0.087388,0.124216", \ "0.047149,0.051208,0.054535,0.060156,0.070053,0.088703,0.125531", \ "0.052114,0.056173,0.059500,0.065121,0.075018,0.093668,0.130498", \ "0.061358,0.065423,0.068754,0.074380,0.084280,0.102932,0.139761", \ "0.070267,0.074386,0.077751,0.083419,0.093319,0.111979,0.148802", \ "0.077204,0.081436,0.084870,0.090563,0.100474,0.119140,0.155949", \ "0.081614,0.086026,0.089581,0.095410,0.105262,0.123962,0.160753"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.088915,0.094620,0.099427,0.108567,0.126797,0.163282,0.236185", \ "0.090161,0.095864,0.100671,0.109811,0.128039,0.164526,0.237427", \ "0.095111,0.100816,0.105623,0.114763,0.132993,0.169479,0.242377", \ "0.104281,0.109986,0.114793,0.123933,0.142160,0.178648,0.251549", \ "0.118229,0.123956,0.128766,0.137886,0.156100,0.192576,0.265466", \ "0.135325,0.141229,0.146094,0.155228,0.173353,0.209791,0.282671", \ "0.155303,0.161404,0.166355,0.175456,0.193641,0.230008,0.302868"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065965", \ "0.004468,0.006210,0.007947,0.011425,0.018709,0.034141,0.065967", \ "0.004467,0.006208,0.007944,0.011424,0.018708,0.034141,0.065967", \ "0.004517,0.006249,0.007978,0.011446,0.018719,0.034144,0.065967", \ "0.004757,0.006451,0.008148,0.011568,0.018785,0.034169,0.065971", \ "0.005183,0.006815,0.008457,0.011791,0.018906,0.034205,0.065978", \ "0.005767,0.007360,0.008941,0.012161,0.019122,0.034282,0.065989"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005861,0.008862,0.012399,0.020527,0.037682,0.072274,0.141525", \ "0.005859,0.008862,0.012397,0.020527,0.037678,0.072271,0.141533", \ "0.005862,0.008861,0.012399,0.020525,0.037684,0.072266,0.141538", \ "0.005862,0.008862,0.012399,0.020524,0.037677,0.072279,0.141527", \ "0.005916,0.008907,0.012423,0.020535,0.037683,0.072268,0.141528", \ "0.006237,0.009228,0.012617,0.020599,0.037701,0.072282,0.141527", \ "0.006591,0.009613,0.012878,0.020699,0.037727,0.072290,0.141546"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045843,0.049901,0.053228,0.058849,0.068747,0.087397,0.124224", \ "0.047204,0.051263,0.054590,0.060211,0.070108,0.088759,0.125587", \ "0.052229,0.056287,0.059614,0.065235,0.075132,0.093782,0.130613", \ "0.061472,0.065537,0.068867,0.074492,0.084393,0.103045,0.139874", \ "0.070273,0.074391,0.077756,0.083430,0.093334,0.111993,0.148818", \ "0.076878,0.081111,0.084546,0.090240,0.100137,0.118802,0.155612", \ "0.080606,0.085023,0.088583,0.094415,0.104235,0.122930,0.159721"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.104421,0.110411,0.115322,0.124461,0.142639,0.179092,0.251974", \ "0.105436,0.111427,0.116338,0.125481,0.143655,0.180103,0.252993", \ "0.109803,0.115794,0.120704,0.129844,0.148018,0.184468,0.257357", \ "0.118532,0.124522,0.129433,0.138572,0.156748,0.193198,0.266084", \ "0.132299,0.138296,0.143209,0.152332,0.170492,0.206935,0.279814", \ "0.150521,0.156663,0.161641,0.170790,0.188875,0.225282,0.298144", \ "0.172159,0.178482,0.183565,0.192707,0.210866,0.247201,0.320048"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065966", \ "0.004470,0.006210,0.007947,0.011426,0.018709,0.034142,0.065966", \ "0.004466,0.006208,0.007944,0.011424,0.018708,0.034141,0.065967", \ "0.004516,0.006248,0.007976,0.011446,0.018718,0.034144,0.065966", \ "0.004757,0.006451,0.008147,0.011568,0.018785,0.034169,0.065970", \ "0.005191,0.006821,0.008462,0.011794,0.018908,0.034205,0.065976", \ "0.005784,0.007377,0.008958,0.012175,0.019130,0.034286,0.065987"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006350,0.009376,0.012728,0.020650,0.037716,0.072290,0.141542", \ "0.006348,0.009378,0.012728,0.020649,0.037725,0.072291,0.141555", \ "0.006348,0.009377,0.012727,0.020649,0.037717,0.072309,0.141555", \ "0.006350,0.009376,0.012728,0.020652,0.037721,0.072296,0.141541", \ "0.006368,0.009395,0.012740,0.020655,0.037721,0.072293,0.141540", \ "0.006645,0.009690,0.012940,0.020728,0.037740,0.072299,0.141555", \ "0.006988,0.010087,0.013237,0.020851,0.037782,0.072319,0.141557"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047578,0.051674,0.055025,0.060674,0.070592,0.089250,0.126078", \ "0.048940,0.053035,0.056387,0.062035,0.071953,0.090611,0.127437", \ "0.053958,0.058055,0.061407,0.067055,0.076972,0.095630,0.132456", \ "0.063375,0.067477,0.070832,0.076485,0.086406,0.105065,0.141894", \ "0.072782,0.076948,0.080344,0.086043,0.095989,0.114656,0.151477", \ "0.080029,0.084327,0.087804,0.093543,0.103475,0.122152,0.158955", \ "0.084469,0.088957,0.092568,0.098461,0.108327,0.127041,0.163828"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.113265,0.119326,0.124266,0.133404,0.151557,0.187994,0.260877", \ "0.114361,0.120421,0.125361,0.134500,0.152653,0.189093,0.261975", \ "0.118777,0.124837,0.129777,0.138911,0.157069,0.193503,0.266387", \ "0.127442,0.133504,0.138444,0.147580,0.165741,0.202175,0.275054", \ "0.141227,0.147291,0.152232,0.161353,0.179500,0.215905,0.288781", \ "0.160227,0.166403,0.171396,0.180549,0.198637,0.235011,0.307852", \ "0.182715,0.189065,0.194163,0.203288,0.221497,0.257846,0.330680"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004611,0.006334,0.008054,0.011505,0.018756,0.034161,0.065970", \ "0.004610,0.006334,0.008053,0.011505,0.018756,0.034161,0.065973", \ "0.004606,0.006332,0.008052,0.011504,0.018755,0.034161,0.065972", \ "0.004655,0.006372,0.008084,0.011527,0.018766,0.034164,0.065971", \ "0.004937,0.006610,0.008285,0.011672,0.018846,0.034194,0.065976", \ "0.005415,0.007027,0.008643,0.011935,0.018992,0.034240,0.065985", \ "0.006033,0.007616,0.009178,0.012353,0.019241,0.034335,0.066000"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006487,0.009521,0.012826,0.020686,0.037727,0.072295,0.141544", \ "0.006487,0.009521,0.012824,0.020687,0.037729,0.072301,0.141550", \ "0.006487,0.009521,0.012824,0.020686,0.037728,0.072304,0.141550", \ "0.006487,0.009522,0.012825,0.020685,0.037727,0.072297,0.141553", \ "0.006494,0.009529,0.012830,0.020687,0.037729,0.072298,0.141552", \ "0.006715,0.009767,0.012993,0.020746,0.037745,0.072310,0.141546", \ "0.007053,0.010154,0.013285,0.020869,0.037787,0.072326,0.141568"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047273,0.051291,0.054594,0.060190,0.070067,0.088710,0.125542", \ "0.048688,0.052706,0.056011,0.061606,0.071483,0.090126,0.126958", \ "0.052678,0.056695,0.060000,0.065595,0.075472,0.094115,0.130947", \ "0.059555,0.063577,0.066886,0.072485,0.082365,0.101009,0.137840", \ "0.067133,0.071177,0.074502,0.080123,0.090019,0.108668,0.145498", \ "0.073618,0.077710,0.081064,0.086714,0.096626,0.115282,0.152106", \ "0.078043,0.082220,0.085627,0.091294,0.101220,0.119869,0.156685"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.078392,0.083921,0.088688,0.097844,0.116107,0.152616,0.225518", \ "0.079753,0.085281,0.090048,0.099204,0.117469,0.153977,0.226878", \ "0.085345,0.090874,0.095641,0.104797,0.123062,0.159569,0.232472", \ "0.095643,0.101172,0.105940,0.115095,0.133357,0.169869,0.242769", \ "0.111242,0.116811,0.121580,0.130708,0.148945,0.185440,0.258341", \ "0.129678,0.135455,0.140274,0.149394,0.167520,0.203982,0.276868", \ "0.150680,0.156690,0.161595,0.170714,0.188866,0.225272,0.298138"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004327,0.006089,0.007841,0.011347,0.018663,0.034123,0.065964", \ "0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ "0.004327,0.006088,0.007841,0.011347,0.018662,0.034123,0.065963", \ "0.004358,0.006113,0.007862,0.011361,0.018669,0.034125,0.065965", \ "0.004468,0.006208,0.007943,0.011420,0.018702,0.034136,0.065966", \ "0.004663,0.006372,0.008083,0.011522,0.018760,0.034156,0.065966", \ "0.004974,0.006642,0.008315,0.011694,0.018857,0.034191,0.065975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005544,0.008575,0.012235,0.020467,0.037659,0.072258,0.141524", \ "0.005547,0.008574,0.012235,0.020470,0.037664,0.072262,0.141520", \ "0.005545,0.008575,0.012235,0.020467,0.037667,0.072254,0.141529", \ "0.005546,0.008575,0.012236,0.020467,0.037662,0.072252,0.141531", \ "0.005644,0.008649,0.012272,0.020483,0.037664,0.072259,0.141531", \ "0.006026,0.009004,0.012476,0.020550,0.037684,0.072277,0.141539", \ "0.006438,0.009436,0.012753,0.020651,0.037715,0.072288,0.141540"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047281,0.051300,0.054604,0.060199,0.070077,0.088719,0.125552", \ "0.048743,0.052761,0.056065,0.061660,0.071538,0.090181,0.127013", \ "0.052796,0.056814,0.060119,0.065714,0.075591,0.094234,0.131067", \ "0.059698,0.063719,0.067028,0.072627,0.082507,0.101151,0.137982", \ "0.067248,0.071293,0.074617,0.080241,0.090136,0.108784,0.145615", \ "0.073539,0.077629,0.080983,0.086636,0.096549,0.115205,0.152029", \ "0.077505,0.081685,0.085094,0.090753,0.100684,0.119351,0.156168"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.093753,0.099585,0.104435,0.113574,0.131781,0.168257,0.241157", \ "0.094901,0.100732,0.105581,0.114719,0.132927,0.169402,0.242297", \ "0.099982,0.105814,0.110664,0.119801,0.138008,0.174483,0.247380", \ "0.109701,0.115533,0.120383,0.129522,0.147728,0.184203,0.257104", \ "0.125072,0.130917,0.135769,0.144902,0.163070,0.199533,0.272418", \ "0.144826,0.150846,0.155761,0.164894,0.182986,0.219415,0.292297", \ "0.167741,0.173984,0.179014,0.188137,0.206301,0.242668,0.315502"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065963", \ "0.004327,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ "0.004325,0.006088,0.007841,0.011347,0.018663,0.034123,0.065962", \ "0.004358,0.006112,0.007861,0.011361,0.018669,0.034125,0.065962", \ "0.004468,0.006207,0.007943,0.011420,0.018702,0.034137,0.065966", \ "0.004663,0.006373,0.008083,0.011522,0.018759,0.034156,0.065966", \ "0.004979,0.006647,0.008318,0.011696,0.018858,0.034191,0.065975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006073,0.009085,0.012539,0.020579,0.037698,0.072284,0.141538", \ "0.006074,0.009084,0.012538,0.020578,0.037697,0.072285,0.141541", \ "0.006075,0.009086,0.012539,0.020579,0.037698,0.072286,0.141552", \ "0.006073,0.009084,0.012538,0.020580,0.037697,0.072284,0.141547", \ "0.006103,0.009110,0.012553,0.020585,0.037699,0.072294,0.141555", \ "0.006435,0.009449,0.012768,0.020660,0.037724,0.072296,0.141555", \ "0.006843,0.009911,0.013097,0.020795,0.037759,0.072320,0.141559"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049031,0.053090,0.056416,0.062036,0.071932,0.090581,0.127409", \ "0.050493,0.054551,0.057878,0.063498,0.073394,0.092043,0.128871", \ "0.054549,0.058607,0.061933,0.067553,0.077449,0.096099,0.132926", \ "0.061508,0.065572,0.068902,0.074526,0.084425,0.103076,0.139905", \ "0.069316,0.073412,0.076762,0.082410,0.092326,0.110981,0.147808", \ "0.076026,0.080178,0.083565,0.089253,0.099188,0.117852,0.154669", \ "0.080515,0.084765,0.088214,0.093922,0.103865,0.122558,0.159368"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.101342,0.107229,0.112098,0.121231,0.139425,0.175889,0.248779", \ "0.102512,0.108404,0.113272,0.122406,0.140599,0.177064,0.249956", \ "0.107619,0.113510,0.118378,0.127512,0.145705,0.182166,0.255064", \ "0.117313,0.123205,0.128073,0.137207,0.155399,0.191867,0.264757", \ "0.132788,0.138684,0.143552,0.152669,0.170846,0.207306,0.280198", \ "0.153523,0.159559,0.164480,0.173596,0.191710,0.228115,0.300984", \ "0.177422,0.183675,0.188709,0.197826,0.215992,0.252411,0.325258"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004467,0.006208,0.007944,0.011423,0.018707,0.034140,0.065968", \ "0.004466,0.006208,0.007945,0.011423,0.018707,0.034141,0.065964", \ "0.004466,0.006208,0.007945,0.011423,0.018707,0.034140,0.065968", \ "0.004497,0.006232,0.007964,0.011437,0.018714,0.034143,0.065967", \ "0.004629,0.006346,0.008062,0.011509,0.018754,0.034158,0.065969", \ "0.004853,0.006541,0.008227,0.011631,0.018824,0.034181,0.065971", \ "0.005207,0.006852,0.008498,0.011833,0.018940,0.034226,0.065982"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006188,0.009196,0.012609,0.020607,0.037710,0.072294,0.141542", \ "0.006188,0.009197,0.012609,0.020604,0.037708,0.072289,0.141539", \ "0.006186,0.009198,0.012610,0.020605,0.037707,0.072306,0.141538", \ "0.006188,0.009197,0.012609,0.020607,0.037712,0.072289,0.141540", \ "0.006199,0.009208,0.012613,0.020607,0.037706,0.072301,0.141543", \ "0.006473,0.009487,0.012793,0.020670,0.037730,0.072306,0.141555", \ "0.006872,0.009938,0.013116,0.020799,0.037761,0.072309,0.141562"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045360,0.049378,0.052684,0.058280,0.068159,0.086803,0.123631", \ "0.046739,0.050759,0.054064,0.059661,0.069540,0.088184,0.125015", \ "0.050692,0.054710,0.058016,0.063613,0.073492,0.092135,0.128966", \ "0.057409,0.061434,0.064742,0.070345,0.080228,0.098872,0.135701", \ "0.064554,0.068601,0.071926,0.077544,0.087445,0.106094,0.142923", \ "0.070365,0.074463,0.077821,0.083470,0.093392,0.112047,0.148872", \ "0.073917,0.078109,0.081525,0.087202,0.097134,0.115796,0.152610"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.087776,0.093479,0.098287,0.107427,0.125655,0.162147,0.235041", \ "0.089086,0.094789,0.099597,0.108736,0.126965,0.163451,0.236352", \ "0.094547,0.100251,0.105058,0.114198,0.132427,0.168913,0.241814", \ "0.104011,0.109716,0.114523,0.123663,0.141891,0.178377,0.251279", \ "0.118024,0.123755,0.128565,0.137684,0.155885,0.192359,0.265252", \ "0.135254,0.141155,0.146020,0.155128,0.173261,0.209712,0.282565", \ "0.155670,0.161762,0.166709,0.175783,0.193948,0.230391,0.303253"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004330,0.006092,0.007845,0.011350,0.018665,0.034124,0.065962", \ "0.004331,0.006092,0.007845,0.011350,0.018665,0.034124,0.065963", \ "0.004328,0.006090,0.007845,0.011350,0.018665,0.034124,0.065963", \ "0.004365,0.006119,0.007867,0.011365,0.018673,0.034127,0.065965", \ "0.004480,0.006216,0.007951,0.011426,0.018706,0.034139,0.065965", \ "0.004689,0.006394,0.008101,0.011535,0.018767,0.034158,0.065970", \ "0.005026,0.006687,0.008353,0.011722,0.018873,0.034197,0.065975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005861,0.008862,0.012398,0.020525,0.037677,0.072277,0.141529", \ "0.005860,0.008861,0.012397,0.020525,0.037679,0.072274,0.141524", \ "0.005859,0.008861,0.012397,0.020528,0.037682,0.072267,0.141533", \ "0.005862,0.008863,0.012399,0.020527,0.037680,0.072268,0.141523", \ "0.005921,0.008910,0.012425,0.020532,0.037685,0.072266,0.141528", \ "0.006233,0.009223,0.012616,0.020598,0.037699,0.072292,0.141540", \ "0.006577,0.009595,0.012866,0.020694,0.037725,0.072289,0.141545"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.045367,0.049387,0.052692,0.058289,0.068168,0.086812,0.123641", \ "0.046795,0.050815,0.054120,0.059717,0.069595,0.088239,0.125070", \ "0.050810,0.054829,0.058135,0.063732,0.073611,0.092254,0.129085", \ "0.057551,0.061574,0.064882,0.070485,0.080367,0.099011,0.135840", \ "0.064660,0.068707,0.072033,0.077656,0.087555,0.106205,0.143035", \ "0.070292,0.074390,0.077748,0.083401,0.093318,0.111974,0.148799", \ "0.073402,0.077596,0.081012,0.086701,0.096636,0.115296,0.152110"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.103020,0.109010,0.113921,0.123061,0.141237,0.177688,0.250572", \ "0.104116,0.110105,0.115016,0.124158,0.142331,0.178786,0.251667", \ "0.109106,0.115096,0.120007,0.129147,0.147322,0.183774,0.256657", \ "0.118207,0.124198,0.129108,0.138247,0.156424,0.192873,0.265760", \ "0.132090,0.138088,0.143001,0.152112,0.170284,0.206718,0.279591", \ "0.150387,0.156526,0.161502,0.170645,0.188751,0.225156,0.298014", \ "0.172472,0.178789,0.183868,0.192984,0.211139,0.247486,0.320326"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004331,0.006092,0.007845,0.011350,0.018665,0.034125,0.065964", \ "0.004330,0.006092,0.007844,0.011350,0.018665,0.034125,0.065963", \ "0.004328,0.006091,0.007844,0.011350,0.018665,0.034125,0.065963", \ "0.004364,0.006119,0.007866,0.011365,0.018673,0.034126,0.065966", \ "0.004477,0.006216,0.007950,0.011426,0.018706,0.034138,0.065966", \ "0.004689,0.006394,0.008101,0.011536,0.018767,0.034158,0.065970", \ "0.005029,0.006691,0.008355,0.011724,0.018874,0.034197,0.065974"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006350,0.009377,0.012728,0.020650,0.037725,0.072303,0.141541", \ "0.006348,0.009377,0.012728,0.020650,0.037719,0.072289,0.141539", \ "0.006350,0.009377,0.012728,0.020652,0.037719,0.072308,0.141541", \ "0.006350,0.009377,0.012727,0.020649,0.037721,0.072298,0.141540", \ "0.006370,0.009394,0.012741,0.020653,0.037720,0.072290,0.141543", \ "0.006640,0.009685,0.012936,0.020726,0.037737,0.072296,0.141555", \ "0.006978,0.010073,0.013225,0.020846,0.037772,0.072314,0.141560"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047136,0.051196,0.054523,0.060144,0.070041,0.088692,0.125520", \ "0.048565,0.052624,0.055951,0.061572,0.071470,0.090120,0.126951", \ "0.052583,0.056642,0.059969,0.065589,0.075487,0.094137,0.130967", \ "0.059396,0.063459,0.066790,0.072416,0.082316,0.100968,0.137795", \ "0.066788,0.070888,0.074240,0.079889,0.089806,0.108463,0.145290", \ "0.072877,0.077038,0.080430,0.086116,0.096056,0.114720,0.151539", \ "0.076547,0.080813,0.084271,0.090005,0.099970,0.118637,0.155449"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.111796,0.117857,0.122796,0.131931,0.150092,0.186524,0.259405", \ "0.112932,0.118991,0.123931,0.133069,0.151226,0.187656,0.260538", \ "0.117944,0.124005,0.128944,0.138081,0.156244,0.192675,0.265557", \ "0.127035,0.133096,0.138034,0.147171,0.165331,0.201767,0.274643", \ "0.140975,0.147039,0.151979,0.161114,0.179266,0.215679,0.288554", \ "0.160043,0.166217,0.171208,0.180373,0.198464,0.234835,0.307678", \ "0.182920,0.189265,0.194360,0.203498,0.221689,0.258063,0.330889"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004470,0.006210,0.007947,0.011425,0.018709,0.034141,0.065968", \ "0.004469,0.006210,0.007947,0.011425,0.018709,0.034142,0.065966", \ "0.004469,0.006209,0.007946,0.011425,0.018709,0.034141,0.065966", \ "0.004501,0.006237,0.007969,0.011441,0.018716,0.034144,0.065967", \ "0.004639,0.006357,0.008071,0.011515,0.018758,0.034160,0.065970", \ "0.004881,0.006564,0.008249,0.011646,0.018832,0.034184,0.065974", \ "0.005263,0.006901,0.008540,0.011865,0.018958,0.034231,0.065983"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006486,0.009520,0.012823,0.020685,0.037726,0.072294,0.141541", \ "0.006486,0.009519,0.012824,0.020685,0.037729,0.072296,0.141552", \ "0.006484,0.009522,0.012824,0.020685,0.037731,0.072297,0.141546", \ "0.006485,0.009521,0.012824,0.020686,0.037731,0.072295,0.141555", \ "0.006492,0.009526,0.012828,0.020686,0.037729,0.072295,0.141553", \ "0.006710,0.009761,0.012987,0.020744,0.037741,0.072300,0.141559", \ "0.007044,0.010142,0.013276,0.020865,0.037777,0.072314,0.141568"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047128,0.051187,0.054514,0.060135,0.070032,0.088682,0.125513", \ "0.048511,0.052569,0.055896,0.061517,0.071415,0.090065,0.126892", \ "0.052464,0.056523,0.059850,0.065470,0.075368,0.094018,0.130846", \ "0.059255,0.063319,0.066650,0.072276,0.082177,0.100828,0.137656", \ "0.066676,0.070775,0.074128,0.079776,0.089698,0.108355,0.145181", \ "0.072945,0.077106,0.080498,0.086184,0.096126,0.114790,0.151609", \ "0.077045,0.081310,0.084768,0.090490,0.100449,0.119122,0.155932"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.094978,0.100739,0.105561,0.114696,0.132906,0.169382,0.242275", \ "0.096314,0.102074,0.106896,0.116030,0.134240,0.170716,0.243608", \ "0.101799,0.107560,0.112381,0.121514,0.139726,0.176205,0.249097", \ "0.111255,0.117016,0.121837,0.130969,0.149182,0.185658,0.258549", \ "0.125464,0.131236,0.136058,0.145170,0.163370,0.199837,0.272725", \ "0.143560,0.149485,0.154356,0.163464,0.181601,0.218019,0.290893", \ "0.164747,0.170857,0.175811,0.184912,0.203122,0.239501,0.312329"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004468,0.006211,0.007947,0.011425,0.018709,0.034141,0.065967", \ "0.004467,0.006210,0.007947,0.011425,0.018709,0.034142,0.065968", \ "0.004468,0.006209,0.007946,0.011425,0.018708,0.034141,0.065968", \ "0.004502,0.006238,0.007969,0.011441,0.018717,0.034143,0.065966", \ "0.004641,0.006356,0.008071,0.011515,0.018758,0.034158,0.065971", \ "0.004882,0.006564,0.008248,0.011647,0.018832,0.034184,0.065975", \ "0.005259,0.006897,0.008537,0.011863,0.018957,0.034231,0.065984"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005974,0.008967,0.012459,0.020544,0.037689,0.072271,0.141529", \ "0.005972,0.008967,0.012458,0.020545,0.037685,0.072285,0.141529", \ "0.005973,0.008967,0.012458,0.020546,0.037685,0.072272,0.141526", \ "0.005972,0.008966,0.012459,0.020548,0.037689,0.072271,0.141527", \ "0.005996,0.008985,0.012472,0.020548,0.037689,0.072273,0.141528", \ "0.006283,0.009271,0.012644,0.020611,0.037705,0.072279,0.141540", \ "0.006618,0.009636,0.012891,0.020703,0.037730,0.072291,0.141542"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047136,0.051196,0.054523,0.060144,0.070041,0.088692,0.125520", \ "0.048565,0.052624,0.055951,0.061572,0.071470,0.090120,0.126951", \ "0.052583,0.056642,0.059969,0.065589,0.075487,0.094137,0.130967", \ "0.059396,0.063459,0.066790,0.072416,0.082316,0.100968,0.137795", \ "0.066788,0.070888,0.074240,0.079889,0.089806,0.108463,0.145290", \ "0.072877,0.077038,0.080430,0.086116,0.096056,0.114720,0.151539", \ "0.076547,0.080813,0.084271,0.090005,0.099970,0.118637,0.155449"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.111796,0.117857,0.122796,0.131931,0.150092,0.186524,0.259405", \ "0.112932,0.118991,0.123931,0.133069,0.151226,0.187656,0.260538", \ "0.117944,0.124005,0.128944,0.138081,0.156244,0.192675,0.265557", \ "0.127035,0.133096,0.138034,0.147171,0.165331,0.201767,0.274643", \ "0.140975,0.147039,0.151979,0.161114,0.179266,0.215679,0.288554", \ "0.160043,0.166217,0.171208,0.180373,0.198464,0.234835,0.307678", \ "0.182920,0.189265,0.194360,0.203498,0.221689,0.258063,0.330889"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004470,0.006210,0.007947,0.011425,0.018709,0.034141,0.065968", \ "0.004469,0.006210,0.007947,0.011425,0.018709,0.034142,0.065966", \ "0.004469,0.006209,0.007946,0.011425,0.018709,0.034141,0.065966", \ "0.004501,0.006237,0.007969,0.011441,0.018716,0.034144,0.065967", \ "0.004639,0.006357,0.008071,0.011515,0.018758,0.034160,0.065970", \ "0.004881,0.006564,0.008249,0.011646,0.018832,0.034184,0.065974", \ "0.005263,0.006901,0.008540,0.011865,0.018958,0.034231,0.065983"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006486,0.009520,0.012823,0.020685,0.037726,0.072294,0.141541", \ "0.006486,0.009519,0.012824,0.020685,0.037729,0.072296,0.141552", \ "0.006484,0.009522,0.012824,0.020685,0.037731,0.072297,0.141546", \ "0.006485,0.009521,0.012824,0.020686,0.037731,0.072295,0.141555", \ "0.006492,0.009526,0.012828,0.020686,0.037729,0.072295,0.141553", \ "0.006710,0.009761,0.012987,0.020744,0.037741,0.072300,0.141559", \ "0.007044,0.010142,0.013276,0.020865,0.037777,0.072314,0.141568"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048872,0.052968,0.056320,0.061968,0.071886,0.090543,0.127369", \ "0.050301,0.054397,0.057748,0.063397,0.073315,0.091973,0.128798", \ "0.054318,0.058415,0.061766,0.067415,0.077332,0.095991,0.132816", \ "0.061198,0.065298,0.068653,0.074308,0.084228,0.102888,0.139715", \ "0.068866,0.073006,0.076387,0.082062,0.092005,0.110670,0.147492", \ "0.075382,0.079590,0.083015,0.088738,0.098707,0.117381,0.154197", \ "0.079585,0.083908,0.087407,0.093175,0.103166,0.121872,0.158679"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.120612,0.126744,0.131716,0.140853,0.158988,0.195409,0.268280", \ "0.121770,0.127902,0.132874,0.142003,0.160147,0.196562,0.269434", \ "0.126813,0.132938,0.137917,0.147055,0.165188,0.201615,0.274479", \ "0.135865,0.141999,0.146971,0.156107,0.174246,0.210668,0.283538", \ "0.149819,0.155953,0.160926,0.170036,0.188179,0.224593,0.297461", \ "0.169508,0.175721,0.180729,0.189849,0.207996,0.244346,0.317193", \ "0.193130,0.199511,0.204625,0.213767,0.232026,0.268366,0.341196"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004610,0.006334,0.008054,0.011506,0.018756,0.034162,0.065972", \ "0.004609,0.006333,0.008053,0.011506,0.018756,0.034161,0.065972", \ "0.004609,0.006334,0.008053,0.011505,0.018756,0.034162,0.065972", \ "0.004642,0.006361,0.008076,0.011521,0.018764,0.034164,0.065971", \ "0.004797,0.006495,0.008191,0.011606,0.018813,0.034182,0.065973", \ "0.005066,0.006729,0.008393,0.011756,0.018898,0.034213,0.065978", \ "0.005472,0.007096,0.008714,0.012000,0.019039,0.034268,0.065992"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006627,0.009671,0.012927,0.020729,0.037746,0.072313,0.141558", \ "0.006627,0.009672,0.012929,0.020728,0.037739,0.072319,0.141547", \ "0.006627,0.009673,0.012928,0.020726,0.037738,0.072298,0.141558", \ "0.006628,0.009671,0.012927,0.020726,0.037739,0.072318,0.141554", \ "0.006630,0.009673,0.012929,0.020726,0.037738,0.072304,0.141561", \ "0.006800,0.009843,0.013044,0.020766,0.037753,0.072304,0.141559", \ "0.007121,0.010225,0.013336,0.020891,0.037784,0.072316,0.141570"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050671,0.054739,0.058078,0.063714,0.073623,0.092279,0.129109", \ "0.051927,0.055995,0.059334,0.064970,0.074878,0.093534,0.130363", \ "0.056840,0.060906,0.064244,0.069880,0.079788,0.098444,0.135275", \ "0.066871,0.070935,0.074269,0.079898,0.089802,0.108456,0.145287", \ "0.077870,0.081952,0.085302,0.090925,0.100844,0.119507,0.156338", \ "0.087074,0.091227,0.094617,0.100262,0.110062,0.128727,0.165545", \ "0.094000,0.098285,0.101759,0.107499,0.117121,0.135776,0.172584"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083317,0.088841,0.093611,0.102773,0.121044,0.157556,0.230463", \ "0.084797,0.090320,0.095090,0.104253,0.122523,0.159037,0.231944", \ "0.090339,0.095863,0.100634,0.109795,0.128065,0.164580,0.237481", \ "0.100288,0.105813,0.110584,0.119746,0.138014,0.174529,0.247436", \ "0.113990,0.119549,0.124321,0.133466,0.151709,0.188218,0.261121", \ "0.128522,0.134268,0.139085,0.148196,0.166360,0.202835,0.275728", \ "0.143904,0.149869,0.154764,0.163878,0.181951,0.218372,0.291255"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004504,0.006246,0.007982,0.011457,0.018731,0.034154,0.065972", \ "0.004503,0.006246,0.007982,0.011456,0.018731,0.034153,0.065975", \ "0.004497,0.006242,0.007979,0.011454,0.018729,0.034154,0.065973", \ "0.004482,0.006228,0.007967,0.011445,0.018723,0.034150,0.065973", \ "0.004624,0.006345,0.008063,0.011512,0.018760,0.034163,0.065972", \ "0.004912,0.006584,0.008260,0.011650,0.018831,0.034183,0.065978", \ "0.005367,0.006984,0.008605,0.011904,0.018972,0.034228,0.065982"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005519,0.008560,0.012230,0.020466,0.037661,0.072261,0.141527", \ "0.005523,0.008560,0.012229,0.020466,0.037665,0.072267,0.141526", \ "0.005519,0.008561,0.012229,0.020470,0.037662,0.072279,0.141529", \ "0.005522,0.008561,0.012229,0.020466,0.037660,0.072256,0.141534", \ "0.005604,0.008623,0.012260,0.020476,0.037664,0.072262,0.141536", \ "0.005941,0.008938,0.012441,0.020539,0.037689,0.072279,0.141534", \ "0.006328,0.009340,0.012696,0.020634,0.037723,0.072296,0.141543"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049418,0.053480,0.056815,0.062447,0.072353,0.091007,0.127837", \ "0.050649,0.054712,0.058048,0.063679,0.073584,0.092239,0.129068", \ "0.055572,0.059632,0.062967,0.068597,0.078502,0.097156,0.133986", \ "0.065518,0.069577,0.072907,0.078532,0.088433,0.107087,0.143916", \ "0.076014,0.080095,0.083443,0.089102,0.098993,0.117654,0.154487", \ "0.084615,0.088769,0.092162,0.097807,0.107615,0.126280,0.163097", \ "0.090754,0.095046,0.098525,0.104274,0.113939,0.132589,0.169397"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.095821,0.101596,0.106430,0.115576,0.133801,0.170290,0.243184", \ "0.097193,0.102968,0.107802,0.116946,0.135169,0.171655,0.244553", \ "0.102363,0.108138,0.112972,0.122118,0.140341,0.176827,0.249727", \ "0.111176,0.116953,0.121786,0.130932,0.149156,0.185643,0.258538", \ "0.123123,0.128915,0.133752,0.142889,0.161098,0.197581,0.270479", \ "0.136341,0.142287,0.147177,0.156287,0.174398,0.210857,0.283734", \ "0.150840,0.156970,0.161945,0.171086,0.189077,0.225474,0.298348"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004483,0.006228,0.007966,0.011444,0.018724,0.034151,0.065973", \ "0.004480,0.006227,0.007965,0.011444,0.018724,0.034150,0.065971", \ "0.004474,0.006221,0.007961,0.011440,0.018722,0.034149,0.065971", \ "0.004466,0.006212,0.007951,0.011433,0.018716,0.034148,0.065970", \ "0.004618,0.006338,0.008056,0.011506,0.018755,0.034161,0.065973", \ "0.004922,0.006589,0.008266,0.011654,0.018832,0.034182,0.065976", \ "0.005396,0.007013,0.008631,0.011923,0.018982,0.034231,0.065981"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005964,0.008977,0.012474,0.020558,0.037699,0.072288,0.141551", \ "0.005961,0.008978,0.012474,0.020557,0.037692,0.072282,0.141549", \ "0.005963,0.008977,0.012473,0.020557,0.037690,0.072282,0.141545", \ "0.005964,0.008978,0.012474,0.020556,0.037695,0.072291,0.141551", \ "0.006000,0.009008,0.012491,0.020561,0.037692,0.072278,0.141544", \ "0.006277,0.009297,0.012674,0.020627,0.037713,0.072285,0.141546", \ "0.006605,0.009661,0.012923,0.020728,0.037743,0.072320,0.141560"); } } timing () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051267,0.055372,0.058728,0.064383,0.074306,0.092967,0.129797", \ "0.052499,0.056604,0.059960,0.065614,0.075537,0.094199,0.131028", \ "0.057414,0.061516,0.064871,0.070525,0.080448,0.099109,0.135935", \ "0.067430,0.071528,0.074880,0.080529,0.090449,0.109109,0.145939", \ "0.078497,0.082632,0.086008,0.091696,0.101614,0.120279,0.157103", \ "0.087729,0.091955,0.095388,0.101076,0.110918,0.129587,0.166400", \ "0.094521,0.098903,0.102436,0.108242,0.117962,0.136596,0.173395"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.103403,0.109235,0.114084,0.123226,0.141430,0.177906,0.250807", \ "0.104866,0.110698,0.115548,0.124686,0.142891,0.179366,0.252265", \ "0.110073,0.115905,0.120755,0.129894,0.148101,0.184577,0.257471", \ "0.118832,0.124664,0.129514,0.138652,0.156856,0.193332,0.266232", \ "0.130864,0.136703,0.141553,0.150691,0.168883,0.205356,0.278246", \ "0.144897,0.150869,0.155768,0.164871,0.182973,0.219418,0.292298", \ "0.160169,0.166316,0.171297,0.180440,0.198472,0.234859,0.307729"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004622,0.006349,0.008069,0.011521,0.018768,0.034169,0.065977", \ "0.004620,0.006348,0.008069,0.011520,0.018768,0.034168,0.065978", \ "0.004615,0.006342,0.008063,0.011517,0.018766,0.034168,0.065978", \ "0.004606,0.006334,0.008056,0.011510,0.018761,0.034165,0.065975", \ "0.004793,0.006490,0.008187,0.011602,0.018811,0.034182,0.065977", \ "0.005153,0.006795,0.008444,0.011786,0.018909,0.034211,0.065981", \ "0.005671,0.007268,0.008859,0.012100,0.019089,0.034275,0.065991"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006074,0.009084,0.012538,0.020580,0.037698,0.072284,0.141537", \ "0.006075,0.009084,0.012538,0.020582,0.037697,0.072287,0.141544", \ "0.006075,0.009085,0.012538,0.020583,0.037697,0.072284,0.141546", \ "0.006072,0.009086,0.012540,0.020579,0.037698,0.072284,0.141536", \ "0.006089,0.009098,0.012546,0.020584,0.037701,0.072285,0.141549", \ "0.006337,0.009351,0.012707,0.020640,0.037715,0.072290,0.141552", \ "0.006647,0.009703,0.012951,0.020739,0.037748,0.072310,0.141560"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047302,0.051390,0.054744,0.060396,0.070317,0.088978,0.125809", \ "0.048582,0.052668,0.056020,0.061673,0.071593,0.090255,0.127084", \ "0.053665,0.057748,0.061098,0.066747,0.076664,0.095324,0.132155", \ "0.063738,0.067807,0.071148,0.076789,0.086701,0.105360,0.142196", \ "0.074015,0.078113,0.081472,0.087143,0.097042,0.115708,0.152537", \ "0.082425,0.086603,0.090009,0.095671,0.105496,0.124163,0.160981", \ "0.088388,0.092715,0.096215,0.101991,0.111671,0.130357,0.167159"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.091965,0.097642,0.102446,0.111594,0.129834,0.166332,0.239226", \ "0.093365,0.099041,0.103845,0.112994,0.131231,0.167728,0.240627", \ "0.098749,0.104426,0.109230,0.118378,0.136618,0.173115,0.246014", \ "0.108468,0.114145,0.118949,0.128096,0.146334,0.182829,0.255730", \ "0.121514,0.127213,0.132020,0.141155,0.159375,0.195860,0.268760", \ "0.135488,0.141348,0.146203,0.155312,0.173448,0.209911,0.282795", \ "0.150374,0.156424,0.161357,0.170486,0.188533,0.224946,0.297801"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004558,0.006299,0.008030,0.011497,0.018759,0.034167,0.065980", \ "0.004553,0.006296,0.008029,0.011496,0.018757,0.034167,0.065981", \ "0.004534,0.006279,0.008014,0.011484,0.018751,0.034164,0.065980", \ "0.004515,0.006258,0.007994,0.011467,0.018739,0.034159,0.065975", \ "0.004672,0.006388,0.008100,0.011541,0.018777,0.034172,0.065978", \ "0.004998,0.006659,0.008325,0.011699,0.018858,0.034193,0.065981", \ "0.005498,0.007107,0.008714,0.011987,0.019019,0.034245,0.065986"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005797,0.008809,0.012369,0.020514,0.037675,0.072268,0.141539", \ "0.005797,0.008809,0.012369,0.020514,0.037675,0.072275,0.141533", \ "0.005797,0.008809,0.012370,0.020515,0.037678,0.072270,0.141526", \ "0.005799,0.008809,0.012370,0.020518,0.037674,0.072275,0.141530", \ "0.005845,0.008851,0.012392,0.020524,0.037680,0.072267,0.141534", \ "0.006134,0.009135,0.012565,0.020582,0.037695,0.072285,0.141529", \ "0.006474,0.009499,0.012806,0.020676,0.037727,0.072304,0.141554"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046419,0.050497,0.053842,0.059486,0.069401,0.088060,0.124891", \ "0.047678,0.051755,0.055100,0.060744,0.070659,0.089317,0.126149", \ "0.052757,0.056831,0.060172,0.065813,0.075724,0.094383,0.131215", \ "0.062656,0.066718,0.070053,0.075687,0.085594,0.104251,0.141084", \ "0.072467,0.076559,0.079914,0.085564,0.095491,0.114154,0.150988", \ "0.080268,0.084445,0.087849,0.093511,0.103348,0.122012,0.158829", \ "0.085461,0.089794,0.093299,0.099080,0.108788,0.127453,0.164254"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.103926,0.109846,0.114731,0.123875,0.142073,0.178535,0.251429", \ "0.105215,0.111134,0.116019,0.125163,0.143358,0.179825,0.252717", \ "0.110230,0.116150,0.121035,0.130178,0.148373,0.184840,0.257730", \ "0.118878,0.124798,0.129683,0.138827,0.157023,0.193485,0.266381", \ "0.130328,0.136257,0.141144,0.150278,0.168462,0.204923,0.277810", \ "0.143049,0.149111,0.154053,0.163166,0.181256,0.217682,0.290563", \ "0.157042,0.163264,0.168290,0.177443,0.195419,0.231799,0.304663"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004522,0.006267,0.008003,0.011475,0.018745,0.034161,0.065978", \ "0.004518,0.006263,0.007999,0.011473,0.018744,0.034160,0.065977", \ "0.004500,0.006247,0.007985,0.011462,0.018738,0.034159,0.065976", \ "0.004491,0.006235,0.007973,0.011451,0.018728,0.034154,0.065974", \ "0.004658,0.006373,0.008087,0.011530,0.018769,0.034167,0.065974", \ "0.004998,0.006658,0.008323,0.011696,0.018856,0.034190,0.065979", \ "0.005519,0.007126,0.008730,0.011999,0.019026,0.034246,0.065986"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006214,0.009239,0.012639,0.020619,0.037716,0.072302,0.141542", \ "0.006213,0.009239,0.012639,0.020618,0.037711,0.072289,0.141550", \ "0.006215,0.009237,0.012638,0.020620,0.037712,0.072301,0.141554", \ "0.006213,0.009239,0.012639,0.020617,0.037713,0.072295,0.141539", \ "0.006235,0.009259,0.012652,0.020620,0.037707,0.072289,0.141544", \ "0.006478,0.009515,0.012822,0.020684,0.037730,0.072293,0.141551", \ "0.006768,0.009850,0.013063,0.020784,0.037763,0.072317,0.141557"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048315,0.052434,0.055800,0.061466,0.071398,0.090064,0.126892", \ "0.049572,0.053689,0.057055,0.062720,0.072652,0.091318,0.128147", \ "0.054632,0.058744,0.062107,0.067769,0.077699,0.096363,0.133192", \ "0.064655,0.068758,0.072115,0.077771,0.087695,0.106358,0.143186", \ "0.075086,0.079232,0.082616,0.088300,0.098245,0.116913,0.153740", \ "0.083556,0.087805,0.091252,0.096957,0.106824,0.125496,0.162306", \ "0.089452,0.093871,0.097430,0.103269,0.112980,0.131700,0.168493"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.112743,0.118730,0.123641,0.132781,0.150956,0.187408,0.260292", \ "0.114132,0.120122,0.125033,0.134177,0.152349,0.188802,0.261686", \ "0.119188,0.125178,0.130089,0.139229,0.157404,0.193855,0.266740", \ "0.127771,0.133761,0.138672,0.147811,0.165990,0.202440,0.275324", \ "0.139237,0.145229,0.150150,0.159278,0.177444,0.213897,0.286768", \ "0.152675,0.158777,0.163735,0.172844,0.190921,0.227340,0.300219", \ "0.167325,0.173578,0.178620,0.187785,0.205784,0.242165,0.315007"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004662,0.006387,0.008104,0.011550,0.018789,0.034179,0.065982", \ "0.004657,0.006384,0.008102,0.011549,0.018787,0.034178,0.065979", \ "0.004639,0.006367,0.008088,0.011538,0.018780,0.034176,0.065977", \ "0.004630,0.006357,0.008077,0.011527,0.018773,0.034171,0.065979", \ "0.004840,0.006531,0.008221,0.011629,0.018826,0.034189,0.065981", \ "0.005238,0.006868,0.008507,0.011833,0.018935,0.034221,0.065986", \ "0.005793,0.007385,0.008963,0.012182,0.019136,0.034292,0.065996"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006348,0.009376,0.012729,0.020650,0.037723,0.072302,0.141540", \ "0.006349,0.009378,0.012728,0.020649,0.037717,0.072292,0.141539", \ "0.006350,0.009376,0.012729,0.020649,0.037716,0.072299,0.141541", \ "0.006349,0.009376,0.012729,0.020650,0.037721,0.072298,0.141540", \ "0.006358,0.009384,0.012734,0.020651,0.037721,0.072300,0.141548", \ "0.006561,0.009604,0.012879,0.020708,0.037731,0.072308,0.141547", \ "0.006839,0.009924,0.013117,0.020805,0.037763,0.072310,0.141551"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049217,0.053346,0.056720,0.062393,0.072331,0.090998,0.127828", \ "0.050493,0.054621,0.057994,0.063667,0.073605,0.092274,0.129100", \ "0.055549,0.059671,0.063042,0.068712,0.078647,0.097313,0.134141", \ "0.065711,0.069823,0.073185,0.078847,0.088776,0.107441,0.144269", \ "0.076616,0.080766,0.084153,0.089825,0.099757,0.118427,0.155250", \ "0.085682,0.089930,0.093377,0.099081,0.108931,0.127604,0.164415", \ "0.092323,0.096736,0.100289,0.106121,0.115825,0.134518,0.171313"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.099221,0.104953,0.109769,0.118909,0.137134,0.173619,0.246515", \ "0.100705,0.106438,0.111253,0.120393,0.138617,0.175104,0.248001", \ "0.106122,0.111855,0.116671,0.125810,0.144033,0.180517,0.253414", \ "0.115779,0.121511,0.126327,0.135467,0.153688,0.190176,0.263072", \ "0.128950,0.134692,0.139509,0.148642,0.166845,0.203326,0.276222", \ "0.143773,0.149656,0.154517,0.163621,0.181738,0.218194,0.291081", \ "0.159468,0.165533,0.170471,0.179604,0.197648,0.234057,0.306910"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004696,0.006418,0.008132,0.011572,0.018802,0.034184,0.065980", \ "0.004693,0.006416,0.008130,0.011571,0.018801,0.034185,0.065983", \ "0.004676,0.006400,0.008116,0.011560,0.018794,0.034182,0.065980", \ "0.004654,0.006380,0.008098,0.011543,0.018783,0.034176,0.065979", \ "0.004853,0.006542,0.008233,0.011639,0.018833,0.034192,0.065982", \ "0.005232,0.006864,0.008505,0.011833,0.018936,0.034223,0.065985", \ "0.005769,0.007360,0.008941,0.012165,0.019127,0.034290,0.065995"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005907,0.008907,0.012426,0.020537,0.037687,0.072268,0.141531", \ "0.005907,0.008908,0.012427,0.020537,0.037684,0.072273,0.141543", \ "0.005907,0.008908,0.012427,0.020537,0.037682,0.072280,0.141536", \ "0.005905,0.008909,0.012427,0.020535,0.037680,0.072277,0.141544", \ "0.005930,0.008929,0.012439,0.020541,0.037682,0.072268,0.141537", \ "0.006190,0.009184,0.012593,0.020593,0.037699,0.072291,0.141545", \ "0.006511,0.009534,0.012827,0.020683,0.037724,0.072310,0.141553"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048315,0.052434,0.055800,0.061466,0.071398,0.090064,0.126892", \ "0.049572,0.053689,0.057055,0.062720,0.072652,0.091318,0.128147", \ "0.054632,0.058744,0.062107,0.067769,0.077699,0.096363,0.133192", \ "0.064655,0.068758,0.072115,0.077771,0.087695,0.106358,0.143186", \ "0.075086,0.079232,0.082616,0.088300,0.098245,0.116913,0.153740", \ "0.083556,0.087805,0.091252,0.096957,0.106824,0.125496,0.162306", \ "0.089452,0.093871,0.097430,0.103269,0.112980,0.131700,0.168493"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.112743,0.118730,0.123641,0.132781,0.150956,0.187408,0.260292", \ "0.114132,0.120122,0.125033,0.134177,0.152349,0.188802,0.261686", \ "0.119188,0.125178,0.130089,0.139229,0.157404,0.193855,0.266740", \ "0.127771,0.133761,0.138672,0.147811,0.165990,0.202440,0.275324", \ "0.139237,0.145229,0.150150,0.159278,0.177444,0.213897,0.286768", \ "0.152675,0.158777,0.163735,0.172844,0.190921,0.227340,0.300219", \ "0.167325,0.173578,0.178620,0.187785,0.205784,0.242165,0.315007"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004662,0.006387,0.008104,0.011550,0.018789,0.034179,0.065982", \ "0.004657,0.006384,0.008102,0.011549,0.018787,0.034178,0.065979", \ "0.004639,0.006367,0.008088,0.011538,0.018780,0.034176,0.065977", \ "0.004630,0.006357,0.008077,0.011527,0.018773,0.034171,0.065979", \ "0.004840,0.006531,0.008221,0.011629,0.018826,0.034189,0.065981", \ "0.005238,0.006868,0.008507,0.011833,0.018935,0.034221,0.065986", \ "0.005793,0.007385,0.008963,0.012182,0.019136,0.034292,0.065996"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006348,0.009376,0.012729,0.020650,0.037723,0.072302,0.141540", \ "0.006349,0.009378,0.012728,0.020649,0.037717,0.072292,0.141539", \ "0.006350,0.009376,0.012729,0.020649,0.037716,0.072299,0.141541", \ "0.006349,0.009376,0.012729,0.020650,0.037721,0.072298,0.141540", \ "0.006358,0.009384,0.012734,0.020651,0.037721,0.072300,0.141548", \ "0.006561,0.009604,0.012879,0.020708,0.037731,0.072308,0.141547", \ "0.006839,0.009924,0.013117,0.020805,0.037763,0.072310,0.141551"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050173,0.054327,0.057718,0.063411,0.073362,0.092034,0.128860", \ "0.051428,0.055580,0.058970,0.064662,0.074613,0.093285,0.130114", \ "0.056460,0.060608,0.063996,0.069685,0.079634,0.098305,0.135134", \ "0.066566,0.070710,0.074091,0.079774,0.089718,0.108387,0.145215", \ "0.077583,0.081775,0.085189,0.090901,0.100868,0.119544,0.156363", \ "0.086690,0.090998,0.094484,0.100233,0.110117,0.128799,0.165605", \ "0.093258,0.097745,0.101351,0.107248,0.117045,0.135739,0.172529"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.121545,0.127604,0.132544,0.141681,0.159837,0.196279,0.269156", \ "0.123018,0.129078,0.134017,0.143154,0.161315,0.197747,0.270626", \ "0.128122,0.134182,0.139122,0.148258,0.166420,0.202854,0.275731", \ "0.136649,0.142708,0.147648,0.156784,0.174936,0.211374,0.284251", \ "0.148078,0.154139,0.159079,0.168212,0.186363,0.222795,0.295681", \ "0.162082,0.168227,0.173204,0.182309,0.200376,0.236785,0.309658", \ "0.177416,0.183708,0.188770,0.197943,0.215955,0.252307,0.325135"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004803,0.006510,0.008212,0.011631,0.018836,0.034198,0.065983", \ "0.004799,0.006507,0.008210,0.011629,0.018834,0.034197,0.065985", \ "0.004782,0.006491,0.008196,0.011619,0.018829,0.034195,0.065984", \ "0.004774,0.006482,0.008185,0.011609,0.018821,0.034190,0.065984", \ "0.005013,0.006683,0.008354,0.011729,0.018885,0.034213,0.065984", \ "0.005450,0.007062,0.008679,0.011966,0.019015,0.034254,0.065993", \ "0.006028,0.007607,0.009171,0.012348,0.019239,0.034338,0.066005"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006486,0.009521,0.012824,0.020685,0.037726,0.072296,0.141550", \ "0.006486,0.009521,0.012824,0.020685,0.037730,0.072300,0.141551", \ "0.006485,0.009519,0.012824,0.020685,0.037729,0.072310,0.141545", \ "0.006487,0.009519,0.012824,0.020685,0.037726,0.072294,0.141557", \ "0.006490,0.009522,0.012826,0.020686,0.037726,0.072295,0.141552", \ "0.006650,0.009696,0.012943,0.020731,0.037737,0.072317,0.141542", \ "0.006925,0.010012,0.013180,0.020829,0.037769,0.072313,0.141557"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051960,0.056027,0.059365,0.065003,0.074911,0.093567,0.130399", \ "0.053280,0.057349,0.060688,0.066325,0.076234,0.094889,0.131722", \ "0.057245,0.061313,0.064652,0.070288,0.080197,0.098852,0.135683", \ "0.064568,0.068635,0.071973,0.077608,0.087516,0.106171,0.142999", \ "0.073389,0.077469,0.080819,0.086464,0.096383,0.115043,0.151870", \ "0.081548,0.085662,0.089034,0.094707,0.104636,0.123301,0.160125", \ "0.088055,0.092241,0.095656,0.101327,0.111170,0.129844,0.166661"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.088393,0.093959,0.098734,0.107888,0.126145,0.162653,0.235558", \ "0.089997,0.095563,0.100339,0.109493,0.127751,0.164259,0.237165", \ "0.096000,0.101567,0.106343,0.115497,0.133753,0.170262,0.243166", \ "0.106175,0.111743,0.116518,0.125671,0.143929,0.180437,0.253340", \ "0.120203,0.125788,0.130566,0.139699,0.157946,0.194447,0.267347", \ "0.135692,0.141448,0.146265,0.155380,0.173542,0.210021,0.282906", \ "0.152126,0.158088,0.162979,0.172098,0.190173,0.226564,0.299440"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004501,0.006246,0.007982,0.011457,0.018731,0.034154,0.065973", \ "0.004503,0.006245,0.007982,0.011457,0.018731,0.034153,0.065971", \ "0.004501,0.006244,0.007980,0.011455,0.018731,0.034153,0.065973", \ "0.004500,0.006243,0.007979,0.011454,0.018729,0.034153,0.065975", \ "0.004583,0.006314,0.008039,0.011497,0.018752,0.034161,0.065976", \ "0.004739,0.006445,0.008150,0.011578,0.018797,0.034175,0.065975", \ "0.004998,0.006668,0.008342,0.011719,0.018877,0.034204,0.065981"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005606,0.008633,0.012269,0.020479,0.037667,0.072261,0.141529", \ "0.005606,0.008632,0.012269,0.020481,0.037669,0.072273,0.141530", \ "0.005610,0.008634,0.012269,0.020479,0.037665,0.072273,0.141540", \ "0.005609,0.008634,0.012269,0.020482,0.037669,0.072258,0.141540", \ "0.005651,0.008668,0.012286,0.020484,0.037674,0.072264,0.141540", \ "0.005968,0.008958,0.012453,0.020542,0.037689,0.072285,0.141541", \ "0.006332,0.009337,0.012693,0.020634,0.037715,0.072290,0.141542"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050710,0.054772,0.058107,0.063739,0.073644,0.092299,0.129129", \ "0.052004,0.056066,0.059401,0.065032,0.074938,0.093592,0.130423", \ "0.055952,0.060014,0.063348,0.068980,0.078884,0.097539,0.134370", \ "0.063224,0.067285,0.070619,0.076250,0.086154,0.104808,0.141640", \ "0.071789,0.075864,0.079210,0.084854,0.094773,0.113430,0.150260", \ "0.079481,0.083595,0.086966,0.092642,0.102567,0.121232,0.158056", \ "0.085319,0.089506,0.092922,0.098593,0.108456,0.127127,0.163945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.102199,0.108033,0.112883,0.122022,0.140229,0.176704,0.249604", \ "0.103734,0.109567,0.114417,0.123554,0.141761,0.178237,0.251138", \ "0.109486,0.115318,0.120168,0.129305,0.147512,0.183987,0.256886", \ "0.118579,0.124411,0.129261,0.138401,0.156609,0.193084,0.265981", \ "0.130731,0.136570,0.141421,0.150551,0.168741,0.205211,0.278108", \ "0.144767,0.150738,0.155636,0.164740,0.182824,0.219270,0.292148", \ "0.160165,0.166309,0.171289,0.180423,0.198397,0.234811,0.307679"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004481,0.006227,0.007966,0.011445,0.018724,0.034151,0.065971", \ "0.004479,0.006227,0.007965,0.011444,0.018724,0.034150,0.065972", \ "0.004476,0.006224,0.007963,0.011442,0.018723,0.034150,0.065971", \ "0.004479,0.006225,0.007963,0.011442,0.018721,0.034149,0.065974", \ "0.004567,0.006299,0.008025,0.011487,0.018746,0.034158,0.065975", \ "0.004734,0.006439,0.008144,0.011572,0.018794,0.034173,0.065974", \ "0.005005,0.006676,0.008347,0.011722,0.018878,0.034203,0.065982"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006076,0.009085,0.012540,0.020580,0.037697,0.072285,0.141543", \ "0.006076,0.009086,0.012540,0.020579,0.037699,0.072286,0.141539", \ "0.006075,0.009087,0.012541,0.020583,0.037698,0.072286,0.141547", \ "0.006076,0.009086,0.012540,0.020581,0.037699,0.072286,0.141537", \ "0.006090,0.009100,0.012549,0.020582,0.037701,0.072289,0.141543", \ "0.006335,0.009349,0.012704,0.020639,0.037722,0.072303,0.141541", \ "0.006641,0.009694,0.012948,0.020735,0.037752,0.072319,0.141555"); } } timing () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.052560,0.056663,0.060020,0.065674,0.075598,0.094259,0.131088", \ "0.053853,0.057956,0.061313,0.066968,0.076891,0.095553,0.132381", \ "0.057800,0.061903,0.065259,0.070913,0.080836,0.099497,0.136327", \ "0.065099,0.069201,0.072555,0.078210,0.088132,0.106793,0.143620", \ "0.073885,0.078010,0.081382,0.087051,0.096983,0.115647,0.152472", \ "0.081956,0.086130,0.089531,0.095238,0.105183,0.123854,0.160676", \ "0.088282,0.092539,0.095993,0.101706,0.111597,0.130277,0.167090"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.109762,0.115654,0.120522,0.129653,0.147844,0.184307,0.257204", \ "0.111322,0.117213,0.122081,0.131213,0.149403,0.185867,0.258759", \ "0.117097,0.122987,0.127856,0.136989,0.155181,0.191645,0.264542", \ "0.126183,0.132074,0.136942,0.146076,0.164269,0.200731,0.273621", \ "0.138384,0.144276,0.149145,0.158275,0.176459,0.212917,0.285811", \ "0.153120,0.159120,0.164028,0.173126,0.191244,0.227688,0.300560", \ "0.169239,0.175407,0.180397,0.189553,0.207588,0.243951,0.316799"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004621,0.006348,0.008069,0.011521,0.018768,0.034168,0.065977", \ "0.004620,0.006348,0.008068,0.011520,0.018767,0.034169,0.065977", \ "0.004618,0.006345,0.008067,0.011519,0.018767,0.034168,0.065976", \ "0.004618,0.006345,0.008067,0.011518,0.018766,0.034167,0.065977", \ "0.004723,0.006435,0.008143,0.011573,0.018796,0.034178,0.065976", \ "0.004914,0.006600,0.008283,0.011677,0.018855,0.034197,0.065979", \ "0.005223,0.006870,0.008517,0.011852,0.018955,0.034235,0.065986"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006186,0.009198,0.012609,0.020604,0.037707,0.072301,0.141551", \ "0.006186,0.009198,0.012609,0.020605,0.037709,0.072297,0.141554", \ "0.006187,0.009197,0.012608,0.020605,0.037706,0.072301,0.141539", \ "0.006187,0.009197,0.012609,0.020605,0.037708,0.072294,0.141541", \ "0.006191,0.009203,0.012613,0.020609,0.037712,0.072292,0.141548", \ "0.006400,0.009412,0.012747,0.020654,0.037719,0.072293,0.141551", \ "0.006700,0.009752,0.012987,0.020753,0.037749,0.072310,0.141558"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.048602,0.052689,0.056041,0.061693,0.071614,0.090276,0.127109", \ "0.049932,0.054019,0.057371,0.063024,0.072944,0.091605,0.128435", \ "0.053943,0.058027,0.061378,0.067029,0.076948,0.095610,0.132441", \ "0.061264,0.065345,0.068692,0.074339,0.084255,0.102915,0.139746", \ "0.069691,0.073783,0.077142,0.082799,0.092726,0.111389,0.148219", \ "0.077145,0.081277,0.084660,0.090346,0.100294,0.118963,0.155788", \ "0.082714,0.086928,0.090359,0.096055,0.105921,0.124608,0.161425"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.098113,0.103847,0.108663,0.117803,0.136027,0.172510,0.245408", \ "0.099664,0.105397,0.110213,0.119353,0.137580,0.174062,0.246961", \ "0.105590,0.111324,0.116140,0.125279,0.143504,0.179988,0.252886", \ "0.115567,0.121299,0.126116,0.135258,0.153480,0.189966,0.262863", \ "0.128852,0.134595,0.139414,0.148534,0.166754,0.203235,0.276128", \ "0.143667,0.149549,0.154410,0.163510,0.181642,0.218100,0.290985", \ "0.159456,0.165517,0.170453,0.179597,0.197645,0.234008,0.306876"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004555,0.006297,0.008030,0.011496,0.018758,0.034168,0.065976", \ "0.004553,0.006295,0.008029,0.011495,0.018758,0.034166,0.065980", \ "0.004543,0.006287,0.008021,0.011490,0.018755,0.034166,0.065977", \ "0.004537,0.006279,0.008012,0.011481,0.018748,0.034163,0.065978", \ "0.004623,0.006351,0.008073,0.011525,0.018770,0.034170,0.065978", \ "0.004795,0.006496,0.008196,0.011613,0.018819,0.034185,0.065978", \ "0.005087,0.006749,0.008412,0.011772,0.018908,0.034216,0.065987"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005909,0.008910,0.012428,0.020536,0.037689,0.072273,0.141533", \ "0.005909,0.008910,0.012428,0.020537,0.037685,0.072275,0.141531", \ "0.005906,0.008911,0.012427,0.020535,0.037686,0.072276,0.141543", \ "0.005909,0.008909,0.012428,0.020538,0.037685,0.072267,0.141542", \ "0.005932,0.008930,0.012439,0.020541,0.037687,0.072270,0.141542", \ "0.006188,0.009183,0.012593,0.020592,0.037704,0.072289,0.141543", \ "0.006504,0.009527,0.012823,0.020682,0.037724,0.072306,0.141545"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047722,0.051800,0.055146,0.060789,0.070704,0.089363,0.126193", \ "0.049031,0.053108,0.056452,0.062096,0.072010,0.090669,0.127502", \ "0.053022,0.057097,0.060441,0.066083,0.075996,0.094655,0.131488", \ "0.060258,0.064326,0.067667,0.073307,0.083218,0.101875,0.138706", \ "0.068411,0.072496,0.075848,0.081502,0.091425,0.110086,0.146916", \ "0.075419,0.079548,0.082928,0.088612,0.098543,0.117208,0.154033", \ "0.080339,0.084551,0.087981,0.093678,0.103550,0.122225,0.159041"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.111371,0.117362,0.122273,0.131413,0.149591,0.186045,0.258925", \ "0.112848,0.118839,0.123750,0.132890,0.151068,0.187516,0.260405", \ "0.118523,0.124514,0.129425,0.138564,0.156743,0.193194,0.266077", \ "0.127488,0.133479,0.138390,0.147530,0.165707,0.202155,0.275042", \ "0.139090,0.145084,0.149996,0.159129,0.177291,0.213738,0.286616", \ "0.152530,0.158629,0.163587,0.172696,0.190761,0.227185,0.300057", \ "0.167314,0.173565,0.178605,0.187771,0.205728,0.242129,0.314989"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004522,0.006265,0.008001,0.011474,0.018745,0.034161,0.065978", \ "0.004517,0.006263,0.008000,0.011473,0.018743,0.034161,0.065974", \ "0.004509,0.006255,0.007993,0.011467,0.018740,0.034160,0.065974", \ "0.004505,0.006251,0.007987,0.011462,0.018736,0.034157,0.065977", \ "0.004598,0.006329,0.008052,0.011509,0.018760,0.034165,0.065975", \ "0.004782,0.006483,0.008182,0.011602,0.018812,0.034180,0.065978", \ "0.005087,0.006749,0.008410,0.011770,0.018906,0.034215,0.065984"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006352,0.009377,0.012730,0.020651,0.037725,0.072300,0.141541", \ "0.006352,0.009377,0.012730,0.020652,0.037720,0.072297,0.141540", \ "0.006350,0.009378,0.012730,0.020650,0.037726,0.072303,0.141540", \ "0.006352,0.009377,0.012730,0.020651,0.037720,0.072300,0.141540", \ "0.006360,0.009385,0.012734,0.020652,0.037723,0.072293,0.141549", \ "0.006560,0.009599,0.012876,0.020705,0.037735,0.072310,0.141548", \ "0.006834,0.009916,0.013112,0.020802,0.037765,0.072312,0.141561"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049618,0.053735,0.057101,0.062767,0.072699,0.091364,0.128192", \ "0.050924,0.055041,0.058407,0.064072,0.074004,0.092669,0.129498", \ "0.054908,0.059024,0.062388,0.068052,0.077982,0.096647,0.133475", \ "0.062179,0.066291,0.069653,0.075315,0.085243,0.103907,0.140736", \ "0.070588,0.074723,0.078102,0.083782,0.093721,0.112387,0.149214", \ "0.078022,0.082211,0.085622,0.091337,0.101286,0.119958,0.156779", \ "0.083473,0.087756,0.091225,0.096963,0.106878,0.125559,0.162371"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.120153,0.126214,0.131154,0.140291,0.158454,0.194884,0.267767", \ "0.121660,0.127721,0.132662,0.141798,0.159960,0.196393,0.269270", \ "0.127365,0.133426,0.138366,0.147502,0.165660,0.202097,0.274978", \ "0.136307,0.142368,0.147308,0.156446,0.174604,0.211043,0.283917", \ "0.147903,0.153966,0.158907,0.168038,0.186183,0.222616,0.295502", \ "0.161944,0.168086,0.173063,0.182164,0.200213,0.236623,0.309495", \ "0.177382,0.183673,0.188733,0.197900,0.215866,0.252237,0.325094"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004659,0.006385,0.008103,0.011550,0.018788,0.034178,0.065981", \ "0.004658,0.006384,0.008102,0.011549,0.018787,0.034178,0.065980", \ "0.004648,0.006375,0.008095,0.011543,0.018784,0.034177,0.065981", \ "0.004645,0.006372,0.008091,0.011538,0.018779,0.034175,0.065981", \ "0.004758,0.006466,0.008171,0.011596,0.018810,0.034185,0.065981", \ "0.004968,0.006648,0.008324,0.011709,0.018874,0.034206,0.065984", \ "0.005309,0.006948,0.008585,0.011904,0.018985,0.034246,0.065990"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006487,0.009522,0.012825,0.020687,0.037732,0.072313,0.141559", \ "0.006488,0.009522,0.012825,0.020686,0.037728,0.072296,0.141558", \ "0.006488,0.009522,0.012826,0.020688,0.037728,0.072299,0.141550", \ "0.006489,0.009522,0.012825,0.020686,0.037727,0.072296,0.141558", \ "0.006492,0.009524,0.012827,0.020686,0.037727,0.072295,0.141551", \ "0.006647,0.009694,0.012942,0.020730,0.037745,0.072307,0.141544", \ "0.006919,0.010007,0.013175,0.020828,0.037769,0.072314,0.141563"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050516,0.054644,0.058017,0.063690,0.073628,0.092296,0.129124", \ "0.051845,0.055973,0.059345,0.065018,0.074956,0.093624,0.130452", \ "0.055848,0.059973,0.063345,0.069016,0.078953,0.097620,0.134449", \ "0.063199,0.067320,0.070688,0.076357,0.086291,0.104957,0.141785", \ "0.071866,0.076010,0.079394,0.085075,0.095020,0.113689,0.150516", \ "0.079726,0.083919,0.087334,0.093057,0.103018,0.121693,0.158514", \ "0.085818,0.090100,0.093570,0.099306,0.109213,0.127898,0.164709"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.105344,0.111134,0.115965,0.125098,0.143305,0.179779,0.252673", \ "0.106919,0.112709,0.117540,0.126673,0.144881,0.181354,0.254247", \ "0.112870,0.118660,0.123491,0.132625,0.150832,0.187306,0.260202", \ "0.122831,0.128621,0.133452,0.142584,0.160793,0.197268,0.270163", \ "0.136178,0.141972,0.146804,0.155919,0.174120,0.210594,0.283485", \ "0.151729,0.157640,0.162509,0.171603,0.189732,0.226173,0.299060", \ "0.168296,0.174380,0.179324,0.188461,0.206518,0.242884,0.315757"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004695,0.006417,0.008132,0.011572,0.018801,0.034185,0.065982", \ "0.004693,0.006416,0.008130,0.011571,0.018800,0.034185,0.065983", \ "0.004683,0.006407,0.008123,0.011565,0.018798,0.034183,0.065982", \ "0.004674,0.006399,0.008115,0.011558,0.018792,0.034181,0.065983", \ "0.004779,0.006487,0.008190,0.011611,0.018821,0.034190,0.065983", \ "0.004980,0.006659,0.008336,0.011718,0.018880,0.034210,0.065983", \ "0.005305,0.006944,0.008583,0.011903,0.018986,0.034249,0.065990"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006019,0.009014,0.012489,0.020559,0.037693,0.072278,0.141534", \ "0.006019,0.009014,0.012489,0.020558,0.037693,0.072281,0.141531", \ "0.006019,0.009015,0.012490,0.020558,0.037694,0.072278,0.141537", \ "0.006016,0.009016,0.012489,0.020557,0.037690,0.072278,0.141539", \ "0.006030,0.009024,0.012495,0.020560,0.037691,0.072273,0.141540", \ "0.006250,0.009242,0.012628,0.020606,0.037705,0.072288,0.141534", \ "0.006559,0.009580,0.012857,0.020694,0.037729,0.072302,0.141551"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049618,0.053735,0.057101,0.062767,0.072699,0.091364,0.128192", \ "0.050924,0.055041,0.058407,0.064072,0.074004,0.092669,0.129498", \ "0.054908,0.059024,0.062388,0.068052,0.077982,0.096647,0.133475", \ "0.062179,0.066291,0.069653,0.075315,0.085243,0.103907,0.140736", \ "0.070588,0.074723,0.078102,0.083782,0.093721,0.112387,0.149214", \ "0.078022,0.082211,0.085622,0.091337,0.101286,0.119958,0.156779", \ "0.083473,0.087756,0.091225,0.096963,0.106878,0.125559,0.162371"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.120153,0.126214,0.131154,0.140291,0.158454,0.194884,0.267767", \ "0.121660,0.127721,0.132662,0.141798,0.159960,0.196393,0.269270", \ "0.127365,0.133426,0.138366,0.147502,0.165660,0.202097,0.274978", \ "0.136307,0.142368,0.147308,0.156446,0.174604,0.211043,0.283917", \ "0.147903,0.153966,0.158907,0.168038,0.186183,0.222616,0.295502", \ "0.161944,0.168086,0.173063,0.182164,0.200213,0.236623,0.309495", \ "0.177382,0.183673,0.188733,0.197900,0.215866,0.252237,0.325094"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004659,0.006385,0.008103,0.011550,0.018788,0.034178,0.065981", \ "0.004658,0.006384,0.008102,0.011549,0.018787,0.034178,0.065980", \ "0.004648,0.006375,0.008095,0.011543,0.018784,0.034177,0.065981", \ "0.004645,0.006372,0.008091,0.011538,0.018779,0.034175,0.065981", \ "0.004758,0.006466,0.008171,0.011596,0.018810,0.034185,0.065981", \ "0.004968,0.006648,0.008324,0.011709,0.018874,0.034206,0.065984", \ "0.005309,0.006948,0.008585,0.011904,0.018985,0.034246,0.065990"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006487,0.009522,0.012825,0.020687,0.037732,0.072313,0.141559", \ "0.006488,0.009522,0.012825,0.020686,0.037728,0.072296,0.141558", \ "0.006488,0.009522,0.012826,0.020688,0.037728,0.072299,0.141550", \ "0.006489,0.009522,0.012825,0.020686,0.037727,0.072296,0.141558", \ "0.006492,0.009524,0.012827,0.020686,0.037727,0.072295,0.141551", \ "0.006647,0.009694,0.012942,0.020730,0.037745,0.072307,0.141544", \ "0.006919,0.010007,0.013175,0.020828,0.037769,0.072314,0.141563"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051475,0.055628,0.059018,0.064711,0.074662,0.093334,0.130161", \ "0.052780,0.056932,0.060322,0.066014,0.075966,0.094639,0.131468", \ "0.056758,0.060908,0.064296,0.069987,0.079938,0.098609,0.135440", \ "0.064059,0.068206,0.071592,0.077282,0.087230,0.105900,0.142729", \ "0.072707,0.076884,0.080290,0.085995,0.095957,0.114632,0.151456", \ "0.080538,0.084774,0.088217,0.093968,0.103950,0.122632,0.159449", \ "0.086497,0.090835,0.094342,0.100122,0.110066,0.128760,0.165568"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.128933,0.135066,0.140038,0.149175,0.167311,0.203730,0.276603", \ "0.130466,0.136598,0.141571,0.150699,0.168847,0.205265,0.278132", \ "0.136199,0.142331,0.147303,0.156440,0.174576,0.210994,0.283862", \ "0.145129,0.151262,0.156234,0.165372,0.183512,0.219938,0.292809", \ "0.156727,0.162860,0.167832,0.176964,0.195102,0.231520,0.304395", \ "0.171195,0.177384,0.182381,0.191482,0.209560,0.245964,0.318817", \ "0.187245,0.193578,0.198663,0.207832,0.225879,0.262206,0.335046"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004802,0.006509,0.008211,0.011630,0.018836,0.034198,0.065985", \ "0.004798,0.006507,0.008209,0.011629,0.018835,0.034198,0.065984", \ "0.004790,0.006499,0.008203,0.011624,0.018832,0.034197,0.065985", \ "0.004787,0.006495,0.008199,0.011619,0.018828,0.034195,0.065983", \ "0.004912,0.006603,0.008290,0.011686,0.018864,0.034208,0.065986", \ "0.005144,0.006806,0.008464,0.011815,0.018937,0.034233,0.065989", \ "0.005507,0.007131,0.008749,0.012032,0.019063,0.034281,0.066002"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006627,0.009672,0.012928,0.020725,0.037740,0.072300,0.141554", \ "0.006627,0.009672,0.012928,0.020725,0.037745,0.072308,0.141563", \ "0.006627,0.009672,0.012928,0.020727,0.037745,0.072303,0.141561", \ "0.006627,0.009673,0.012928,0.020725,0.037747,0.072309,0.141562", \ "0.006628,0.009673,0.012929,0.020727,0.037745,0.072307,0.141550", \ "0.006751,0.009794,0.013012,0.020757,0.037745,0.072301,0.141554", \ "0.007013,0.010110,0.013250,0.020857,0.037778,0.072320,0.141558"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.571845,10.410160,10.969280,11.438250,11.742240,11.872630,11.930540", \ "9.585754,10.390950,10.944240,11.434360,11.731850,11.866210,11.928360", \ "9.601786,10.417320,10.957400,11.445810,11.743320,11.878180,11.945540", \ "9.868778,10.674420,11.207570,11.684470,11.986830,12.128230,12.196960", \ "10.487650,11.291010,11.786160,12.263900,12.555500,12.705910,12.780520", \ "11.601620,12.287870,12.783290,13.335910,13.646260,13.756670,13.813760", \ "12.871690,13.555610,14.113450,14.708740,15.162420,15.360210,15.383240"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.394400,14.191510,14.624320,15.081180,15.419060,15.400530,15.501810", \ "13.364240,14.123130,14.556740,15.106230,15.335130,15.299420,15.321040", \ "13.316540,14.044380,14.427160,14.797390,15.318340,15.416650,15.109960", \ "13.432190,14.316390,14.752320,15.035280,15.506650,15.638330,15.799270", \ "14.283030,15.051320,15.376540,15.811300,16.373130,16.517980,16.132850", \ "15.165810,15.966160,16.382990,17.014970,17.497710,17.746480,17.664260", \ "16.581960,17.465180,17.868250,18.411760,18.980190,19.179810,19.001530"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.605622,10.399370,10.965210,11.443120,11.737260,11.874600,11.940430", \ "9.594403,10.418560,10.969780,11.454600,11.734170,11.869500,11.934680", \ "9.609409,10.424880,10.980340,11.465480,11.759290,11.894200,11.963230", \ "9.865206,10.698420,11.230740,11.704750,12.007520,12.154740,12.211410", \ "10.542360,11.282160,11.781370,12.259510,12.563720,12.697820,12.772480", \ "11.521560,12.155090,12.703290,13.238590,13.576760,13.687360,13.745020", \ "12.738920,13.370020,13.923240,14.531260,14.979610,15.184340,15.212360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.216480,14.087320,14.443780,14.943650,15.453420,15.709940,15.638130", \ "13.171490,14.063610,14.390760,14.982530,15.516710,15.401020,14.956670", \ "13.165970,14.004330,14.406400,15.027400,15.289260,15.461060,15.238760", \ "13.360550,14.159980,14.506450,15.177160,15.506950,15.388690,15.973310", \ "14.107760,14.886950,15.286020,15.677300,16.389890,16.444520,16.753300", \ "15.088540,15.900370,16.382490,16.970190,17.518310,17.379760,17.717910", \ "16.413650,17.281070,17.774230,18.219030,18.880520,19.305300,19.518740"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.596747,10.390270,10.949980,11.457440,11.755430,11.887500,11.958950", \ "9.608281,10.418480,10.947060,11.437250,11.746250,11.884830,11.953420", \ "9.636899,10.408730,10.945750,11.477200,11.772040,11.915830,11.972670", \ "9.956766,10.691840,11.232850,11.745080,12.042810,12.188410,12.259840", \ "10.594620,11.301840,11.810660,12.328390,12.621690,12.773760,12.851180", \ "11.600430,12.297750,12.819140,13.363820,13.707600,13.809680,13.865780", \ "12.998170,13.535650,14.107490,14.720480,15.178340,15.372850,15.401240"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.150910,14.999070,15.492710,15.937150,16.662180,17.020790,16.834630", \ "14.171910,15.002260,15.337170,15.997280,16.587860,16.841340,16.683390", \ "13.994870,14.898120,15.262820,15.791920,16.274490,16.600280,16.578320", \ "14.158560,15.010520,15.390090,16.104180,16.382420,16.682690,16.452380", \ "14.896000,15.695810,16.011830,16.644350,16.911490,17.162800,17.056470", \ "15.865080,16.760930,17.213450,17.779470,18.378220,18.472670,18.006400", \ "17.258720,18.078210,18.501400,19.145590,19.846920,20.151620,19.644040"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.598885,10.431500,10.947530,11.446390,11.755100,11.874000,11.940590", \ "9.594515,10.428950,10.949630,11.447060,11.759500,11.881360,11.938230", \ "9.619265,10.427520,10.984600,11.475790,11.770590,11.910020,11.975150", \ "9.911023,10.691730,11.217580,11.702150,12.006320,12.155950,12.214800", \ "10.513920,11.234290,11.784750,12.250520,12.551870,12.690030,12.764100", \ "11.526940,12.160820,12.706360,13.237930,13.575170,13.684190,13.743840", \ "12.678730,13.381230,13.946820,14.540710,14.996440,15.189450,15.216040"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.543060,14.305090,14.695310,15.284970,15.553570,15.626010,15.735010", \ "13.471240,14.259210,14.579740,15.137580,15.551460,15.971840,15.621150", \ "13.362490,14.125190,14.514620,14.932740,15.493890,15.499650,15.837290", \ "13.579230,14.337260,14.692860,15.323510,15.688030,15.822500,15.598260", \ "14.277980,15.052390,15.365680,16.006560,16.471730,16.594470,16.339300", \ "15.207310,16.018860,16.483050,17.087470,17.529740,17.586950,17.314740", \ "16.445680,17.400450,17.872230,18.376790,19.045520,19.266270,19.473780"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.587380,10.421530,10.961660,11.464900,11.755500,11.879200,11.940630", \ "9.596504,10.424160,10.982630,11.467640,11.750540,11.885940,11.947320", \ "9.618426,10.443120,10.996770,11.501820,11.786330,11.916220,11.983190", \ "9.938399,10.713310,11.238560,11.718080,12.025740,12.172140,12.233630", \ "10.525310,11.240790,11.772430,12.265620,12.556160,12.689870,12.764880", \ "11.463080,12.120650,12.650220,13.200650,13.521780,13.629310,13.678260", \ "12.560570,13.241260,13.764800,14.396980,14.845480,15.051030,15.077430"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.545370,14.346010,14.823220,15.175600,16.042010,16.170580,15.799920", \ "13.520410,14.307400,14.723770,15.266360,15.869750,16.335630,15.641820", \ "13.392940,14.205430,14.670900,15.177970,15.829510,16.244190,15.452090", \ "13.514680,14.397660,14.746060,15.196870,15.804160,15.541300,16.518780", \ "14.200250,15.032190,15.365660,15.844030,16.319670,16.595530,17.126130", \ "15.143380,16.091180,16.489960,16.847130,17.474350,17.264050,17.556520", \ "16.400310,17.402350,17.821570,18.248830,19.058030,19.478900,18.926340"); } } internal_power () { related_pin : "A1"; when : "A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.613661,10.411910,10.953560,11.448480,11.755460,11.890310,11.960860", \ "9.620551,10.423400,10.967170,11.468240,11.773690,11.897180,11.967780", \ "9.642458,10.413350,10.995950,11.497940,11.801540,11.941570,11.997870", \ "9.966142,10.678610,11.229510,11.742540,12.056990,12.201630,12.276260", \ "10.614180,11.303040,11.799790,12.319320,12.616730,12.764410,12.844860", \ "11.518920,12.248090,12.742450,13.287880,13.643830,13.759920,13.815980", \ "12.885500,13.433120,13.974900,14.590280,15.049390,15.252440,15.275610"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.476840,15.356130,15.773590,16.195150,16.914240,17.049090,17.436120", \ "14.413010,15.263260,15.706650,16.206380,16.861250,17.008080,17.378990", \ "14.364420,15.203870,15.642500,16.149070,16.533950,17.017940,17.302610", \ "14.449820,15.294540,15.668660,16.284590,16.947490,16.655480,16.631290", \ "14.999550,15.841740,16.289100,16.746450,17.401310,17.523440,17.821870", \ "16.057050,16.952510,17.322070,17.863030,18.307180,18.808690,18.762960", \ "17.226270,18.227540,18.690320,19.199890,19.942150,19.920930,19.892150"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.607960,10.392570,10.950300,11.459300,11.750270,11.893430,11.960650", \ "9.621585,10.418000,10.943280,11.458100,11.772520,11.899060,11.964730", \ "9.658332,10.397740,10.972180,11.489170,11.796840,11.928950,11.990450", \ "9.952549,10.686320,11.232660,11.723530,12.047610,12.186230,12.259560", \ "10.625240,11.294890,11.803960,12.320690,12.619550,12.767140,12.848200", \ "11.585880,12.303230,12.832450,13.342840,13.703540,13.804260,13.869810", \ "12.958770,13.523420,14.103820,14.740270,15.191010,15.379150,15.402550"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.370760,15.231640,15.525320,16.043790,16.371260,16.447430,16.452930", \ "14.254610,15.183960,15.478370,16.125580,16.637490,16.841920,16.162370", \ "14.275650,15.076830,15.408620,15.984370,16.327930,16.914320,16.350140", \ "14.384720,15.227840,15.577080,16.078080,16.587340,16.639760,17.177600", \ "15.016850,15.873310,16.287550,16.736000,17.296950,17.145420,17.415720", \ "15.889650,16.854320,17.349410,17.934040,18.492070,18.411530,18.597820", \ "17.365380,18.271510,18.599510,19.139770,19.838440,20.121940,20.302340"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.613661,10.411910,10.953560,11.448480,11.755460,11.890310,11.960860", \ "9.620551,10.423400,10.967170,11.468240,11.773690,11.897180,11.967780", \ "9.642458,10.413350,10.995950,11.497940,11.801540,11.941570,11.997870", \ "9.966142,10.678610,11.229510,11.742540,12.056990,12.201630,12.276260", \ "10.614180,11.303040,11.799790,12.319320,12.616730,12.764410,12.844860", \ "11.518920,12.248090,12.742450,13.287880,13.643830,13.759920,13.815980", \ "12.885500,13.433120,13.974900,14.590280,15.049390,15.252440,15.275610"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.476840,15.356130,15.773590,16.195150,16.914240,17.049090,17.436120", \ "14.413010,15.263260,15.706650,16.206380,16.861250,17.008080,17.378990", \ "14.364420,15.203870,15.642500,16.149070,16.533950,17.017940,17.302610", \ "14.449820,15.294540,15.668660,16.284590,16.947490,16.655480,16.631290", \ "14.999550,15.841740,16.289100,16.746450,17.401310,17.523440,17.821870", \ "16.057050,16.952510,17.322070,17.863030,18.307180,18.808690,18.762960", \ "17.226270,18.227540,18.690320,19.199890,19.942150,19.920930,19.892150"); } } internal_power () { related_pin : "A1"; when : "A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.636112,10.415630,10.933980,11.463590,11.773030,11.917950,11.989150", \ "9.595979,10.370900,10.943620,11.469040,11.778470,11.928270,11.986370", \ "9.684295,10.407830,10.963100,11.500860,11.821750,11.960080,12.026740", \ "9.938091,10.705410,11.267240,11.773910,12.107570,12.255760,12.327740", \ "10.713040,11.337340,11.863100,12.385470,12.711480,12.864040,12.940060", \ "11.702710,12.328660,12.860640,13.431480,13.780890,13.898490,13.967530", \ "13.050260,13.643020,14.162390,14.777970,15.267050,15.470590,15.491840"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.427370,16.294180,16.749850,17.381180,18.073300,18.160870,18.050470", \ "15.309640,16.293880,16.698450,17.183860,17.971870,18.166620,17.939660", \ "15.301430,16.193470,16.632650,17.113450,17.479300,18.080960,17.987650", \ "15.303450,16.330240,16.728740,17.278680,17.982140,18.407860,18.077590", \ "15.835870,16.728510,17.036240,17.762900,18.374760,18.734460,18.615920", \ "16.786850,17.779880,18.214670,18.618560,19.431010,19.696260,19.706030", \ "18.134940,19.168060,19.477670,20.091570,20.604410,21.105460,20.963940"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.573783,10.414480,10.956550,11.444070,11.755880,11.875720,11.943780", \ "9.581389,10.392250,10.958620,11.438420,11.735460,11.872110,11.935310", \ "9.584463,10.389020,10.907100,11.402140,11.706720,11.836900,11.898690", \ "9.647509,10.427690,10.990070,11.463590,11.768170,11.908640,11.970510", \ "10.079970,10.810650,11.346920,11.844110,12.112270,12.249150,12.326860", \ "10.761910,11.484720,12.066070,12.595110,12.900460,13.006730,13.052180", \ "11.661450,12.450960,13.012770,13.615710,14.037920,14.247210,14.259870"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.141010,14.934230,15.312640,15.783720,16.352850,16.395720,16.390170", \ "14.081110,14.878370,15.358750,15.731260,16.057070,16.132520,16.197390", \ "14.063360,14.871490,15.343940,15.846170,16.018380,16.120090,15.961130", \ "14.302360,15.042520,15.422300,16.005500,16.488920,16.548120,15.756870", \ "15.041800,15.874650,16.314460,16.716130,16.982310,16.540020,17.129500", \ "15.935170,16.808780,17.302510,17.946790,18.134080,18.266520,18.194530", \ "17.356470,18.187960,18.644920,19.208530,19.782290,19.745530,19.990930"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.598510,10.401860,10.974670,11.448590,11.742010,11.878860,11.943610", \ "9.589597,10.414830,10.948460,11.439510,11.750060,11.873940,11.937460", \ "9.587245,10.382540,10.932570,11.427820,11.721740,11.853120,11.908060", \ "9.641972,10.494710,11.003740,11.491800,11.791310,11.931850,11.992480", \ "10.104360,10.814080,11.372470,11.855100,12.127650,12.261280,12.335970", \ "10.761920,11.452040,12.020540,12.551900,12.859900,12.967380,13.019520", \ "11.533160,12.318820,12.871710,13.474500,13.902310,14.113590,14.139840"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.030750,14.918910,15.264430,15.983240,16.541250,16.959870,17.020720", \ "14.062640,14.819330,15.254740,15.815980,16.370060,16.546640,16.865960", \ "13.922630,14.868760,15.182270,15.837670,16.391220,16.567030,16.691380", \ "14.076520,14.933910,15.429730,16.073370,16.596810,16.441490,16.502240", \ "14.792110,15.656690,16.013070,16.714500,17.165840,16.801140,17.344960", \ "15.896480,16.717130,17.196240,17.868040,18.161730,17.964210,18.871430", \ "17.153490,18.196350,18.608780,19.100990,19.693660,20.186580,20.351690"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.614204,10.396450,10.953560,11.459390,11.759770,11.891770,11.962070", \ "9.609511,10.399060,10.949330,11.453750,11.763250,11.887140,11.955510", \ "9.538457,10.330980,10.922710,11.414730,11.727950,11.861050,11.929970", \ "9.696624,10.485210,11.012130,11.518850,11.816710,11.953700,12.027790", \ "10.112970,10.817400,11.385600,11.863590,12.173610,12.313890,12.382060", \ "10.750210,11.497530,12.065040,12.589230,12.920670,13.044020,13.089160", \ "11.726220,12.416730,12.969470,13.583540,14.015810,14.215560,14.244400"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.966940,15.829700,16.234380,16.941800,17.423620,17.948120,17.706800", \ "14.854420,15.808550,16.143080,16.852290,17.451320,17.684270,17.608530", \ "14.916950,15.754990,16.182440,16.709630,17.494890,17.103790,17.689400", \ "15.064000,15.896540,16.328390,16.865170,17.642770,18.021470,17.707280", \ "15.550150,16.482890,16.845870,17.542480,18.139480,17.806900,18.038610", \ "16.734380,17.542310,18.052100,18.642610,19.260540,19.620200,19.489840", \ "18.103710,19.007470,19.454380,20.050320,20.550800,21.171240,20.431700"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.591515,10.402420,10.971440,11.455260,11.759590,11.878430,11.946620", \ "9.593712,10.416940,10.961050,11.449520,11.747130,11.885660,11.952310", \ "9.586690,10.380490,10.945370,11.427010,11.730780,11.862170,11.919380", \ "9.636148,10.486490,11.015570,11.510790,11.804800,11.935180,11.992000", \ "10.083370,10.807800,11.358100,11.847420,12.127720,12.256660,12.333080", \ "10.684150,11.482850,12.030410,12.550210,12.855410,12.960250,13.017430", \ "11.543180,12.278710,12.885220,13.491910,13.908550,14.112060,14.138940"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.231720,15.055670,15.431950,15.893550,16.596620,16.935430,16.663930", \ "14.161190,15.041480,15.361970,15.881530,16.507050,16.836190,16.332690", \ "14.175250,15.009300,15.447910,15.966480,16.440880,16.731900,16.095640", \ "14.311630,15.152170,15.619630,16.113670,16.736260,16.969030,17.105850", \ "14.977820,15.875270,16.285990,16.795040,17.262080,17.427500,17.163700", \ "16.031320,16.936410,17.324940,17.937530,18.462390,18.590060,18.497530", \ "17.258990,18.312730,18.718890,19.309380,19.985530,20.280330,20.052360"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.613033,10.420910,10.955640,11.457760,11.758390,11.878340,11.946490", \ "9.621671,10.412040,10.965630,11.452260,11.748780,11.882590,11.949080", \ "9.588700,10.409410,10.948640,11.439990,11.738990,11.871580,11.935760", \ "9.715998,10.515370,11.025850,11.524160,11.815820,11.958910,12.023860", \ "10.100710,10.808250,11.384120,11.857800,12.134690,12.269090,12.343290", \ "10.653020,11.419950,11.990700,12.517960,12.828590,12.938440,12.989220", \ "11.548450,12.238530,12.797780,13.366940,13.799520,14.008700,14.030030"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.401040,15.240610,15.687270,16.196250,16.878240,17.149640,17.338640", \ "14.369080,15.156330,15.589120,16.117240,16.605300,16.893960,17.279740", \ "14.305030,15.120440,15.556360,16.129380,16.742800,17.006330,16.771110", \ "14.326060,15.264620,15.730570,16.205000,16.741720,17.332130,17.323130", \ "14.992800,15.829800,16.254320,16.800710,17.408790,17.667960,18.003010", \ "15.959650,16.959970,17.354250,17.813940,18.301710,18.801260,18.662470", \ "17.252180,18.259660,18.729150,19.276960,20.024140,20.114890,19.382170"); } } internal_power () { related_pin : "A2"; when : "A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.552161,10.367650,10.946660,11.463570,11.767940,11.894600,11.965050", \ "9.622865,10.419410,10.965860,11.467190,11.775620,11.899110,11.960690", \ "9.606361,10.409000,10.931080,11.452290,11.743780,11.883560,11.952510", \ "9.741029,10.487320,11.027450,11.539020,11.838840,11.977440,12.048470", \ "10.123930,10.807330,11.377830,11.872700,12.169670,12.319890,12.388240", \ "10.767500,11.446960,12.026930,12.558320,12.892650,13.003970,13.056400", \ "11.613160,12.331450,12.852000,13.468570,13.920020,14.111950,14.146360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.349620,16.224630,16.673510,17.202640,17.886380,18.061560,18.304010", \ "15.307610,16.142770,16.585520,17.121100,17.830200,18.284580,18.196570", \ "15.279860,16.119120,16.555200,17.146300,17.491020,18.133500,18.149560", \ "15.410440,16.298800,16.686540,17.244380,17.905550,18.407350,18.154520", \ "15.841990,16.750860,17.129480,17.658010,18.333350,18.650980,18.624400", \ "16.782980,17.816200,18.215480,18.633220,19.259950,19.853840,19.639150", \ "18.168930,19.129000,19.500090,20.122850,20.920760,21.046080,21.548800"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.612389,10.421480,10.943470,11.463440,11.758590,11.898370,11.963460", \ "9.613839,10.398900,10.962230,11.454690,11.771660,11.902040,11.966510", \ "9.594754,10.402460,10.905730,11.443240,11.737480,11.875680,11.941200", \ "9.691947,10.480910,11.008820,11.501960,11.829090,11.959790,12.023460", \ "10.111130,10.861120,11.354150,11.867520,12.166770,12.308550,12.374780", \ "10.797010,11.505650,12.042310,12.597320,12.919240,13.029900,13.084710", \ "11.776960,12.432620,12.996670,13.597260,14.027110,14.220960,14.245390"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.097330,16.048320,16.353020,16.895350,17.458430,17.409140,18.069970", \ "15.046030,15.903500,16.393200,16.961810,17.482150,17.032510,17.928810", \ "15.076410,15.896200,16.286740,16.747800,17.388370,17.756740,17.827070", \ "15.288160,16.093920,16.514830,17.112910,17.602950,17.061630,17.789200", \ "15.858930,16.683360,17.083780,17.560610,17.987660,18.563010,18.346350", \ "16.884300,17.788790,18.169130,18.739880,19.340840,19.481560,19.686620", \ "18.222850,19.121170,19.569480,20.152720,20.652600,20.903410,21.148660"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.552161,10.367650,10.946660,11.463570,11.767940,11.894600,11.965050", \ "9.622865,10.419410,10.965860,11.467190,11.775620,11.899110,11.960690", \ "9.606361,10.409000,10.931080,11.452290,11.743780,11.883560,11.952510", \ "9.741029,10.487320,11.027450,11.539020,11.838840,11.977440,12.048470", \ "10.123930,10.807330,11.377830,11.872700,12.169670,12.319890,12.388240", \ "10.767500,11.446960,12.026930,12.558320,12.892650,13.003970,13.056400", \ "11.613160,12.331450,12.852000,13.468570,13.920020,14.111950,14.146360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.349620,16.224630,16.673510,17.202640,17.886380,18.061560,18.304010", \ "15.307610,16.142770,16.585520,17.121100,17.830200,18.284580,18.196570", \ "15.279860,16.119120,16.555200,17.146300,17.491020,18.133500,18.149560", \ "15.410440,16.298800,16.686540,17.244380,17.905550,18.407350,18.154520", \ "15.841990,16.750860,17.129480,17.658010,18.333350,18.650980,18.624400", \ "16.782980,17.816200,18.215480,18.633220,19.259950,19.853840,19.639150", \ "18.168930,19.129000,19.500090,20.122850,20.920760,21.046080,21.548800"); } } internal_power () { related_pin : "A2"; when : "A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.629388,10.369070,10.939500,11.463890,11.780050,11.920720,11.993230", \ "9.646812,10.372020,10.944690,11.457680,11.785300,11.928580,11.999310", \ "9.632819,10.360440,10.927030,11.459920,11.764960,11.912440,11.980370", \ "9.730222,10.514850,11.027750,11.558510,11.881620,12.017820,12.089800", \ "10.178220,10.857600,11.387720,11.903090,12.222360,12.373570,12.444940", \ "10.799950,11.549000,12.068120,12.626780,12.972110,13.094120,13.140030", \ "11.867820,12.424850,12.988430,13.601460,14.040010,14.251130,14.275500"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.121530,17.167880,17.656820,18.169920,18.877710,19.263180,18.760330", \ "16.169820,17.151170,17.558270,18.197200,18.660780,19.285560,19.578590", \ "16.227530,17.062810,17.569710,18.155230,18.828030,18.585610,19.540840", \ "16.273600,17.285680,17.689970,18.280790,18.893360,19.175880,19.595540", \ "16.731930,17.569640,18.080030,18.685220,19.074950,19.709950,20.097010", \ "17.684330,18.662170,19.063350,19.542170,19.969830,20.863900,20.875440", \ "19.016190,20.065380,20.398740,21.053750,21.537920,21.517570,22.467620"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.697230,11.531300,12.060900,12.581980,12.918550,13.090280,13.198600", \ "10.688910,11.485770,12.060580,12.587540,12.921410,13.079340,13.184020", \ "10.661870,11.484780,12.036760,12.552090,12.886830,13.053110,13.160910", \ "10.888650,11.648160,12.192710,12.730290,13.067430,13.243870,13.343920", \ "11.355860,12.165290,12.675720,13.186630,13.546200,13.734220,13.847870", \ "12.344650,13.060660,13.594450,14.112840,14.440980,14.581560,14.701230", \ "13.555750,14.240560,14.816940,15.383370,15.766360,15.927700,15.982780"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.961750,15.738670,16.209770,16.624300,17.353360,17.448320,17.343580", \ "14.917400,15.744960,16.175280,16.689590,17.015530,17.545900,16.747090", \ "14.810250,15.639660,16.111960,16.622910,16.917470,16.561040,17.011630", \ "14.752030,15.609690,15.932170,16.552470,17.077070,16.978680,16.354790", \ "15.023250,15.858960,16.239390,16.839380,17.176670,17.363050,17.583660", \ "15.310460,16.280560,16.734430,17.437550,17.981720,18.088810,17.842230", \ "16.077570,17.066950,17.510130,18.121370,18.755870,18.730120,18.715820"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.702760,11.515200,12.070660,12.600590,12.923270,13.093000,13.199850", \ "10.705060,11.516800,12.076040,12.591020,12.927700,13.101200,13.201470", \ "10.703240,11.519960,12.059540,12.594140,12.916800,13.090820,13.195530", \ "10.899460,11.652560,12.225960,12.754220,13.096160,13.274810,13.378230", \ "11.431260,12.120840,12.681610,13.192600,13.549640,13.739840,13.845840", \ "12.238810,12.995400,13.543490,14.062820,14.391850,14.534990,14.649000", \ "13.424090,14.110430,14.665160,15.234900,15.639310,15.801990,15.851380"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.913800,15.735200,16.237830,16.834570,17.471990,17.824570,17.471950", \ "14.815760,15.760080,16.098690,16.632420,17.477690,17.456750,17.302880", \ "14.729000,15.558020,15.993950,16.635920,17.273910,17.511900,17.175330", \ "14.666190,15.596480,15.922780,16.574420,17.224940,17.470280,17.332250", \ "14.924700,15.739450,16.175650,16.681900,17.479390,17.776580,17.142100", \ "15.409260,16.271820,16.776310,17.366050,18.063120,18.288650,18.140420", \ "16.091260,17.157210,17.529200,18.142290,19.000980,19.665770,18.751480"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.713020,11.498930,12.063150,12.601160,12.941160,13.128430,13.226790", \ "10.657720,11.479940,12.079090,12.598240,12.957050,13.134060,13.227370", \ "10.731800,11.520760,12.057240,12.603890,12.943340,13.122310,13.227640", \ "10.928300,11.701400,12.247650,12.783950,13.129430,13.324910,13.418470", \ "11.468600,12.215430,12.744100,13.245340,13.613270,13.810930,13.927250", \ "12.362170,13.117520,13.605680,14.153820,14.508770,14.652340,14.778420", \ "13.639870,14.243520,14.829930,15.395310,15.814650,15.987780,16.039140"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.788870,16.720840,17.124000,17.705810,18.457670,18.903720,19.123500", \ "15.796130,16.585270,17.049370,17.732110,18.447560,18.790740,18.942590", \ "15.646730,16.496650,16.933210,17.492340,18.331770,18.703110,18.809920", \ "15.549920,16.414150,16.887710,17.455360,18.277920,18.777820,18.091890", \ "15.774510,16.598640,17.075780,17.576100,18.168610,18.801070,18.701100", \ "16.259960,17.227980,17.672620,18.192650,19.038190,19.332620,19.343950", \ "16.929230,18.030700,18.453970,19.184270,20.070230,20.394590,19.847530"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.559400,11.401940,11.951250,12.473950,12.818380,12.988510,13.099070", \ "10.551530,11.363280,11.935780,12.457770,12.787940,12.967050,13.078580", \ "10.538620,11.326650,11.891620,12.421470,12.764620,12.930070,13.038610", \ "10.677800,11.544430,12.079750,12.601280,12.941350,13.134930,13.234710", \ "11.292470,12.013950,12.572580,13.067720,13.415440,13.607280,13.724530", \ "12.245040,12.905220,13.439570,13.979880,14.331240,14.454700,14.579930", \ "13.413690,14.091540,14.655510,15.224540,15.628320,15.806360,15.855880"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.780990,15.651890,16.033950,16.491070,16.943670,17.098870,17.073270", \ "14.816320,15.589000,15.886710,16.483110,16.789190,17.052130,17.054170", \ "14.693500,15.469910,15.846380,16.444590,16.692560,16.701410,16.617820", \ "14.650220,15.376900,15.802670,16.252480,16.755920,17.015250,16.842460", \ "14.865730,15.642950,16.028280,16.437990,17.119620,17.029080,17.072370", \ "15.202090,16.160660,16.527640,17.075650,17.443720,17.361010,17.390530", \ "16.017450,16.854610,17.368260,17.769490,18.538940,18.920400,18.334220"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.576290,11.393950,11.954300,12.489050,12.816330,12.991380,13.099690", \ "10.567370,11.387410,11.949490,12.477580,12.807300,12.984760,13.095660", \ "10.555270,11.385600,11.931590,12.455600,12.804580,12.967860,13.078890", \ "10.714670,11.577230,12.094150,12.630400,12.973930,13.156230,13.271430", \ "11.230520,12.020830,12.582170,13.081470,13.420970,13.618060,13.730690", \ "12.202820,12.893800,13.396920,13.936290,14.272570,14.417390,14.534630", \ "13.287930,13.980550,14.523910,15.114030,15.503180,15.679980,15.726440"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.863140,15.713950,16.151890,16.551800,17.147610,17.345020,17.684990", \ "14.698420,15.684730,16.103480,16.613050,17.231630,17.274590,17.696500", \ "14.718090,15.603740,16.005730,16.422860,17.018260,17.272700,17.455660", \ "14.673390,15.507980,15.898710,16.313140,17.137980,17.120760,16.436990", \ "14.838580,15.684850,16.120140,16.707080,17.295320,17.089890,17.498450", \ "15.258530,16.192750,16.672000,17.110610,17.632230,18.146010,17.886170", \ "15.996070,16.971150,17.336210,18.027500,18.767770,19.157250,18.882620"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.589950,11.357700,11.945520,12.480990,12.834240,13.021330,13.131980", \ "10.606850,11.350410,11.945260,12.485450,12.829260,13.020010,13.119200", \ "10.588100,11.361650,11.922430,12.473700,12.809760,12.997960,13.104100", \ "10.812460,11.565220,12.122090,12.647610,13.009080,13.197030,13.312430", \ "11.308260,12.103840,12.619600,13.136850,13.497630,13.704320,13.813190", \ "12.250010,12.990570,13.495580,14.053000,14.399080,14.551060,14.668710", \ "13.512060,14.144520,14.684970,15.282620,15.706520,15.888230,15.939830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.817850,16.683800,17.102160,17.597420,18.237520,18.435300,18.778910", \ "15.778560,16.633550,17.047920,17.521030,18.101510,18.742240,18.886330", \ "15.694180,16.544310,16.852540,17.468670,17.976190,18.460030,18.796880", \ "15.607840,16.436570,16.910260,17.481300,18.123900,18.499780,18.584290", \ "15.750660,16.570650,17.007570,17.580450,18.222690,17.973810,17.885660", \ "16.267560,17.158340,17.611600,18.031930,18.778990,18.940220,18.870970", \ "16.797360,17.877630,18.279810,18.872110,19.643100,19.838570,19.118870"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.606800,11.352070,11.939850,12.490070,12.831370,13.016520,13.122740", \ "10.536420,11.330500,11.934190,12.471120,12.810170,12.997480,13.101320", \ "10.550000,11.294270,11.881620,12.419040,12.772450,12.960750,13.066640", \ "10.781170,11.549880,12.081720,12.638900,12.975630,13.173770,13.276160", \ "11.304060,12.074690,12.602770,13.129270,13.490980,13.696360,13.804840", \ "12.292220,13.032090,13.544740,14.085970,14.447250,14.595730,14.719580", \ "13.650060,14.257620,14.811590,15.399570,15.825100,16.006690,16.055130"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.687050,16.501290,16.920710,17.479900,17.717480,18.358450,17.705840", \ "15.615990,16.459580,16.903740,17.459990,17.961000,18.296020,17.824090", \ "15.513790,16.377770,16.763690,17.212920,17.922970,18.099290,18.344890", \ "15.493590,16.348830,16.719390,17.139890,17.814540,17.600280,17.627850", \ "15.660240,16.515130,16.848460,17.299850,18.060270,17.984070,18.094880", \ "16.125660,17.050320,17.480030,18.000120,18.577860,18.865530,18.845730", \ "16.782870,17.724120,18.185710,18.847800,19.437960,19.699400,19.397410"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.589950,11.357700,11.945520,12.480990,12.834240,13.021330,13.131980", \ "10.606850,11.350410,11.945260,12.485450,12.829260,13.020010,13.119200", \ "10.588100,11.361650,11.922430,12.473700,12.809760,12.997960,13.104100", \ "10.812460,11.565220,12.122090,12.647610,13.009080,13.197030,13.312430", \ "11.308260,12.103840,12.619600,13.136850,13.497630,13.704320,13.813190", \ "12.250010,12.990570,13.495580,14.053000,14.399080,14.551060,14.668710", \ "13.512060,14.144520,14.684970,15.282620,15.706520,15.888230,15.939830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.817850,16.683800,17.102160,17.597420,18.237520,18.435300,18.778910", \ "15.778560,16.633550,17.047920,17.521030,18.101510,18.742240,18.886330", \ "15.694180,16.544310,16.852540,17.468670,17.976190,18.460030,18.796880", \ "15.607840,16.436570,16.910260,17.481300,18.123900,18.499780,18.584290", \ "15.750660,16.570650,17.007570,17.580450,18.222690,17.973810,17.885660", \ "16.267560,17.158340,17.611600,18.031930,18.778990,18.940220,18.870970", \ "16.797360,17.877630,18.279810,18.872110,19.643100,19.838570,19.118870"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.629680,11.349150,11.941540,12.500590,12.869250,13.059140,13.165950", \ "10.630410,11.397290,11.928640,12.493830,12.858450,13.051950,13.163180", \ "10.603560,11.380460,11.917740,12.476030,12.847650,13.039050,13.144840", \ "10.844470,11.607670,12.144810,12.688110,13.063910,13.254000,13.362260", \ "11.472230,12.121430,12.684760,13.191050,13.596770,13.799360,13.909050", \ "12.398240,13.046500,13.615080,14.154630,14.544620,14.697410,14.825170", \ "13.838830,14.361250,14.869920,15.458620,15.914880,16.100850,16.155060"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.727380,17.663120,17.988560,18.630290,19.315840,18.885060,19.555680", \ "16.648980,17.613540,18.078540,18.659700,19.349290,19.735490,19.062760", \ "16.555660,17.515860,17.980220,18.466670,19.199750,19.710630,19.142290", \ "16.535180,17.447160,17.876560,18.320810,19.144020,18.862220,19.160140", \ "16.571330,17.538030,17.863320,18.506890,19.199400,19.723350,19.865430", \ "17.109350,18.053750,18.485220,18.978760,19.794270,20.000110,20.299480", \ "17.804190,18.784020,19.189130,19.805130,20.630780,20.706500,21.274110"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.699220,11.533780,12.068800,12.599840,12.920250,13.098710,13.195330", \ "10.691250,11.515340,12.061040,12.578490,12.913330,13.086740,13.189010", \ "10.647140,11.427540,12.023470,12.530150,12.871600,13.050490,13.145360", \ "10.718470,11.492980,12.050160,12.577510,12.917570,13.082120,13.189340", \ "11.012600,11.756370,12.306200,12.808220,13.143420,13.330550,13.442020", \ "11.620290,12.338420,12.906580,13.419880,13.759020,13.877830,13.996020", \ "12.519280,13.200690,13.763040,14.317850,14.682030,14.859380,14.905610"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.682300,16.477540,17.008790,17.616010,18.094710,18.419690,17.748350", \ "15.658370,16.456790,16.988710,17.566520,17.746680,18.353330,17.493280", \ "15.605310,16.391690,16.939150,17.391460,17.708440,18.308440,18.471800", \ "15.582300,16.391130,16.814880,17.456280,17.902650,18.100910,18.430860", \ "15.838470,16.651120,17.150800,17.712750,18.276940,18.274990,18.134500", \ "16.144680,17.141540,17.678500,18.287480,18.870960,18.728490,19.158560", \ "16.989300,17.942470,18.399170,18.986580,19.944550,20.144400,19.723540"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.703660,11.535020,12.072960,12.603830,12.927010,13.098330,13.200980", \ "10.709020,11.490730,12.087750,12.588280,12.933180,13.103830,13.206330", \ "10.684030,11.483360,12.058360,12.582450,12.916970,13.085530,13.183740", \ "10.735410,11.497660,12.097760,12.620790,12.942880,13.129060,13.223730", \ "11.037180,11.763100,12.338220,12.829230,13.173370,13.359230,13.464150", \ "11.544270,12.320060,12.883040,13.414200,13.740780,13.862770,13.980830", \ "12.371410,13.099400,13.669220,14.217010,14.600620,14.772570,14.823140"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.675760,16.528430,16.952750,17.502230,18.372600,18.688540,18.168650", \ "15.633800,16.496580,16.995450,17.490770,18.322720,18.781810,17.806250", \ "15.586750,16.521040,16.956000,17.451320,18.256650,18.635590,18.923830", \ "15.589010,16.395150,16.913310,17.398100,18.234200,18.617000,17.684520", \ "15.711690,16.588690,17.106650,17.603970,18.320180,18.527090,18.731500", \ "16.284380,17.229140,17.670820,18.284580,18.940740,19.418040,19.372020", \ "16.915030,17.976340,18.455470,19.204580,19.738710,20.070560,20.721900"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.736140,11.465910,12.060430,12.606400,12.946490,13.124670,13.230130", \ "10.741100,11.481200,12.073080,12.608240,12.953790,13.134830,13.234550", \ "10.665530,11.457900,12.057870,12.594450,12.925370,13.107940,13.215560", \ "10.767840,11.514700,12.085650,12.618890,12.974580,13.158540,13.258440", \ "11.046180,11.762100,12.343460,12.867290,13.211230,13.398930,13.512510", \ "11.608040,12.334310,12.924640,13.446880,13.798110,13.936600,14.048580", \ "12.466980,13.174170,13.742350,14.312160,14.716410,14.897360,14.932880"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.612090,17.517860,17.929400,18.442300,19.368020,19.479170,19.683080", \ "16.526980,17.479290,17.870420,18.608620,19.199570,19.264810,19.845920", \ "16.482350,17.333110,17.809690,18.362970,19.178810,19.348370,19.614160", \ "16.434010,17.372430,17.788060,18.528280,19.279360,19.400560,19.480470", \ "16.572980,17.513670,17.862050,18.498010,19.366330,19.460810,20.013260", \ "17.128320,18.064760,18.532740,19.130090,19.893600,20.110530,19.326030", \ "17.766870,18.899230,19.228290,19.935050,20.705110,20.518860,20.707980"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.557460,11.391510,11.951670,12.467310,12.826050,12.988490,13.099930", \ "10.553720,11.374660,11.921630,12.451430,12.799230,12.974200,13.080250", \ "10.479080,11.328280,11.885210,12.416420,12.750070,12.920590,13.029060", \ "10.567760,11.368970,11.907650,12.443290,12.780090,12.963170,13.074920", \ "10.883540,11.620530,12.177160,12.682600,13.035950,13.221020,13.321150", \ "11.467480,12.193900,12.751610,13.307220,13.629730,13.764970,13.881130", \ "12.375110,13.049540,13.620840,14.177400,14.551590,14.729440,14.786490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.591490,16.436410,16.782860,17.226850,17.933490,18.045990,17.668470", \ "15.480360,16.339790,16.814130,17.192800,17.588810,17.702140,17.552380", \ "15.429880,16.276510,16.751360,17.292860,17.512590,18.159180,17.391180", \ "15.471690,16.295170,16.678560,17.247340,17.828720,17.990170,17.667920", \ "15.630540,16.555060,16.877020,17.303270,17.558980,18.005520,17.771580", \ "16.078810,16.943980,17.450470,17.966930,18.563450,18.428320,18.666680", \ "16.813420,17.765540,18.172260,18.656910,19.523280,19.702890,19.724940"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.576990,11.395520,11.941370,12.468020,12.821270,12.991760,13.100060", \ "10.524330,11.405120,11.957380,12.469280,12.820500,12.992390,13.095750", \ "10.540850,11.363430,11.916820,12.455440,12.787010,12.961340,13.065370", \ "10.616030,11.406020,11.942760,12.484830,12.822050,13.001760,13.112390", \ "10.918020,11.618600,12.190360,12.706270,13.053860,13.240660,13.350670", \ "11.436310,12.175190,12.764970,13.287010,13.621850,13.754200,13.867590", \ "12.222350,12.971940,13.523690,14.095630,14.473240,14.661530,14.709260"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.552880,16.585480,17.000730,17.505750,17.947950,18.292410,18.675430", \ "15.670050,16.481530,16.874010,17.465590,18.075290,17.832480,18.635360", \ "15.474160,16.499830,16.883600,17.456670,18.065930,18.374610,18.566450", \ "15.599330,16.463360,16.891710,17.378340,18.113440,18.534270,18.555090", \ "15.733390,16.540290,16.995890,17.542610,18.150580,18.329980,17.932550", \ "16.086400,17.165460,17.603750,18.096170,18.764920,19.070640,18.897520", \ "16.806200,17.844470,18.343400,18.896050,19.674620,19.877110,19.092740"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.616250,11.356090,11.943760,12.492840,12.839260,13.026800,13.121480", \ "10.612460,11.352060,11.940440,12.488960,12.830340,13.025630,13.122830", \ "10.580250,11.323330,11.917580,12.463400,12.800140,12.989100,13.091730", \ "10.596790,11.405060,11.957390,12.506880,12.851720,13.040610,13.142080", \ "10.943350,11.701720,12.208660,12.742620,13.087120,13.282930,13.399730", \ "11.526450,12.236570,12.809440,13.332720,13.684940,13.827820,13.946320", \ "12.340790,13.084640,13.607070,14.206480,14.592400,14.774620,14.829600"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.627140,17.563980,17.955500,18.427450,19.229860,19.723180,19.682480", \ "16.556500,17.504490,17.920550,18.394310,19.161640,19.672410,19.456910", \ "16.480020,17.462440,17.892610,18.384310,18.836580,19.345500,18.985730", \ "16.530250,17.399670,17.879860,18.444730,18.876160,19.610380,19.241600", \ "16.632810,17.511330,17.951010,18.452720,18.774890,19.714380,19.344270", \ "17.021120,18.094000,18.508610,18.909740,19.684650,19.936620,19.988970", \ "17.758030,18.786310,19.198360,19.896900,20.692120,20.599140,21.233370"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.597950,11.351770,11.941150,12.493450,12.835770,13.020030,13.125920", \ "10.571520,11.334640,11.921210,12.476580,12.812850,13.008030,13.110790", \ "10.538820,11.287270,11.882570,12.417050,12.768640,12.952460,13.060240", \ "10.584580,11.345340,11.921730,12.455420,12.808200,12.994530,13.105430", \ "10.880220,11.647210,12.200960,12.710680,13.065220,13.260610,13.381170", \ "11.544310,12.255650,12.824580,13.353180,13.698320,13.840540,13.966170", \ "12.418420,13.107680,13.697050,14.280480,14.664790,14.853130,14.909890"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.428390,17.330120,17.664370,18.190510,18.765620,19.177450,19.108710", \ "16.418390,17.277300,17.648650,18.101630,18.877610,18.788160,19.187990", \ "16.349200,17.246420,17.581120,18.281800,18.485440,18.602220,18.986270", \ "16.319480,17.195500,17.609360,18.244830,18.661720,18.964600,19.036350", \ "16.539260,17.418320,17.847320,18.244490,18.885630,18.933230,19.051690", \ "16.996340,17.948990,18.333660,18.987040,19.536130,19.630090,19.203710", \ "17.571070,18.611830,18.996010,19.567650,20.293900,20.839160,20.489520"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.616250,11.356090,11.943760,12.492840,12.839260,13.026800,13.121480", \ "10.612460,11.352060,11.940440,12.488960,12.830340,13.025630,13.122830", \ "10.580250,11.323330,11.917580,12.463400,12.800140,12.989100,13.091730", \ "10.596790,11.405060,11.957390,12.506880,12.851720,13.040610,13.142080", \ "10.943350,11.701720,12.208660,12.742620,13.087120,13.282930,13.399730", \ "11.526450,12.236570,12.809440,13.332720,13.684940,13.827820,13.946320", \ "12.340790,13.084640,13.607070,14.206480,14.592400,14.774620,14.829600"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.627140,17.563980,17.955500,18.427450,19.229860,19.723180,19.682480", \ "16.556500,17.504490,17.920550,18.394310,19.161640,19.672410,19.456910", \ "16.480020,17.462440,17.892610,18.384310,18.836580,19.345500,18.985730", \ "16.530250,17.399670,17.879860,18.444730,18.876160,19.610380,19.241600", \ "16.632810,17.511330,17.951010,18.452720,18.774890,19.714380,19.344270", \ "17.021120,18.094000,18.508610,18.909740,19.684650,19.936620,19.988970", \ "17.758030,18.786310,19.198360,19.896900,20.692120,20.599140,21.233370"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.640420,11.406970,11.938420,12.501910,12.875380,13.063390,13.170080", \ "10.647360,11.350030,11.929670,12.496160,12.865260,13.056700,13.165390", \ "10.610800,11.368680,11.920940,12.466200,12.844550,13.029490,13.138750", \ "10.584960,11.372900,11.956210,12.521570,12.897450,13.085600,13.187750", \ "11.010530,11.687760,12.248890,12.783460,13.143560,13.345010,13.463180", \ "11.579620,12.292830,12.839610,13.417490,13.768790,13.924610,14.043440", \ "12.504730,13.179020,13.720470,14.299600,14.722790,14.915370,14.970530"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.448140,18.553730,18.960480,19.587700,20.286370,20.658180,20.744560", \ "17.498060,18.521000,18.810590,19.348450,19.986010,20.431640,20.818830", \ "17.372770,18.386370,18.884810,19.303520,19.977700,20.347430,20.338740", \ "17.330660,18.443140,18.870940,19.350930,20.052880,20.426080,20.622710", \ "17.557390,18.475560,18.941880,19.400150,20.035090,20.409860,20.612000", \ "18.071570,19.020710,19.374320,19.950670,20.655980,20.869010,21.136540", \ "18.649670,19.677620,20.054150,20.768360,21.591580,21.900910,22.144250"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.462660,12.315190,12.923150,13.502710,13.892150,14.135680,14.291450", \ "11.502560,12.276020,12.886310,13.467200,13.855140,14.090390,14.255180", \ "11.451440,12.205880,12.831740,13.403960,13.811570,14.048120,14.196920", \ "11.594420,12.377550,12.990890,13.561030,13.943740,14.185100,14.339000", \ "12.074770,12.912360,13.426820,13.996520,14.416410,14.644440,14.812660", \ "13.010560,13.734920,14.329240,14.866800,15.255480,15.456150,15.620180", \ "14.297150,14.969340,15.531990,16.121860,16.520390,16.735570,16.840060"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.337740,17.219640,17.552920,18.235880,18.711180,19.158410,19.127120", \ "16.228650,17.164030,17.639820,18.057860,18.925260,18.743710,18.271080", \ "16.181410,17.059420,17.506790,18.165230,18.719100,18.874270,19.207470", \ "16.129510,16.946910,17.425990,18.045380,18.675880,18.854530,18.464910", \ "16.244910,17.064950,17.453300,18.157060,18.571020,18.796400,19.118690", \ "16.252470,17.270890,17.698380,18.546930,19.181240,19.365240,18.662720", \ "16.514300,17.662980,18.026370,18.923260,19.466480,20.185080,20.207020"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.446570,12.211210,12.830840,13.413790,13.798320,14.037780,14.203750", \ "11.375210,12.163010,12.777580,13.346130,13.746590,13.985840,14.154350", \ "11.351630,12.108770,12.723150,13.291620,13.685680,13.924150,14.091120", \ "11.516890,12.289420,12.889470,13.442360,13.841160,14.084490,14.235950", \ "12.046270,12.784300,13.335980,13.897470,14.288640,14.529960,14.688000", \ "12.877400,13.660600,14.189890,14.742650,15.123540,15.314420,15.487730", \ "14.183200,14.826430,15.397110,15.977490,16.379670,16.594130,16.692130"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.137720,17.006310,17.344950,17.971820,18.644160,19.175610,19.107550", \ "16.084990,16.892840,17.333460,17.906160,18.545980,18.994550,18.838840", \ "15.976650,16.793090,17.295680,17.799610,18.611340,18.170870,18.530270", \ "15.881020,16.704790,17.145540,17.671980,18.544250,18.801500,18.849790", \ "15.925510,16.842440,17.324490,17.783840,18.423820,18.329200,18.244820", \ "16.069110,17.075130,17.552310,18.117780,18.762650,18.482430,18.816310", \ "16.362040,17.473470,17.973520,18.656530,19.477210,19.568330,19.879820"); } } internal_power () { related_pin : "C1"; when : "!A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.472840,12.281380,12.829530,13.436610,13.839200,14.077990,14.238990", \ "11.451300,12.232440,12.798180,13.388570,13.788570,14.028600,14.190600", \ "11.383350,12.109210,12.749330,13.313210,13.721210,13.968830,14.131310", \ "11.549610,12.329450,12.885460,13.474020,13.877700,14.124520,14.285040", \ "12.137820,12.836800,13.384650,13.964860,14.367910,14.607900,14.778350", \ "13.020020,13.742700,14.309820,14.859980,15.240050,15.452130,15.626360", \ "14.396020,15.011870,15.536980,16.133260,16.567930,16.789350,16.896420"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.005270,17.857850,18.344060,18.909550,19.689370,20.060700,19.526740", \ "16.957960,17.828020,18.338930,19.000810,19.685830,20.052790,19.473040", \ "16.857460,17.766680,18.100100,18.893530,19.578660,19.871500,20.169850", \ "16.790210,17.664310,18.105650,18.706260,19.461340,19.820170,19.319660", \ "16.797080,17.766040,18.124360,18.866750,19.552660,19.711130,19.622980", \ "17.109910,18.054480,18.485080,18.965840,19.886590,20.328300,20.191390", \ "17.376020,18.329980,18.886720,19.499940,20.435760,20.477540,20.720120"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.241440,12.070010,12.657240,13.249840,13.687960,13.957970,14.141650", \ "11.191540,11.999670,12.618880,13.232340,13.649200,13.916680,14.101920", \ "11.108250,11.952110,12.563020,13.163490,13.584500,13.852680,14.034680", \ "11.382400,12.145770,12.763320,13.341650,13.759190,14.026610,14.209520", \ "11.921670,12.651200,13.244320,13.796370,14.226970,14.485270,14.667790", \ "12.890440,13.590480,14.116620,14.686800,15.073140,15.293270,15.485140", \ "14.058490,14.773460,15.327570,15.927280,16.361160,16.593270,16.709250"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.219660,17.040300,17.410680,18.103640,18.408100,18.984190,18.638280", \ "16.207890,16.987370,17.402770,18.046620,18.647000,18.818040,18.722480", \ "16.110130,16.881510,17.304740,17.953650,18.484420,18.092060,18.377720", \ "16.001440,16.839820,17.222360,17.820700,18.403760,18.524800,18.365970", \ "16.148770,16.918510,17.346780,17.778050,18.575120,18.746450,18.382250", \ "16.245050,17.193430,17.584280,18.164570,18.808760,18.911430,18.968980", \ "16.612890,17.544400,18.019560,18.749190,19.185910,19.829310,19.427910"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.171760,11.964440,12.586380,13.177640,13.623670,13.885740,14.058710", \ "11.128430,11.959360,12.547600,13.137840,13.559240,13.825290,14.004040", \ "11.065920,11.854360,12.490420,13.074330,13.494230,13.761180,13.935690", \ "11.299690,12.065880,12.683740,13.270310,13.670390,13.930780,14.106620", \ "11.775590,12.556370,13.142940,13.695520,14.131340,14.380630,14.558110", \ "12.791740,13.487910,14.027970,14.575140,14.965630,15.178810,15.368810", \ "13.958350,14.654270,15.193990,15.793540,16.233080,16.461770,16.576120"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.078110,16.948710,17.231870,17.833800,18.336490,18.551430,18.769280", \ "15.980350,16.828920,17.305150,17.791200,18.386870,18.354720,18.716350", \ "15.906090,16.738070,17.196400,17.730310,18.324790,18.582260,18.820960", \ "15.847040,16.701290,17.145690,17.573470,17.912410,18.710700,18.282310", \ "15.911890,16.709310,17.142180,17.706650,18.316720,18.741200,18.479610", \ "16.162910,17.009640,17.466620,18.021520,18.338500,19.046840,18.276340", \ "16.466870,17.394970,17.775270,18.374030,19.089740,19.504590,18.991390"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.222370,12.038200,12.615820,13.201320,13.647610,13.922910,14.102620", \ "11.172190,11.988240,12.538970,13.167130,13.604810,13.868860,14.052340", \ "11.111400,11.932280,12.483170,13.101870,13.536030,13.799510,13.980780", \ "11.269930,12.131510,12.708820,13.290840,13.715340,13.981720,14.163230", \ "11.962080,12.616930,13.219280,13.776730,14.206490,14.470200,14.658980", \ "12.904530,13.580450,14.117510,14.698950,15.109610,15.322020,15.514750", \ "14.243620,14.803150,15.392230,15.998330,16.441400,16.673140,16.781330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.001490,17.917070,18.281280,18.829790,19.418040,19.597320,19.983970", \ "16.976100,17.852530,18.266530,18.772130,19.393310,19.571070,19.912920", \ "16.898450,17.767930,18.143480,18.580910,19.211420,19.534700,19.838810", \ "16.814740,17.704730,18.070870,18.586180,19.233190,19.588760,19.774580", \ "16.805950,17.660350,18.133930,18.690140,19.384290,19.704830,19.801840", \ "17.060800,18.052710,18.414890,19.022830,19.689240,20.017530,19.162820", \ "17.291710,18.379270,18.730590,19.441790,19.956980,20.111700,20.710380"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.272830,12.045700,12.696560,13.299110,13.724160,13.999730,14.188240", \ "11.250820,12.071770,12.651340,13.250260,13.686100,13.965470,14.143950", \ "11.208990,12.000300,12.585850,13.184960,13.627380,13.895660,14.079070", \ "11.433360,12.155440,12.784760,13.379090,13.816000,14.074250,14.255080", \ "11.960530,12.708790,13.310260,13.861790,14.305040,14.573330,14.757820", \ "12.958760,13.672200,14.241770,14.803010,15.211960,15.428450,15.621010", \ "14.376600,14.933950,15.517560,16.093150,16.557630,16.790850,16.916810"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.055760,18.009390,18.316360,19.063610,19.643140,19.627140,19.116070", \ "17.050550,17.925050,18.273530,18.978590,19.245960,19.500920,20.084960", \ "16.952580,17.785610,18.171610,18.816510,19.468960,19.319940,19.716620", \ "16.965970,17.758450,18.195740,18.705470,19.371830,19.431080,19.804750", \ "16.957240,17.821630,18.183050,18.890670,19.457010,19.655500,19.975630", \ "17.032810,18.114470,18.464350,19.105250,19.736490,19.968850,20.332690", \ "17.464190,18.427600,18.946890,19.529080,20.359310,20.669210,20.618250"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.222370,12.038200,12.615820,13.201320,13.647610,13.922910,14.102620", \ "11.172190,11.988240,12.538970,13.167130,13.604810,13.868860,14.052340", \ "11.111400,11.932280,12.483170,13.101870,13.536030,13.799510,13.980780", \ "11.269930,12.131510,12.708820,13.290840,13.715340,13.981720,14.163230", \ "11.962080,12.616930,13.219280,13.776730,14.206490,14.470200,14.658980", \ "12.904530,13.580450,14.117510,14.698950,15.109610,15.322020,15.514750", \ "14.243620,14.803150,15.392230,15.998330,16.441400,16.673140,16.781330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.001490,17.917070,18.281280,18.829790,19.418040,19.597320,19.983970", \ "16.976100,17.852530,18.266530,18.772130,19.393310,19.571070,19.912920", \ "16.898450,17.767930,18.143480,18.580910,19.211420,19.534700,19.838810", \ "16.814740,17.704730,18.070870,18.586180,19.233190,19.588760,19.774580", \ "16.805950,17.660350,18.133930,18.690140,19.384290,19.704830,19.801840", \ "17.060800,18.052710,18.414890,19.022830,19.689240,20.017530,19.162820", \ "17.291710,18.379270,18.730590,19.441790,19.956980,20.111700,20.710380"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.295390,12.028490,12.623770,13.249840,13.693780,13.967470,14.148800", \ "11.160180,12.021490,12.591170,13.208140,13.658030,13.916530,14.102520", \ "11.104310,11.926600,12.508200,13.129960,13.584250,13.855750,14.028160", \ "11.389820,12.132440,12.738390,13.326590,13.780300,14.034190,14.209420", \ "11.972430,12.742040,13.279010,13.879970,14.307400,14.581380,14.763400", \ "13.032580,13.683170,14.243950,14.848220,15.253280,15.479700,15.673770", \ "14.481060,14.999750,15.578210,16.191160,16.651510,16.893460,17.009180"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.981890,18.896240,19.272920,19.764920,20.457610,20.737080,20.749360", \ "17.843830,18.805420,19.237840,19.789150,20.265230,20.695690,20.465660", \ "17.830690,18.724730,19.143850,19.625250,19.998720,20.393500,20.181110", \ "17.741500,18.667230,19.072920,19.546930,20.340510,20.418340,20.621820", \ "17.742340,18.662830,19.074660,19.555330,20.206550,20.684770,20.121580", \ "17.959130,19.001640,19.396790,19.971330,20.660990,20.907840,20.722150", \ "18.303380,19.289650,19.833410,20.327070,21.251630,21.529270,20.668830"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.526700,12.316900,12.902500,13.505490,13.891530,14.137470,14.289470", \ "11.519630,12.281600,12.884830,13.450330,13.855760,14.094700,14.255270", \ "11.458040,12.239900,12.833020,13.410990,13.802900,14.040600,14.198800", \ "11.506720,12.256440,12.862330,13.444520,13.828860,14.069970,14.231020", \ "11.684680,12.521180,13.080110,13.649700,14.043710,14.287930,14.450520", \ "12.313210,13.065860,13.650190,14.206650,14.585830,14.778250,14.948150", \ "13.202580,13.897180,14.478200,15.060240,15.461150,15.680710,15.776510"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.063450,17.911400,18.321910,19.063660,19.640880,19.924970,19.746320", \ "17.029740,17.851050,18.316080,18.946460,19.411640,20.046000,19.841310", \ "16.953720,17.787240,18.267230,18.982040,19.553800,19.739090,19.953090", \ "16.936740,17.741590,18.249430,18.761670,19.342900,19.782970,19.935070", \ "17.034030,17.826490,18.423970,19.044380,19.378500,20.026350,19.910730", \ "17.074720,18.107640,18.581790,19.240990,19.781540,20.057640,20.106970", \ "17.517800,18.431050,19.035070,19.684050,20.572450,20.815690,20.359410"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.427830,12.211700,12.824820,13.412020,13.801060,14.039150,14.202530", \ "11.369380,12.165050,12.792500,13.363040,13.748580,13.987460,14.151910", \ "11.319450,12.107340,12.722110,13.303160,13.690610,13.929580,14.093510", \ "11.388860,12.142920,12.755710,13.335040,13.726060,13.960630,14.128580", \ "11.583580,12.398080,12.988820,13.540720,13.932830,14.178830,14.345810", \ "12.222590,12.972660,13.528340,14.084400,14.463540,14.659560,14.825560", \ "13.015500,13.775660,14.342670,14.936560,15.331190,15.555390,15.653600"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.845450,17.764470,18.172370,18.773090,19.557870,19.878230,19.635330", \ "16.848630,17.734790,18.232260,18.704090,19.541210,19.885280,19.374450", \ "16.824340,17.669770,18.173110,18.777880,19.474050,19.819090,19.298720", \ "16.770830,17.669790,18.119720,18.611050,19.479380,19.800000,19.906320", \ "16.774100,17.666130,18.126630,18.843040,19.271590,19.642370,19.646330", \ "17.053520,18.048800,18.503020,19.005140,19.469880,19.647740,20.289100", \ "17.370200,18.393710,18.858270,19.416110,20.426030,20.358270,20.589180"); } } internal_power () { related_pin : "C2"; when : "!A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.475220,12.264730,12.830710,13.411000,13.838430,14.072880,14.238620", \ "11.434480,12.177070,12.803880,13.377080,13.790160,14.033430,14.192640", \ "11.370450,12.170150,12.729250,13.304990,13.724860,13.971470,14.133280", \ "11.346070,12.218080,12.761200,13.350830,13.771060,14.003820,14.168210", \ "11.733260,12.442140,12.997040,13.579040,13.989360,14.229290,14.396050", \ "12.250660,12.989090,13.590220,14.153000,14.537430,14.744440,14.908760", \ "13.142320,13.869900,14.452900,15.039300,15.448770,15.676150,15.773740"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.774110,18.736520,19.103280,19.666010,20.578900,20.648620,20.821890", \ "17.741410,18.595590,19.069110,19.834900,20.537330,20.235040,21.007840", \ "17.651780,18.609810,19.119680,19.773950,20.364400,20.646840,20.846750", \ "17.630280,18.595010,18.986650,19.737270,20.376010,20.071160,20.950580", \ "17.672440,18.656400,19.031180,19.755250,20.416310,20.658530,20.202990", \ "17.934490,18.905750,19.396830,19.953950,20.706730,20.945330,20.574120", \ "18.232570,19.292830,19.729800,20.548390,21.448460,21.614210,21.805580"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.231630,12.039730,12.656940,13.254030,13.689620,13.963680,14.140150", \ "11.196600,12.001330,12.618720,13.211790,13.650670,13.921970,14.107190", \ "11.151080,11.943570,12.562970,13.164120,13.589360,13.867580,14.043420", \ "11.221380,12.011650,12.590480,13.197230,13.634360,13.903190,14.076080", \ "11.452570,12.298030,12.840570,13.415390,13.849560,14.113220,14.302580", \ "12.043190,12.859380,13.427580,14.013440,14.397340,14.614000,14.796430", \ "12.913070,13.701120,14.264270,14.862940,15.280550,15.520190,15.636420"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.961440,17.822810,18.199890,18.816420,19.541460,19.818140,19.215530", \ "16.888490,17.787180,18.176200,18.891140,19.225970,19.471330,19.063010", \ "16.959550,17.765450,18.189020,18.736970,19.346620,19.668230,19.798960", \ "16.808900,17.732110,18.097030,18.752170,19.305360,19.391150,19.834970", \ "16.930560,17.802120,18.150950,18.881390,19.473430,19.465210,19.212200", \ "17.202110,18.126420,18.449250,19.039930,19.805610,20.130060,19.385960", \ "17.529670,18.402310,18.817660,19.581070,20.085920,20.777330,20.616640"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.178770,11.968300,12.586270,13.176920,13.608470,13.873760,14.058060", \ "11.110250,11.917000,12.545700,13.148860,13.561000,13.825950,14.007790", \ "11.072720,11.876880,12.486830,13.079500,13.498300,13.768140,13.943150", \ "11.107600,11.910180,12.527800,13.121870,13.536430,13.801960,13.980880", \ "11.372090,12.170390,12.792840,13.333640,13.760340,14.022780,14.210560", \ "12.017830,12.776160,13.344350,13.915280,14.305590,14.509330,14.699340", \ "12.907280,13.606160,14.132430,14.736320,15.171350,15.416150,15.523210"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.905930,17.709310,18.173760,18.685470,19.225320,19.753390,19.851650", \ "16.867040,17.729640,18.149540,18.603060,19.275520,19.467490,19.816410", \ "16.816160,17.680760,18.099130,18.604070,19.228080,19.790110,19.769230", \ "16.789170,17.651080,18.055770,18.558880,19.076500,19.255230,19.737840", \ "16.828930,17.721540,18.126570,18.617660,18.999850,19.025020,19.827980", \ "17.111500,17.996210,18.436220,18.838470,19.372560,20.064410,19.317170", \ "17.409770,18.396500,18.732520,19.470480,20.246320,19.831710,20.317730"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.149980,11.985200,12.587630,13.211170,13.645700,13.918580,14.101840", \ "11.193590,11.978380,12.574730,13.163560,13.595610,13.870330,14.053860", \ "11.109490,11.918070,12.504970,13.102950,13.531660,13.804820,13.987420", \ "11.175230,11.988910,12.546060,13.159800,13.583110,13.851070,14.027270", \ "11.437090,12.246570,12.798960,13.372370,13.822070,14.086980,14.270290", \ "12.066570,12.806650,13.405800,13.979840,14.385650,14.598410,14.791140", \ "12.964680,13.663130,14.261590,14.857360,15.309350,15.539900,15.656990"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.842420,18.751160,19.154610,19.752000,20.146350,20.419350,21.000400", \ "17.823890,18.679350,19.143170,19.667020,20.324630,20.616760,20.713370", \ "17.760090,18.625790,19.070800,19.547180,20.387500,20.513170,20.319810", \ "17.740710,18.597460,19.051600,19.568460,20.314450,20.592990,20.631290", \ "17.763280,18.644690,19.056750,19.570880,20.154510,20.647450,19.841880", \ "17.996510,18.894490,19.276980,19.883780,20.620590,20.766340,20.853770", \ "18.268530,19.336520,19.687580,20.378090,20.907590,21.382890,21.250940"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.266300,12.111900,12.688120,13.287720,13.739700,14.012390,14.184980", \ "11.223090,12.007400,12.655490,13.249270,13.694090,13.968970,14.147880", \ "11.211320,12.009080,12.597690,13.190870,13.626040,13.900410,14.084470", \ "11.260960,12.063720,12.627310,13.236390,13.665950,13.943820,14.122250", \ "11.599010,12.307880,12.894310,13.465950,13.901830,14.177030,14.360570", \ "12.196770,12.897840,13.500430,14.082930,14.477490,14.704650,14.891140", \ "13.131090,13.761450,14.369180,14.965830,15.410240,15.654340,15.763880"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.839500,18.764630,19.244730,19.639920,20.518680,20.748620,20.970300", \ "17.803570,18.728520,19.210560,19.820370,20.115430,20.489090,20.714970", \ "17.787780,18.663090,19.133940,19.618300,20.205490,20.697060,20.204110", \ "17.783400,18.670800,19.050450,19.692770,20.317490,20.322090,20.244570", \ "17.779810,18.697030,19.169120,19.797240,20.393940,20.447530,20.361620", \ "18.047760,19.007580,19.422550,20.101220,20.724370,20.961700,20.514440", \ "18.364180,19.314320,19.833870,20.577750,21.287670,21.372770,21.891780"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.149980,11.985200,12.587630,13.211170,13.645700,13.918580,14.101840", \ "11.193590,11.978380,12.574730,13.163560,13.595610,13.870330,14.053860", \ "11.109490,11.918070,12.504970,13.102950,13.531660,13.804820,13.987420", \ "11.175230,11.988910,12.546060,13.159800,13.583110,13.851070,14.027270", \ "11.437090,12.246570,12.798960,13.372370,13.822070,14.086980,14.270290", \ "12.066570,12.806650,13.405800,13.979840,14.385650,14.598410,14.791140", \ "12.964680,13.663130,14.261590,14.857360,15.309350,15.539900,15.656990"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.842420,18.751160,19.154610,19.752000,20.146350,20.419350,21.000400", \ "17.823890,18.679350,19.143170,19.667020,20.324630,20.616760,20.713370", \ "17.760090,18.625790,19.070800,19.547180,20.387500,20.513170,20.319810", \ "17.740710,18.597460,19.051600,19.568460,20.314450,20.592990,20.631290", \ "17.763280,18.644690,19.056750,19.570880,20.154510,20.647450,19.841880", \ "17.996510,18.894490,19.276980,19.883780,20.620590,20.766340,20.853770", \ "18.268530,19.336520,19.687580,20.378090,20.907590,21.382890,21.250940"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.255800,12.025100,12.620610,13.235970,13.689420,13.966330,14.147450", \ "11.200750,11.981110,12.596030,13.191530,13.655570,13.922750,14.096890", \ "11.109050,11.893310,12.537270,13.140960,13.587330,13.855480,14.036880", \ "11.170910,12.022180,12.576380,13.187460,13.633750,13.894370,14.079500", \ "11.598280,12.285600,12.838000,13.421130,13.879340,14.159740,14.341900", \ "12.242780,12.883150,13.456220,14.061070,14.479030,14.706660,14.892250", \ "13.159570,13.810000,14.376400,14.993020,15.431550,15.682330,15.805830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("18.814080,19.753690,20.160100,20.632730,21.338610,21.625370,21.309340", \ "18.789650,19.722310,20.134470,20.546120,21.007430,21.940410,22.103410", \ "18.650110,19.679170,20.086260,20.666670,21.369600,21.750790,21.707430", \ "18.703040,19.550520,20.054260,20.532840,21.092560,21.850720,22.016710", \ "18.714160,19.644580,20.075620,20.463020,21.120670,21.830290,21.222410", \ "18.990070,19.876220,20.263470,20.763980,21.378540,22.000710,22.029190", \ "19.207880,20.267720,20.635480,21.352440,22.018560,21.996710,21.722670"); } } } } /****************************************************************************************** Module : BUF_X1 Cell Description : Combinational cell (BUF_X1) with drive strength X1 *******************************************************************************************/ cell (BUF_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 21.438247; leakage_power () { when : "!A"; value : 23.592690; } leakage_power () { when : "A"; value : 19.283803; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.974659; fall_capacitance : 0.875250; rise_capacitance : 0.974659; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.653700; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0201865,0.0235911,0.0269641,0.0326475,0.0426135,0.0612850,0.0980519", \ "0.0216894,0.0250889,0.0284611,0.0341451,0.0441133,0.0627860,0.0995534", \ "0.0282371,0.0316005,0.0349620,0.0406488,0.0506262,0.0693068,0.106085", \ "0.0386967,0.0423879,0.0459970,0.0519401,0.0620489,0.0807319,0.117469", \ "0.0497158,0.0538601,0.0579014,0.0644031,0.0750488,0.0940280,0.130742", \ "0.0617868,0.0663516,0.0708446,0.0780153,0.0893727,0.108751,0.145588", \ "0.0752644,0.0802460,0.0851919,0.0931189,0.105447,0.125609,0.162684"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0150655,0.0192785,0.0240774,0.0333696,0.0517046,0.0881789,0.160987", \ "0.0165564,0.0207573,0.0255464,0.0348375,0.0531776,0.0896605,0.162472", \ "0.0214908,0.0256639,0.0303825,0.0396011,0.0579260,0.0944300,0.167270", \ "0.0260031,0.0305149,0.0352680,0.0444044,0.0626416,0.0990750,0.171904", \ "0.0286695,0.0338681,0.0389159,0.0480290,0.0660890,0.102453,0.175196", \ "0.0292776,0.0351629,0.0408826,0.0503417,0.0683247,0.104510,0.177181", \ "0.0276264,0.0340820,0.0405673,0.0509039,0.0690618,0.105239,0.177778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.00428038,0.00587611,0.00772130,0.0113117,0.0186397,0.0340136,0.0657428", \ "0.00428149,0.00587928,0.00772328,0.0113125,0.0186395,0.0340125,0.0657441", \ "0.00433231,0.00593056,0.00776605,0.0113399,0.0186507,0.0340160,0.0657438", \ "0.00570636,0.00712701,0.00877721,0.0120475,0.0189713,0.0340896,0.0657497", \ "0.00743478,0.00888423,0.0104969,0.0135974,0.0201189,0.0346664,0.0658338", \ "0.00930028,0.0108106,0.0124793,0.0155209,0.0216383,0.0354778,0.0662702", \ "0.0114177,0.0129928,0.0147480,0.0178786,0.0237774,0.0368541,0.0667999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.00431629,0.00745385,0.0115682,0.0200985,0.0373705,0.0719884,0.141199", \ "0.00431547,0.00745699,0.0115710,0.0200973,0.0373767,0.0719737,0.141202", \ "0.00462733,0.00759784,0.0116220,0.0201068,0.0373719,0.0719887,0.141209", \ "0.00578092,0.00834323,0.0120283,0.0202847,0.0374271,0.0719916,0.141212", \ "0.00727015,0.00982049,0.0129680,0.0206046,0.0375723,0.0720789,0.141201", \ "0.00896521,0.0118355,0.0147991,0.0215467,0.0378810,0.0722184,0.141291", \ "0.0109632,0.0140938,0.0173169,0.0234177,0.0386893,0.0726298,0.141465"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.564894,2.799299,2.957067,3.094368,3.177359,3.208676,3.224208", \ "2.523975,2.758870,2.906896,3.051543,3.132619,3.167669,3.184325", \ "2.557562,2.758257,2.912887,3.059657,3.143704,3.185301,3.205477", \ "2.738030,2.918120,3.085918,3.232685,3.321122,3.359244,3.379054", \ "3.094740,3.193507,3.306621,3.503529,3.664130,3.708538,3.728807", \ "3.701766,3.741706,3.808100,3.980866,4.138829,4.252584,4.291949", \ "4.579731,4.551722,4.586452,4.707734,4.887175,5.000311,5.114520"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("1.715355,1.803297,1.852956,1.902489,1.927891,1.807024,1.790761", \ "1.716261,1.766998,1.819000,1.882163,1.876785,1.908889,1.923115", \ "1.742854,1.785255,1.792170,1.783818,1.879641,1.813047,1.663681", \ "1.950981,1.972777,1.947016,1.928201,1.916752,1.918670,1.887537", \ "2.299397,2.399779,2.396534,2.358520,2.314282,2.138670,2.233501", \ "2.887732,3.014187,3.058108,3.050280,2.983688,2.729248,2.939056", \ "3.627490,3.770017,3.871934,3.939645,3.943141,3.841790,3.726703"); } } } } /****************************************************************************************** Module : BUF_X2 Cell Description : Combinational cell (BUF_X2) with drive strength X2 *******************************************************************************************/ cell (BUF_X2) { drive_strength : 2; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 43.060820; leakage_power () { when : "!A"; value : 47.310340; } leakage_power () { when : "A"; value : 38.811300; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.779209; fall_capacitance : 1.589398; rise_capacitance : 1.779209; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0187474,0.0224488,0.0256927,0.0312191,0.0410395,0.0596244,0.0963609", \ "0.0202558,0.0239491,0.0271923,0.0327201,0.0425427,0.0611304,0.0978642", \ "0.0268202,0.0304699,0.0337029,0.0392358,0.0490686,0.0676625,0.104400", \ "0.0367892,0.0408396,0.0443331,0.0501342,0.0601166,0.0787031,0.115398", \ "0.0473379,0.0518830,0.0557915,0.0621109,0.0725587,0.0914062,0.128091", \ "0.0590100,0.0640195,0.0683737,0.0753411,0.0864593,0.105649,0.142420", \ "0.0721174,0.0775884,0.0823950,0.0901152,0.102186,0.122117,0.159110"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0141067,0.0188104,0.0235929,0.0328753,0.0511938,0.0876271,0.160363", \ "0.0155915,0.0202788,0.0250515,0.0343340,0.0526583,0.0891031,0.161849", \ "0.0203322,0.0249906,0.0296911,0.0389029,0.0572153,0.0936897,0.166459", \ "0.0244549,0.0294613,0.0341694,0.0432956,0.0615315,0.0979372,0.170697", \ "0.0267541,0.0325198,0.0374720,0.0465454,0.0646024,0.100949,0.173625", \ "0.0270281,0.0335531,0.0391531,0.0485155,0.0664983,0.102675,0.175301", \ "0.0250957,0.0322443,0.0386044,0.0487990,0.0669169,0.103117,0.175611"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00396075,0.00571639,0.00754159,0.0111375,0.0185249,0.0340006,0.0657762", \ "0.00396094,0.00571940,0.00754298,0.0111384,0.0185253,0.0340002,0.0657751", \ "0.00403948,0.00578816,0.00759659,0.0111706,0.0185377,0.0340033,0.0657766", \ "0.00549775,0.00704315,0.00865990,0.0119292,0.0188879,0.0340729,0.0657796", \ "0.00720097,0.00876548,0.0103353,0.0133946,0.0199487,0.0346343,0.0658755", \ "0.00906665,0.0106876,0.0123072,0.0152818,0.0213976,0.0353691,0.0663016", \ "0.0111963,0.0128889,0.0145927,0.0176435,0.0235067,0.0367072,0.0668173"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00401152,0.00755523,0.0117044,0.0202535,0.0375284,0.0721114,0.141300", \ "0.00400944,0.00755645,0.0117061,0.0202515,0.0375292,0.0721042,0.141294", \ "0.00436451,0.00771030,0.0117608,0.0202592,0.0375226,0.0721241,0.141301", \ "0.00552182,0.00838708,0.0121269,0.0204390,0.0375889,0.0721153,0.141295", \ "0.00700038,0.00982222,0.0130075,0.0207340,0.0377219,0.0722205,0.141303", \ "0.00870547,0.0118617,0.0147974,0.0216370,0.0380653,0.0723666,0.141396", \ "0.0107362,0.0141605,0.0173191,0.0234496,0.0388660,0.0728198,0.141575"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.989958,5.409677,5.696893,5.942332,6.076158,6.134255,6.154258", \ "4.896762,5.323680,5.608939,5.858114,5.992373,6.049981,6.078576", \ "4.947056,5.385680,5.634222,5.880699,6.026103,6.099005,6.131450", \ "5.328271,5.690029,5.986062,6.239579,6.389131,6.457090,6.492921", \ "6.074062,6.227885,6.464953,6.791431,7.083862,7.181143,7.217168", \ "7.377700,7.377271,7.483094,7.792819,8.069403,8.297954,8.386824", \ "9.096639,9.043154,9.075276,9.299188,9.615724,9.835075,10.067120"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.418789,3.589980,3.661563,3.794015,3.788002,3.758481,3.633766", \ "3.374842,3.533519,3.610799,3.710424,3.799736,3.707041,3.411756", \ "3.418481,3.538888,3.551418,3.664985,3.701775,3.627171,3.358611", \ "3.859970,3.931811,3.906393,3.920859,3.825597,3.819884,3.775321", \ "4.661946,4.842539,4.794501,4.673361,4.557972,4.618576,4.502583", \ "5.746962,6.110439,6.158802,6.163572,5.962920,5.968239,5.757925", \ "7.236120,7.621414,7.782703,7.874281,7.935738,7.849258,7.140852"); } } } } /****************************************************************************************** Module : BUF_X4 Cell Description : Combinational cell (BUF_X4) with drive strength X4 *******************************************************************************************/ cell (BUF_X4) { drive_strength : 4; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 86.121805; leakage_power () { when : "!A"; value : 94.620680; } leakage_power () { when : "A"; value : 77.622930; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.401892; fall_capacitance : 3.003701; rise_capacitance : 3.401892; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0177123,0.0215843,0.0247709,0.0302248,0.0399834,0.0585584,0.0953352", \ "0.0192381,0.0231013,0.0262873,0.0317426,0.0415036,0.0600800,0.0968578", \ "0.0258174,0.0296289,0.0328068,0.0382686,0.0480393,0.0666218,0.103403", \ "0.0354361,0.0396896,0.0431353,0.0488689,0.0588015,0.0773681,0.114099", \ "0.0456879,0.0504527,0.0543021,0.0605288,0.0708721,0.0896736,0.126395", \ "0.0571213,0.0623713,0.0666605,0.0735260,0.0845100,0.103619,0.140410", \ "0.0700128,0.0757492,0.0804932,0.0881096,0.100040,0.119860,0.156873"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0133259,0.0183294,0.0231278,0.0324288,0.0507701,0.0872519,0.160074", \ "0.0148096,0.0197922,0.0245791,0.0338809,0.0522319,0.0887233,0.161554", \ "0.0193796,0.0243348,0.0290476,0.0382822,0.0566239,0.0931423,0.166005", \ "0.0231881,0.0285044,0.0332105,0.0423565,0.0606314,0.0970876,0.169941", \ "0.0252009,0.0313125,0.0362395,0.0453235,0.0634183,0.0998238,0.172601", \ "0.0252112,0.0321063,0.0376691,0.0470123,0.0650303,0.101269,0.173998", \ "0.0230395,0.0305721,0.0368930,0.0470451,0.0651822,0.101456,0.174057"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00372066,0.00555589,0.00737796,0.0109908,0.0184336,0.0339969,0.0658470", \ "0.00372141,0.00555911,0.00738010,0.0109918,0.0184334,0.0339970,0.0658452", \ "0.00383059,0.00564352,0.00744274,0.0110284,0.0184481,0.0339994,0.0658447", \ "0.00533949,0.00693340,0.00853497,0.0118147,0.0188170,0.0340680,0.0658481", \ "0.00703503,0.00863841,0.0101786,0.0132177,0.0198115,0.0346181,0.0659552", \ "0.00890926,0.0105684,0.0121457,0.0150810,0.0212124,0.0353055,0.0663738", \ "0.0110668,0.0127899,0.0144542,0.0174555,0.0233017,0.0366192,0.0668849"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00372388,0.00749030,0.0116602,0.0202328,0.0375261,0.0721556,0.141416", \ "0.00372562,0.00749243,0.0116631,0.0202331,0.0375318,0.0721522,0.141413", \ "0.00410698,0.00765819,0.0117212,0.0202394,0.0375246,0.0721523,0.141414", \ "0.00527127,0.00829596,0.0120696,0.0204176,0.0375925,0.0721521,0.141421", \ "0.00673628,0.00971214,0.0129214,0.0207055,0.0377353,0.0722606,0.141431", \ "0.00846061,0.0117612,0.0146901,0.0215877,0.0380866,0.0724219,0.141523", \ "0.0105334,0.0140797,0.0172181,0.0233730,0.0388930,0.0728947,0.141704"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("9.484298,10.365730,10.897340,11.319590,11.557810,11.652290,11.705730", \ "9.280335,10.225130,10.723640,11.145490,11.402880,11.500210,11.558640", \ "9.506361,10.314480,10.793950,11.220740,11.494190,11.625810,11.688640", \ "10.171230,10.900380,11.482370,11.955760,12.219910,12.362260,12.437730", \ "11.756880,12.073960,12.432630,13.058820,13.624080,13.837160,13.904800", \ "14.424750,14.377890,14.607770,15.105670,15.622980,16.081770,16.278400", \ "17.998940,17.733740,17.776050,18.153130,18.769210,19.195070,19.674930"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.395623,6.872192,6.959770,7.225263,7.272237,7.079454,7.453050", \ "6.307507,6.709347,6.813185,7.120116,7.041335,7.370511,6.967154", \ "6.431981,6.702703,6.769538,6.883670,7.176099,7.093668,6.828268", \ "7.385360,7.554653,7.420594,7.497256,7.486761,7.577713,7.313214", \ "8.870772,9.410002,9.367359,9.253044,9.034167,9.006363,8.977706", \ "11.233390,11.845080,11.954760,12.061770,11.582610,11.491270,11.227750", \ "14.297030,14.950820,15.342580,15.507540,15.392110,15.513110,14.473790"); } } } } /****************************************************************************************** Module : BUF_X8 Cell Description : Combinational cell (BUF_X8) with drive strength X8 *******************************************************************************************/ cell (BUF_X8) { drive_strength : 8; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 172.244545; leakage_power () { when : "!A"; value : 189.241800; } leakage_power () { when : "A"; value : 155.247290; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.585178; fall_capacitance : 5.810134; rise_capacitance : 6.585178; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 484.009000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.0180211,0.0220423,0.0252589,0.0307610,0.0405776,0.0591959,0.0960046", \ "0.0195721,0.0235877,0.0268044,0.0323084,0.0421273,0.0607471,0.0975582", \ "0.0261487,0.0301108,0.0333207,0.0388323,0.0486613,0.0672889,0.104103", \ "0.0357599,0.0401541,0.0436189,0.0493905,0.0593842,0.0780010,0.114770", \ "0.0460357,0.0509429,0.0548018,0.0610453,0.0714378,0.0903030,0.127066", \ "0.0575064,0.0629062,0.0672050,0.0740747,0.0850886,0.104254,0.141102", \ "0.0704393,0.0763353,0.0810843,0.0887063,0.100648,0.120520,0.157590"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.0136865,0.0189653,0.0238222,0.0331709,0.0515593,0.0881085,0.161066", \ "0.0151608,0.0204184,0.0252653,0.0346164,0.0530139,0.0895724,0.162535", \ "0.0196948,0.0249219,0.0297039,0.0389947,0.0573848,0.0939742,0.166969", \ "0.0235171,0.0290632,0.0338466,0.0430688,0.0614000,0.0979346,0.170920", \ "0.0255693,0.0318809,0.0368686,0.0460377,0.0642123,0.100704,0.173621", \ "0.0256231,0.0327241,0.0383055,0.0477263,0.0658352,0.102174,0.175045", \ "0.0234968,0.0312397,0.0375648,0.0477575,0.0659976,0.102373,0.175124"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.00374890,0.00565418,0.00749381,0.0111273,0.0185738,0.0341238,0.0659775", \ "0.00375109,0.00565734,0.00749604,0.0111282,0.0185738,0.0341234,0.0659774", \ "0.00385655,0.00573852,0.00755732,0.0111641,0.0185881,0.0341267,0.0659755", \ "0.00534161,0.00699157,0.00861689,0.0119299,0.0189537,0.0341980,0.0659806", \ "0.00703435,0.00867887,0.0102271,0.0133019,0.0199399,0.0347512,0.0660895", \ "0.00891352,0.0106081,0.0121859,0.0151426,0.0213214,0.0354417,0.0665106", \ "0.0110863,0.0128421,0.0145020,0.0175027,0.0233923,0.0367549,0.0670277"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("0.00378464,0.00767442,0.0118333,0.0203888,0.0377024,0.0723879,0.141772", \ "0.00378394,0.00767669,0.0118362,0.0203927,0.0377051,0.0723941,0.141779", \ "0.00413065,0.00784067,0.0119003,0.0204001,0.0377091,0.0723971,0.141782", \ "0.00522540,0.00844101,0.0122523,0.0205886,0.0377726,0.0723971,0.141773", \ "0.00668091,0.00978709,0.0130727,0.0208880,0.0379094,0.0725002,0.141779", \ "0.00840951,0.0118071,0.0147887,0.0217684,0.0382729,0.0726550,0.141881", \ "0.0105017,0.0141254,0.0172790,0.0235141,0.0390893,0.0731247,0.142062"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("18.662090,20.543880,21.525640,22.387950,22.857040,23.082110,23.176260", \ "18.399950,20.279030,21.256100,22.092930,22.620890,22.848940,22.947990", \ "18.880720,20.475140,21.430760,22.288150,22.838950,23.117010,23.249320", \ "20.332950,21.713300,22.893450,23.802990,24.339670,24.611410,24.750320", \ "23.666740,24.051920,24.915910,26.049970,27.184320,27.575280,27.704790", \ "28.890060,28.709770,29.125840,30.140990,31.141200,32.063810,32.465160", \ "35.941320,35.544100,35.526750,36.279840,37.451400,38.303490,39.244290"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.125300,30.250600,60.501100,121.002000,242.005000,484.009000"); values ("12.515700,13.527220,13.879870,14.474500,14.039600,14.785730,14.697000", \ "12.458230,13.275890,13.763620,13.987680,14.505790,14.070020,14.841550", \ "12.760080,13.362200,13.518360,13.507380,13.962700,14.053430,14.791100", \ "14.722770,15.029860,14.988990,15.119400,15.352530,14.497940,13.844850", \ "17.750050,18.653370,18.559340,18.499240,18.314790,17.698110,17.164240", \ "22.460830,23.857770,24.122920,23.798980,23.332780,22.449670,22.333850", \ "29.010710,29.913960,30.662060,31.263010,31.667970,30.360730,30.763390"); } } } } /****************************************************************************************** Module : BUF_X16 Cell Description : Combinational cell (BUF_X16) with drive strength X16 *******************************************************************************************/ cell (BUF_X16) { drive_strength : 16; area : 6.650000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 344.488100; leakage_power () { when : "!A"; value : 378.483600; } leakage_power () { when : "A"; value : 310.492600; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 12.410827; fall_capacitance : 10.996910; rise_capacitance : 12.410827; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 965.576000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.0185573,0.0226692,0.0258886,0.0313802,0.0411735,0.0597683,0.0965583", \ "0.0200113,0.0241147,0.0273331,0.0328260,0.0426214,0.0612182,0.0980087", \ "0.0265465,0.0305955,0.0338070,0.0393068,0.0491127,0.0677150,0.104509", \ "0.0362416,0.0407527,0.0442256,0.0499931,0.0599554,0.0785475,0.115292", \ "0.0466039,0.0516519,0.0555336,0.0617938,0.0721751,0.0910062,0.127744", \ "0.0581497,0.0637145,0.0680409,0.0749447,0.0859722,0.105111,0.141923", \ "0.0711546,0.0772251,0.0820132,0.0896767,0.101653,0.121509,0.158534"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.0141412,0.0194559,0.0243124,0.0337056,0.0522159,0.0890183,0.162494", \ "0.0155399,0.0208367,0.0256832,0.0350767,0.0535942,0.0904102,0.163885", \ "0.0201657,0.0254369,0.0302124,0.0395408,0.0580502,0.0948933,0.168398", \ "0.0241167,0.0297880,0.0345608,0.0438089,0.0622515,0.0990378,0.172537", \ "0.0262473,0.0327539,0.0377511,0.0469436,0.0652156,0.101950,0.175381", \ "0.0263713,0.0336821,0.0393105,0.0487640,0.0669652,0.103527,0.176904", \ "0.0243015,0.0322606,0.0386580,0.0489047,0.0672207,0.103803,0.177046"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.00367423,0.00559475,0.00742199,0.0110369,0.0184730,0.0340285,0.0658923", \ "0.00367465,0.00559791,0.00742394,0.0110375,0.0184730,0.0340285,0.0658916", \ "0.00378284,0.00567967,0.00748532,0.0110734,0.0184870,0.0340304,0.0658940", \ "0.00528573,0.00694911,0.00855855,0.0118438,0.0188473,0.0340992,0.0658988", \ "0.00698345,0.00865670,0.0102033,0.0132525,0.0198507,0.0346483,0.0659993", \ "0.00885827,0.0105878,0.0121734,0.0151198,0.0212555,0.0353420,0.0664193", \ "0.0110192,0.0128143,0.0144866,0.0174942,0.0233520,0.0366533,0.0669257"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("0.00361052,0.00754148,0.0117401,0.0203785,0.0378271,0.0727744,0.142673", \ "0.00361108,0.00754378,0.0117401,0.0203771,0.0378274,0.0727732,0.142676", \ "0.00398238,0.00769995,0.0117974,0.0203842,0.0378219,0.0727806,0.142663", \ "0.00514131,0.00833664,0.0121479,0.0205618,0.0378865,0.0727678,0.142672", \ "0.00658217,0.00974221,0.0129927,0.0208447,0.0380301,0.0728706,0.142672", \ "0.00829094,0.0117765,0.0147472,0.0217173,0.0383535,0.0730214,0.142777", \ "0.0103577,0.0140754,0.0172492,0.0234747,0.0391454,0.0734615,0.142935"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("37.456630,41.302170,43.300640,45.054340,46.031120,46.477060,46.641150", \ "36.796250,40.684230,42.811760,44.502150,45.528360,45.967110,46.150030", \ "37.709970,41.157240,43.062050,44.888180,45.929490,46.473030,46.734940", \ "40.769820,43.619540,45.994370,47.892190,48.961630,49.467360,49.738680", \ "47.390050,48.341520,49.935490,52.419960,54.631340,55.428630,55.672490", \ "57.962410,57.644520,58.462550,60.542070,62.657770,64.426670,65.164990", \ "71.848400,71.131060,71.249660,72.788210,75.175770,76.864040,78.780410"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.174200,60.348500,120.697000,241.394000,482.788000,965.576000"); values ("24.788080,26.497790,27.597070,28.048400,28.749750,27.232460,27.230500", \ "24.424370,26.277590,27.068090,27.864930,28.593560,26.815760,27.211160", \ "25.002120,26.126160,26.773470,27.362190,26.240570,27.102780,26.316280", \ "28.862200,29.456940,29.404700,28.880770,28.547120,29.313130,29.051110", \ "35.182190,37.032360,36.778100,35.743040,35.356120,36.245180,35.459490", \ "44.462720,47.265550,47.777310,47.001110,46.947520,45.027960,44.102360", \ "57.218930,59.402530,60.792140,61.919440,60.646240,60.625820,59.882450"); } } } } /****************************************************************************************** Module : BUF_X32 Cell Description : Combinational cell (BUF_X32) with drive strength X32 *******************************************************************************************/ cell (BUF_X32) { drive_strength : 32; area : 13.034000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 688.976200; leakage_power () { when : "!A"; value : 756.962800; } leakage_power () { when : "A"; value : 620.989600; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 26.703923; fall_capacitance : 23.572528; rise_capacitance : 26.703923; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 1904.300000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.0181303,0.0223233,0.0255825,0.0311385,0.0410174,0.0597048,0.0966093", \ "0.0196851,0.0238720,0.0271312,0.0326896,0.0425716,0.0612607,0.0981658", \ "0.0262546,0.0303830,0.0336364,0.0392031,0.0490964,0.0677921,0.104701", \ "0.0358924,0.0404542,0.0439544,0.0497786,0.0598352,0.0785280,0.115391", \ "0.0462112,0.0512925,0.0551747,0.0614623,0.0719193,0.0908591,0.127724", \ "0.0577339,0.0633134,0.0676256,0.0745246,0.0855896,0.104827,0.141775", \ "0.0707288,0.0768025,0.0815612,0.0891938,0.101164,0.121084,0.158234"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.0138626,0.0194829,0.0245084,0.0341500,0.0530928,0.0907513,0.165915", \ "0.0153373,0.0209368,0.0259536,0.0355969,0.0545506,0.0922174,0.167390", \ "0.0198712,0.0254331,0.0303868,0.0399783,0.0589349,0.0966284,0.171833", \ "0.0237141,0.0295762,0.0345312,0.0440625,0.0629641,0.100613,0.175811", \ "0.0257932,0.0324131,0.0375460,0.0470178,0.0657599,0.103367,0.178494", \ "0.0258785,0.0332799,0.0389794,0.0486613,0.0673226,0.104757,0.179841", \ "0.0237747,0.0318195,0.0382385,0.0486209,0.0673822,0.104817,0.179760"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.00375566,0.00571264,0.00756832,0.0112266,0.0187095,0.0343303,0.0663631", \ "0.00375654,0.00571539,0.00757004,0.0112274,0.0187099,0.0343312,0.0663622", \ "0.00385648,0.00579287,0.00762860,0.0112611,0.0187239,0.0343341,0.0663638", \ "0.00534901,0.00703394,0.00867567,0.0120179,0.0190863,0.0344071,0.0663680", \ "0.00705671,0.00872345,0.0102812,0.0133805,0.0200713,0.0349646,0.0664732", \ "0.00895707,0.0106628,0.0122401,0.0152088,0.0214361,0.0356505,0.0668987", \ "0.0111469,0.0129104,0.0145579,0.0175579,0.0234800,0.0369350,0.0674006"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("0.00380957,0.00790309,0.0121909,0.0210072,0.0388624,0.0746330,0.146212", \ "0.00380997,0.00790620,0.0121935,0.0210085,0.0388576,0.0746419,0.146219", \ "0.00413813,0.00806300,0.0122552,0.0210182,0.0388637,0.0746474,0.146216", \ "0.00520665,0.00863244,0.0125951,0.0212052,0.0389266,0.0746400,0.146213", \ "0.00664568,0.00991609,0.0133604,0.0214796,0.0390604,0.0747385,0.146225", \ "0.00836860,0.0118962,0.0149879,0.0222856,0.0393755,0.0748887,0.146314", \ "0.0104505,0.0141866,0.0174078,0.0239166,0.0401289,0.0752948,0.146473"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("73.838260,81.713390,85.533930,88.921840,90.869110,91.731970,92.040070", \ "73.624240,80.655540,84.614310,88.073550,89.970340,90.820710,91.175960", \ "75.092300,81.626390,85.306300,88.890560,91.007790,91.996230,92.459780", \ "81.322920,86.773340,91.141200,94.910980,96.911430,97.999440,98.463530", \ "93.848280,95.870470,99.284890,103.889200,108.306800,109.737300,110.106100", \ "114.536300,114.433300,116.213800,120.139200,124.046800,127.592500,128.881700", \ "142.927100,141.201100,141.413500,144.423600,148.930700,152.100100,155.715800"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,59.509400,119.019000,238.037000,476.075000,952.150000,1904.300000"); values ("49.067580,53.209460,55.002870,56.213980,54.679130,54.674800,54.815390", \ "48.440450,52.256520,53.137340,55.307630,56.676080,51.974720,52.310210", \ "49.725410,52.431050,53.218900,54.566110,55.529330,54.342390,53.778720", \ "57.150380,58.718620,58.732240,57.797710,59.424100,56.761350,59.530360", \ "70.091080,72.961000,72.795730,70.667980,69.171600,71.494300,71.760230", \ "88.085040,93.421360,94.357930,93.747940,91.243230,88.656400,87.621590", \ "113.368800,117.400100,120.259000,122.017500,121.660900,119.562900,117.828700"); } } } } /****************************************************************************************** Module : CLKBUF_X1 Cell Description : Combinational cell (CLKBUF_X1) with drive strength X1 *******************************************************************************************/ cell (CLKBUF_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 11.214093; leakage_power () { when : "!A"; value : 12.763410; } leakage_power () { when : "A"; value : 9.664776; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.779830; fall_capacitance : 0.699202; rise_capacitance : 0.779830; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0208888,0.0263443,0.0320429,0.0424637,0.0625163,0.102291,0.181734", \ "0.0225442,0.0279939,0.0336916,0.0441151,0.0641669,0.103945,0.183393", \ "0.0289030,0.0342866,0.0399672,0.0503976,0.0704734,0.110275,0.189736", \ "0.0380900,0.0438486,0.0497202,0.0602443,0.0802857,0.120052,0.199506", \ "0.0467874,0.0531305,0.0594213,0.0702761,0.0904760,0.130218,0.209592", \ "0.0551240,0.0620880,0.0689442,0.0803561,0.100747,0.140494,0.219850", \ "0.0632098,0.0707912,0.0783052,0.0905666,0.111524,0.151329,0.230699"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0214097,0.0264722,0.0316710,0.0411610,0.0595011,0.0959699,0.168844", \ "0.0227400,0.0278002,0.0329979,0.0424896,0.0608341,0.0972998,0.170179", \ "0.0281864,0.0332040,0.0383743,0.0478518,0.0661958,0.102670,0.175557", \ "0.0359852,0.0414973,0.0468471,0.0563730,0.0746336,0.111053,0.183901", \ "0.0429160,0.0491941,0.0550575,0.0648258,0.0830716,0.119406,0.192162", \ "0.0492331,0.0562538,0.0628652,0.0732840,0.0916305,0.127828,0.200519", \ "0.0549900,0.0626927,0.0701065,0.0816108,0.100499,0.136700,0.209288"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00477875,0.00795594,0.0118840,0.0200311,0.0369831,0.0714419,0.140498", \ "0.00478066,0.00795798,0.0118862,0.0200308,0.0369832,0.0714430,0.140498", \ "0.00485187,0.00801795,0.0119228,0.0200456,0.0369850,0.0714366,0.140494", \ "0.00608770,0.00899864,0.0126186,0.0203496,0.0370310,0.0714445,0.140500", \ "0.00774780,0.0105940,0.0139653,0.0212557,0.0374938,0.0715143,0.140490", \ "0.00963515,0.0125623,0.0158360,0.0225901,0.0380755,0.0718778,0.140564", \ "0.0117986,0.0148722,0.0182163,0.0246563,0.0392290,0.0722605,0.140847"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00540643,0.00840922,0.0122335,0.0203527,0.0373894,0.0720220,0.141327", \ "0.00540495,0.00840897,0.0122361,0.0203506,0.0373891,0.0720175,0.141323", \ "0.00546636,0.00845878,0.0122694,0.0203648,0.0373993,0.0720119,0.141317", \ "0.00682872,0.00950813,0.0129453,0.0206467,0.0374365,0.0719963,0.141322", \ "0.00849712,0.0112927,0.0143652,0.0213795,0.0377130,0.0720671,0.141329", \ "0.0104088,0.0134541,0.0165326,0.0227911,0.0381733,0.0722556,0.141389", \ "0.0126415,0.0158945,0.0192619,0.0251804,0.0393170,0.0726244,0.141554"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("2.225774,2.420305,2.495206,2.548558,2.583315,2.598240,2.606537", \ "2.220050,2.399410,2.474639,2.532884,2.560473,2.578509,2.588346", \ "2.224484,2.381124,2.460258,2.516715,2.559018,2.581933,2.592381", \ "2.283393,2.479645,2.561836,2.608706,2.648529,2.673358,2.691497", \ "2.432089,2.597444,2.714386,2.827908,2.864847,2.895940,2.911789", \ "2.812893,2.920127,3.026510,3.120197,3.218270,3.273576,3.290394", \ "3.393389,3.438796,3.532594,3.632294,3.713877,3.827932,3.850857"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("1.367446,1.515054,1.560361,1.570883,1.506632,1.519196,1.590123", \ "1.357194,1.469724,1.535313,1.548093,1.474316,1.589212,1.511694", \ "1.367745,1.470786,1.498401,1.535216,1.485631,1.527094,1.423979", \ "1.482293,1.543724,1.591586,1.598769,1.606970,1.639918,1.611293", \ "1.721746,1.841987,1.864151,1.805958,1.708387,1.626567,1.824876", \ "2.143838,2.239617,2.296332,2.266007,2.227586,2.176607,1.954106", \ "2.723968,2.765930,2.847448,2.884926,2.855420,2.788149,2.547530"); } } } } /****************************************************************************************** Module : CLKBUF_X2 Cell Description : Combinational cell (CLKBUF_X2) with drive strength X2 *******************************************************************************************/ cell (CLKBUF_X2) { drive_strength : 2; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.917620; leakage_power () { when : "!A"; value : 25.899060; } leakage_power () { when : "A"; value : 19.936180; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.405914; fall_capacitance : 1.238170; rise_capacitance : 1.405914; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.460000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0192545,0.0252445,0.0308236,0.0411510,0.0611697,0.100948,0.180397", \ "0.0209009,0.0268811,0.0324600,0.0427908,0.0628161,0.102598,0.182047", \ "0.0272358,0.0331377,0.0387009,0.0490403,0.0690857,0.108888,0.188354", \ "0.0359104,0.0422554,0.0480016,0.0584435,0.0784462,0.118215,0.197669", \ "0.0442018,0.0511820,0.0572964,0.0679861,0.0881216,0.127876,0.207250", \ "0.0523142,0.0599808,0.0666365,0.0778165,0.0980883,0.137841,0.217221", \ "0.0603395,0.0686940,0.0759977,0.0879892,0.108771,0.148586,0.227981"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.0188868,0.0243748,0.0294319,0.0388284,0.0571486,0.0936212,0.166502", \ "0.0202132,0.0256957,0.0307526,0.0401515,0.0584746,0.0949477,0.167831", \ "0.0256022,0.0310372,0.0360665,0.0454478,0.0637690,0.100252,0.173145", \ "0.0324733,0.0385104,0.0437005,0.0531192,0.0713630,0.107783,0.180649", \ "0.0384700,0.0453598,0.0510039,0.0605774,0.0787761,0.115135,0.187914", \ "0.0438103,0.0515231,0.0579227,0.0680885,0.0863426,0.122563,0.195297", \ "0.0484571,0.0569566,0.0641756,0.0753990,0.0941256,0.130384,0.203013"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00431231,0.00786546,0.0118311,0.0200595,0.0370955,0.0715776,0.140632", \ "0.00431351,0.00786815,0.0118326,0.0200603,0.0370965,0.0715780,0.140633", \ "0.00441702,0.00793823,0.0118738,0.0200747,0.0370974,0.0715785,0.140637", \ "0.00570427,0.00891852,0.0125723,0.0203883,0.0371383,0.0715797,0.140636", \ "0.00732543,0.0104472,0.0138026,0.0211851,0.0375885,0.0716698,0.140634", \ "0.00918493,0.0123850,0.0156037,0.0224137,0.0380976,0.0720317,0.140720", \ "0.0113483,0.0146945,0.0179590,0.0243902,0.0391992,0.0724090,0.141009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00480743,0.00818762,0.0120926,0.0203500,0.0374924,0.0721228,0.141463", \ "0.00480852,0.00818954,0.0120955,0.0203496,0.0374983,0.0721210,0.141459", \ "0.00495187,0.00826844,0.0121394,0.0203638,0.0374939,0.0721298,0.141470", \ "0.00637766,0.00931304,0.0127893,0.0206380,0.0375390,0.0721330,0.141461", \ "0.00801240,0.0110490,0.0140955,0.0212494,0.0377876,0.0722124,0.141459", \ "0.00993004,0.0132386,0.0162262,0.0225616,0.0382148,0.0724041,0.141551", \ "0.0121987,0.0157197,0.0189788,0.0248751,0.0393153,0.0728155,0.141738"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("4.263193,4.641830,4.785048,4.871822,4.932639,4.957263,4.969713", \ "4.238265,4.602159,4.735349,4.828824,4.891990,4.920331,4.937805", \ "4.259521,4.587379,4.714492,4.807294,4.883995,4.930945,4.953060", \ "4.352857,4.797082,4.924357,5.013051,5.086902,5.138751,5.175827", \ "4.770395,5.071497,5.265006,5.466269,5.572812,5.621195,5.658417", \ "5.596194,5.781095,5.944729,6.116412,6.325353,6.438945,6.477001", \ "6.769689,6.842680,7.019767,7.219593,7.380223,7.625878,7.674594"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("2.725579,3.003375,3.072690,3.086539,2.990785,3.125615,2.945321", \ "2.651108,2.916719,3.012004,3.013545,2.984919,3.078832,2.842836", \ "2.657660,2.886712,2.950866,3.008685,3.069024,3.063462,3.065457", \ "2.919467,3.092227,3.103813,3.149520,3.056104,3.156343,2.974151", \ "3.423386,3.675608,3.722375,3.588540,3.458913,3.672114,3.519893", \ "4.304832,4.560406,4.662301,4.563138,4.547471,4.186357,4.061541", \ "5.468503,5.629416,5.838120,5.838491,5.847284,5.563261,5.405260"); } } } } /****************************************************************************************** Module : CLKBUF_X3 Cell Description : Combinational cell (CLKBUF_X3) with drive strength X3 *******************************************************************************************/ cell (CLKBUF_X3) { drive_strength : 3; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 30.558215; leakage_power () { when : "!A"; value : 36.521540; } leakage_power () { when : "A"; value : 24.594889; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.421162; fall_capacitance : 1.248788; rise_capacitance : 1.421162; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 181.885000; function : "A"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.0224011,0.0291386,0.0349984,0.0455681,0.0656765,0.105418,0.184760", \ "0.0240614,0.0307916,0.0366506,0.0472217,0.0673315,0.107078,0.186420", \ "0.0304630,0.0371207,0.0429568,0.0535301,0.0736567,0.113417,0.192777", \ "0.0406947,0.0476272,0.0535775,0.0641647,0.0842445,0.123970,0.203318", \ "0.0506497,0.0582111,0.0645614,0.0755022,0.0957209,0.135349,0.214595", \ "0.0603243,0.0685401,0.0754160,0.0868854,0.107286,0.146928,0.226089", \ "0.0699034,0.0787495,0.0862245,0.0984794,0.119411,0.159064,0.238209"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.0217369,0.0280732,0.0334469,0.0431015,0.0615215,0.0980047,0.170874", \ "0.0230922,0.0294246,0.0347980,0.0444543,0.0628748,0.0993586,0.172236", \ "0.0285521,0.0348312,0.0401789,0.0498201,0.0682395,0.104734,0.177615", \ "0.0367518,0.0435448,0.0490423,0.0587102,0.0770402,0.113461,0.186306", \ "0.0440241,0.0516587,0.0576900,0.0676235,0.0859275,0.122231,0.194967", \ "0.0506350,0.0590440,0.0658016,0.0764068,0.0948309,0.130964,0.203605", \ "0.0566240,0.0657362,0.0732360,0.0849366,0.103937,0.140017,0.212473"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.00484107,0.00853678,0.0124145,0.0204419,0.0372368,0.0715806,0.140520", \ "0.00483888,0.00853955,0.0124150,0.0204427,0.0372370,0.0715805,0.140521", \ "0.00487047,0.00857593,0.0124419,0.0204535,0.0372378,0.0715838,0.140523", \ "0.00613150,0.00948143,0.0130484,0.0207018,0.0372849,0.0715850,0.140524", \ "0.00803341,0.0112572,0.0145866,0.0217622,0.0377642,0.0716378,0.140520", \ "0.0101734,0.0134193,0.0166146,0.0232512,0.0384888,0.0720355,0.140574", \ "0.0126066,0.0159304,0.0191470,0.0254331,0.0397386,0.0724803,0.140873"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("0.00539636,0.00896197,0.0127706,0.0207959,0.0377004,0.0722532,0.141563", \ "0.00539524,0.00896244,0.0127725,0.0207948,0.0377006,0.0722480,0.141566", \ "0.00542915,0.00900036,0.0128006,0.0208050,0.0377043,0.0722548,0.141576", \ "0.00687631,0.0100658,0.0134974,0.0211031,0.0377587,0.0722553,0.141561", \ "0.00876164,0.0120293,0.0151035,0.0219947,0.0380988,0.0723295,0.141563", \ "0.0109506,0.0143644,0.0174593,0.0236051,0.0386675,0.0725480,0.141647", \ "0.0135195,0.0170022,0.0203642,0.0262197,0.0399661,0.0729337,0.141818"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("5.207315,5.765538,5.993615,6.161453,6.266612,6.316735,6.341489", \ "5.192567,5.730648,5.964295,6.122713,6.231352,6.287948,6.308339", \ "5.193456,5.697669,5.912860,6.087449,6.204979,6.276922,6.315298", \ "5.536796,5.942654,6.112535,6.249168,6.356496,6.448152,6.498574", \ "6.048745,6.274571,6.483444,6.721229,6.805413,6.881108,6.930688", \ "7.092555,7.042316,7.178613,7.353492,7.552371,7.641430,7.693271", \ "8.549989,8.253333,8.320200,8.451703,8.571595,8.798389,8.823172"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,5.683910,11.367800,22.735600,45.471300,90.942500,181.885000"); values ("3.758376,4.248021,4.391685,4.448150,4.532943,4.286920,4.037136", \ "3.652374,4.204748,4.354168,4.400039,4.376068,4.075382,4.469846", \ "3.724071,4.177970,4.335866,4.373855,4.189527,3.882265,4.590224", \ "3.988597,4.416429,4.552894,4.508387,4.451598,4.246432,4.009664", \ "4.759489,5.018909,5.107546,5.068409,4.959471,4.611860,4.383338", \ "5.870646,5.928869,6.061412,6.053504,5.874039,5.601907,5.380460", \ "7.351402,7.142648,7.284309,7.204035,7.038272,6.836993,6.820623"); } } } } /****************************************************************************************** Module : CLKGATETST_X1 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X1 *******************************************************************************************/ cell (CLKGATETST_X1) { drive_strength : 1; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 3.990000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 59.137918; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 64.709150; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 58.826515; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 57.671097; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 64.217098; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 57.527338; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 73.321270; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 49.674383; } leakage_power () { when : "CK & !E & SE & GCK"; value : 58.629615; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 48.518965; } leakage_power () { when : "CK & E & !SE & GCK"; value : 57.474307; } leakage_power () { when : "CK & E & SE & !GCK"; value : 55.064966; } leakage_power () { when : "CK & E & SE & GCK"; value : 64.020308; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 1.812200; fall_capacitance : 1.667586; rise_capacitance : 1.812200; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.075346,0.087068,0.198733"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.718337,3.693424,3.724606,3.929036,4.321230,4.946833,5.850816"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.992416,1.966880,1.959182,2.105924,2.482160,3.133199,4.078515"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.171094,7.133893,7.166508,7.372133,7.765121,8.399775,9.351278"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.176895,7.139005,7.172107,7.376939,7.770401,8.402957,9.354497"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.172725,7.134952,7.167853,7.373970,7.766380,8.400669,9.353178"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.877980; fall_capacitance : 0.841652; rise_capacitance : 0.877980; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.030423,-0.027887,-0.044019", \ "-0.044450,-0.035545,-0.059384", \ "0.108051,0.117472,0.086829"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.007570,-0.002354,-0.007132", \ "-0.004171,-0.001204,-0.011493", \ "0.078315,0.080724,0.064301"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.061259,0.059716,0.076683", \ "0.078404,0.076829,0.093753", \ "0.120729,0.118322,0.134750"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.078047,0.068924,0.099828", \ "0.089735,0.080832,0.111324", \ "0.090992,0.081572,0.112222"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.065450,6.038366,6.008755,6.020329,6.148014,6.450424,6.939651"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.779672,4.751476,4.732060,4.798330,5.016362,5.418135,6.017515"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481067,0.488654,0.490802,0.490076,0.489482,0.488995,0.489591"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.315976,-0.365303,-0.406941,-0.422986,-0.432077,-0.437698,-0.441847"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.508936,2.482091,2.453582,2.469265,2.591677,2.879092,3.346862"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.062763,1.035168,1.026711,1.103792,1.328905,1.730156,2.318955"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.423920,2.396350,2.368204,2.384594,2.511626,2.802726,3.272813"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.030663,1.001733,0.992154,1.064193,1.283798,1.681386,2.267754"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481120,0.488494,0.490432,0.489783,0.489221,0.488596,0.489247"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.316929,-0.366328,-0.407898,-0.414550,-0.417718,-0.422146,-0.427972"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480725,0.488490,0.490431,0.489783,0.489101,0.488598,0.489356"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.316975,-0.366257,-0.407732,-0.423973,-0.433040,-0.438458,-0.442536"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.776756; fall_capacitance : 0.718252; rise_capacitance : 0.776756; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.027366,-0.025123,-0.040890", \ "-0.041387,-0.032158,-0.058463", \ "0.115485,0.124946,0.094444"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.006695,-0.001781,-0.005927", \ "-0.000491,0.002496,-0.008353", \ "0.073668,0.076053,0.060494"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.056985,0.055726,0.072616", \ "0.076567,0.074981,0.091870", \ "0.125376,0.122993,0.138558"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.076521,0.067389,0.097952", \ "0.086673,0.077445,0.108187", \ "0.083558,0.074098,0.104607"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.676544,5.636587,5.648259,5.779055,6.049512,6.480495,7.101908"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.488269,4.482601,4.467919,4.548143,4.804382,5.259304,5.935595"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.126812,0.130579,0.131029,0.130908,0.130721,0.130903,0.130580"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.126630,-0.127074,-0.126617,-0.126572,-0.126543,-0.126583,-0.126665"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.119071,2.080159,2.094890,2.227747,2.488230,2.894833,3.482296"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.764818,0.762260,0.763347,0.854939,1.116280,1.572634,2.232276"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.033668,1.994577,2.009439,2.145399,2.410270,2.820494,3.410685"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.735296,0.733059,0.727656,0.814322,1.072251,1.529069,2.189768"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.125341,0.129994,0.130485,0.130494,0.130360,0.130478,0.130245"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.127218,-0.127702,-0.127405,-0.127466,-0.127509,-0.127570,-0.127633"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.122872,0.127528,0.128020,0.128032,0.128074,0.128018,0.128032"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.126386,-0.126855,-0.126550,-0.126599,-0.126807,-0.126663,-0.126693"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.022379,0.028063,0.033916,0.044467,0.064564,0.104351,0.183810", \ "0.023895,0.029569,0.035422,0.045975,0.066077,0.105859,0.185329", \ "0.030253,0.035861,0.041692,0.052250,0.072369,0.112177,0.191658", \ "0.040479,0.046429,0.052445,0.063077,0.083172,0.122955,0.202436", \ "0.051181,0.057703,0.064140,0.075160,0.095470,0.135208,0.214617", \ "0.062645,0.069783,0.076777,0.088365,0.108928,0.148752,0.228128", \ "0.075070,0.082828,0.090468,0.102913,0.124110,0.164086,0.243556"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.018852,0.023903,0.029130,0.038663,0.057031,0.093495,0.166376", \ "0.020079,0.025129,0.030353,0.039893,0.058254,0.094729,0.167620", \ "0.024943,0.029957,0.035157,0.044686,0.063045,0.099524,0.172414", \ "0.030414,0.035843,0.041179,0.050802,0.069184,0.105629,0.178488", \ "0.034381,0.040577,0.046297,0.056008,0.074354,0.110868,0.183686", \ "0.036732,0.043726,0.050218,0.060457,0.078875,0.115261,0.188156", \ "0.037279,0.045021,0.052380,0.063701,0.082549,0.119044,0.191895"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004824,0.008054,0.011975,0.020036,0.036889,0.071345,0.140430", \ "0.004822,0.008057,0.011976,0.020040,0.036896,0.071338,0.140439", \ "0.004866,0.008101,0.012007,0.020050,0.036899,0.071334,0.140429", \ "0.005983,0.008992,0.012634,0.020319,0.036945,0.071342,0.140440", \ "0.007522,0.010503,0.013953,0.021264,0.037395,0.071388,0.140429", \ "0.009271,0.012344,0.015716,0.022569,0.038046,0.071764,0.140476", \ "0.011312,0.014525,0.017977,0.024554,0.039257,0.072240,0.140780"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004895,0.008040,0.011978,0.020148,0.037182,0.071815,0.141223", \ "0.004895,0.008044,0.011970,0.020142,0.037210,0.071824,0.141203", \ "0.005060,0.008141,0.012030,0.020174,0.037183,0.071844,0.141217", \ "0.006264,0.008997,0.012607,0.020524,0.037289,0.071859,0.141236", \ "0.007838,0.010560,0.013684,0.020995,0.037590,0.071988,0.141239", \ "0.009671,0.012685,0.015694,0.022150,0.037967,0.072229,0.141355", \ "0.011813,0.015084,0.018379,0.024326,0.038998,0.072668,0.141633"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.022379,0.028063,0.033917,0.044469,0.064564,0.104350,0.183810", \ "0.023895,0.029569,0.035422,0.045975,0.066077,0.105859,0.185329", \ "0.030255,0.035862,0.041692,0.052250,0.072369,0.112177,0.191660", \ "0.040479,0.046429,0.052445,0.063077,0.083178,0.122952,0.202431", \ "0.051181,0.057703,0.064140,0.075160,0.095470,0.135208,0.214617", \ "0.062645,0.069783,0.076777,0.088365,0.108928,0.148752,0.228128", \ "0.075070,0.082828,0.090468,0.102913,0.124110,0.164087,0.243556"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.018852,0.023903,0.029130,0.038663,0.057032,0.093495,0.166376", \ "0.020079,0.025129,0.030353,0.039893,0.058261,0.094729,0.167620", \ "0.024943,0.029957,0.035157,0.044686,0.063045,0.099524,0.172414", \ "0.030414,0.035843,0.041179,0.050802,0.069184,0.105629,0.178488", \ "0.034381,0.040577,0.046297,0.056008,0.074354,0.110868,0.183686", \ "0.036732,0.043726,0.050218,0.060457,0.078875,0.115261,0.188156", \ "0.037279,0.045021,0.052380,0.063701,0.082549,0.119044,0.191895"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004824,0.008054,0.011975,0.020040,0.036899,0.071342,0.140430", \ "0.004822,0.008056,0.011977,0.020040,0.036896,0.071339,0.140439", \ "0.004866,0.008099,0.012007,0.020050,0.036899,0.071334,0.140429", \ "0.005983,0.008992,0.012634,0.020319,0.036940,0.071337,0.140433", \ "0.007522,0.010503,0.013953,0.021264,0.037395,0.071388,0.140429", \ "0.009271,0.012344,0.015716,0.022569,0.038046,0.071764,0.140476", \ "0.011312,0.014525,0.017977,0.024554,0.039257,0.072240,0.140780"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004895,0.008040,0.011978,0.020149,0.037185,0.071815,0.141223", \ "0.004895,0.008044,0.011970,0.020143,0.037182,0.071824,0.141202", \ "0.005059,0.008141,0.012030,0.020174,0.037183,0.071844,0.141217", \ "0.006264,0.008997,0.012607,0.020524,0.037289,0.071859,0.141236", \ "0.007838,0.010560,0.013684,0.020995,0.037590,0.071988,0.141239", \ "0.009671,0.012685,0.015694,0.022150,0.037967,0.072229,0.141355", \ "0.011813,0.015084,0.018379,0.024326,0.038998,0.072668,0.141633"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.022379,0.028063,0.033916,0.044468,0.064564,0.104348,0.183815", \ "0.023895,0.029570,0.035422,0.045975,0.066077,0.105860,0.185323", \ "0.030253,0.035861,0.041692,0.052250,0.072369,0.112177,0.191660", \ "0.040479,0.046429,0.052445,0.063077,0.083178,0.122954,0.202432", \ "0.051179,0.057703,0.064140,0.075160,0.095470,0.135208,0.214617", \ "0.062645,0.069783,0.076776,0.088365,0.108928,0.148752,0.228128", \ "0.075076,0.082828,0.090468,0.102913,0.124110,0.164087,0.243556"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.018852,0.023903,0.029130,0.038663,0.057027,0.093494,0.166375", \ "0.020080,0.025129,0.030353,0.039893,0.058262,0.094729,0.167618", \ "0.024943,0.029957,0.035157,0.044684,0.063045,0.099528,0.172418", \ "0.030415,0.035843,0.041179,0.050802,0.069184,0.105629,0.178489", \ "0.034381,0.040577,0.046297,0.056009,0.074358,0.110870,0.183686", \ "0.036732,0.043726,0.050217,0.060457,0.078875,0.115261,0.188156", \ "0.037279,0.045021,0.052380,0.063701,0.082549,0.119044,0.191895"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004825,0.008054,0.011975,0.020036,0.036889,0.071336,0.140435", \ "0.004822,0.008056,0.011975,0.020040,0.036896,0.071338,0.140433", \ "0.004866,0.008101,0.012007,0.020050,0.036899,0.071334,0.140429", \ "0.005983,0.008992,0.012634,0.020319,0.036940,0.071339,0.140423", \ "0.007523,0.010503,0.013953,0.021264,0.037395,0.071388,0.140429", \ "0.009271,0.012344,0.015715,0.022569,0.038046,0.071764,0.140476", \ "0.011311,0.014525,0.017977,0.024554,0.039257,0.072240,0.140780"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004895,0.008040,0.011979,0.020149,0.037193,0.071815,0.141222", \ "0.004893,0.008045,0.011970,0.020145,0.037192,0.071816,0.141192", \ "0.005060,0.008141,0.012030,0.020175,0.037183,0.071812,0.141222", \ "0.006264,0.008998,0.012607,0.020523,0.037289,0.071859,0.141237", \ "0.007838,0.010560,0.013684,0.020993,0.037584,0.071983,0.141239", \ "0.009669,0.012685,0.015688,0.022150,0.037967,0.072229,0.141355", \ "0.011813,0.015084,0.018379,0.024326,0.038998,0.072672,0.141633"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.022513,0.028326,0.034242,0.044728,0.064726,0.104450,0.183886", \ "0.024030,0.029833,0.035749,0.046238,0.066240,0.105964,0.185402", \ "0.030390,0.036123,0.042021,0.052519,0.072542,0.112290,0.191748", \ "0.040710,0.046782,0.052836,0.063319,0.083278,0.122998,0.202452", \ "0.051568,0.058226,0.064659,0.075308,0.095257,0.134907,0.214316", \ "0.063242,0.070514,0.077427,0.088331,0.108200,0.147801,0.227153", \ "0.075898,0.083771,0.091220,0.102493,0.122285,0.161705,0.241045"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004937,0.008229,0.012013,0.019856,0.036635,0.071068,0.140213", \ "0.004935,0.008231,0.012015,0.019855,0.036638,0.071070,0.140219", \ "0.004982,0.008278,0.012055,0.019877,0.036646,0.071080,0.140204", \ "0.006133,0.009173,0.012596,0.020017,0.036655,0.071084,0.140208", \ "0.007759,0.010701,0.013749,0.020565,0.036839,0.071084,0.140200", \ "0.009621,0.012524,0.015247,0.021273,0.037021,0.071221,0.140165", \ "0.011756,0.014615,0.017059,0.022220,0.037207,0.071270,0.140285"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("5.627751,7.172125,8.248878,8.785428,8.868257,8.910516,8.940643", \ "5.631245,7.025621,8.203424,8.709526,8.788955,8.830954,8.862245", \ "5.582222,7.052260,8.162245,8.712471,8.806080,8.850754,8.884655", \ "5.840841,7.425610,8.516707,9.047774,9.146577,9.199484,9.237271", \ "6.424612,7.900381,9.121332,9.777904,9.885814,9.933850,9.974101", \ "7.391532,8.780913,10.066770,10.769950,11.040630,11.117690,11.150980", \ "8.895242,10.212630,11.448060,12.250070,12.501820,12.751310,12.778940"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("5.061969,5.631296,5.840702,5.942308,5.984666,6.003356,6.008576", \ "4.958652,5.535036,5.761631,5.870620,5.910363,5.925834,5.934389", \ "4.962384,5.549003,5.763963,5.875470,5.924081,5.948589,5.957751", \ "5.182980,5.871519,6.099307,6.204463,6.254764,6.281597,6.298340", \ "5.687641,6.299706,6.641769,6.907632,6.950766,6.977326,6.998444", \ "6.620654,7.241960,7.603996,7.856103,8.065892,8.118713,8.135568", \ "8.037247,8.614014,8.995557,9.353382,9.564087,9.779984,9.791618"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.255204,3.808803,4.064772,4.118319,4.123991,3.987695,3.889349", \ "3.161888,3.730158,3.959193,3.933342,3.973474,3.988983,3.893318", \ "3.208389,3.789323,3.961188,3.979820,3.986768,3.940980,3.844703", \ "3.672924,4.081009,4.240223,4.236124,4.234975,3.978615,4.227383", \ "4.485067,4.888036,4.952527,4.959479,4.814491,4.936026,4.850540", \ "5.571760,6.058333,6.227869,6.229600,6.060960,5.800064,6.049476", \ "7.090074,7.461604,7.745137,7.898594,7.899333,7.784863,7.504451"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("5.061897,5.631073,5.840848,5.943758,5.987597,6.002975,6.008951", \ "4.958606,5.538731,5.761631,5.870595,5.910359,5.925862,5.934862", \ "4.948406,5.550444,5.763844,5.875466,5.924087,5.948574,5.957657", \ "5.182997,5.871535,6.099309,6.204455,6.251483,6.283526,6.299110", \ "5.687656,6.299819,6.641856,6.907632,6.950758,6.977315,6.998433", \ "6.620674,7.241977,7.603954,7.856046,8.058089,8.118699,8.135557", \ "8.037243,8.614116,8.995675,9.353380,9.564069,9.776471,9.791721"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.255228,3.808818,4.064697,4.118323,4.124088,3.988236,3.889360", \ "3.161910,3.730146,3.959199,3.933348,4.061398,3.988794,3.893280", \ "3.202827,3.789336,3.961236,3.980056,3.986772,3.940982,3.844708", \ "3.672935,4.081078,4.240222,4.236013,4.234977,3.978625,4.227383", \ "4.485086,4.888052,4.952532,4.959526,4.814484,4.936017,4.850542", \ "5.571791,6.058345,6.227755,6.229609,6.060957,5.800070,6.049472", \ "7.090117,7.461618,7.745157,7.898593,7.898706,7.784866,7.504451"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("5.061905,5.631170,5.841099,5.948979,5.985037,6.000270,6.008436", \ "4.958492,5.538994,5.761789,5.865698,5.910349,5.925623,5.935825", \ "4.962289,5.549515,5.764120,5.877541,5.924273,5.948579,5.957484", \ "5.182884,5.871867,6.099483,6.204384,6.251487,6.279859,6.298361", \ "5.635711,6.299912,6.647057,6.907672,6.950745,6.977312,6.998432", \ "6.620656,7.242276,7.594417,7.856971,8.065942,8.118698,8.135559", \ "8.038402,8.614375,8.995231,9.353426,9.563971,9.776727,9.791713"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.255545,3.808508,4.064677,4.118218,4.123918,3.988250,3.889351", \ "3.195771,3.735414,3.959048,3.945642,4.034653,3.989341,4.031921", \ "3.208607,3.787904,3.962496,3.979928,3.986570,3.941547,3.845467", \ "3.672813,4.080746,4.239892,4.234483,4.234959,3.978638,4.227635", \ "4.484754,4.887808,4.952287,4.973309,4.745293,4.935844,4.850520", \ "5.652030,6.058154,6.227909,6.229388,6.060955,5.800066,6.049472", \ "7.091489,7.461436,7.745084,7.898566,7.899329,7.784889,7.504498"); } } } } /****************************************************************************************** Module : CLKGATETST_X2 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X2 *******************************************************************************************/ cell (CLKGATETST_X2) { drive_strength : 2; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 4.256000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 78.027946; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 77.091630; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 81.994275; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 80.838857; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 87.384858; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 70.216014; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 96.829920; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 62.363499; } leakage_power () { when : "CK & !E & SE & GCK"; value : 82.139585; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 61.208081; } leakage_power () { when : "CK & E & !SE & GCK"; value : 80.984277; } leakage_power () { when : "CK & E & SE & !GCK"; value : 67.754082; } leakage_power () { when : "CK & E & SE & GCK"; value : 87.530278; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 2.818591; fall_capacitance : 2.626201; rise_capacitance : 2.818591; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.082366,0.093213,0.198733"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.659176,4.631749,4.659318,4.862102,5.254853,5.877421,6.779372"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.191231,1.169013,1.165615,1.308200,1.673950,2.316866,3.253749"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.130558,8.091792,8.119210,8.325730,8.719816,9.352942,10.328350"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.136578,8.098074,8.125253,8.330787,8.724118,9.356590,10.331400"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.131627,8.093784,8.120838,8.327225,8.720894,9.353667,10.329290"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.872203; fall_capacitance : 0.835959; rise_capacitance : 0.872203; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.029117,-0.026283,-0.041088", \ "-0.044103,-0.039856,-0.056485", \ "0.104024,0.113735,0.083021"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.007273,-0.001445,-0.004956", \ "-0.004181,-0.000600,-0.009624", \ "0.079863,0.082904,0.067792"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.060343,0.058182,0.074493", \ "0.077179,0.074981,0.091242", \ "0.119181,0.116141,0.131260"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.083847,0.074141,0.105458", \ "0.094942,0.085143,0.116345", \ "0.095019,0.085310,0.116030"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.216299,6.187412,6.158802,6.171586,6.304019,6.611217,7.107984"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.670217,4.640731,4.619175,4.689904,4.913757,5.320378,5.922086"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481393,0.488720,0.490873,0.490287,0.490058,0.489634,0.489823"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.315688,-0.365082,-0.406699,-0.422727,-0.431939,-0.437577,-0.441718"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.412533,2.384541,2.357548,2.372677,2.500252,2.795098,3.273530"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.962556,0.934500,0.926489,1.007633,1.238951,1.648320,2.242323"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.324830,2.296605,2.269807,2.287772,2.419814,2.718008,3.199874"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.932144,0.901676,0.890356,0.967019,1.193158,1.599351,2.190642"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480865,0.488530,0.490415,0.489895,0.489589,0.489228,0.489440"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.316892,-0.366198,-0.407629,-0.423921,-0.433069,-0.438435,-0.442789"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.479584,0.488535,0.490441,0.489901,0.489439,0.489243,0.489611"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.316777,-0.366094,-0.407480,-0.423790,-0.432948,-0.438293,-0.442343"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.810873; fall_capacitance : 0.749748; rise_capacitance : 0.810873; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.026060,-0.022904,-0.037959", \ "-0.042587,-0.036776,-0.055568", \ "0.111458,0.121209,0.090636"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.006705,-0.000566,-0.003755", \ "-0.000810,0.002483,-0.006800", \ "0.075527,0.078544,0.063667"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.056069,0.053885,0.070114", \ "0.075342,0.073442,0.089360", \ "0.123517,0.120502,0.135385"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.082321,0.072300,0.103582", \ "0.091573,0.082064,0.113207", \ "0.087585,0.077835,0.108415"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.825351,5.784517,5.799974,5.934300,6.207018,6.640640,7.267432"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.371749,4.365729,4.352711,4.439277,4.703676,5.171876,5.852450"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.126907,0.130623,0.131085,0.130993,0.130750,0.131050,0.130588"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.126470,-0.126839,-0.126370,-0.126342,-0.126318,-0.126368,-0.126441"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.021965,1.981677,2.000522,2.136643,2.399206,2.812859,3.410167"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.663547,0.659534,0.661869,0.760853,1.032437,1.498178,2.167960"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.933633,1.893761,1.912940,2.052891,2.320854,2.737884,3.337875"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.637232,0.631246,0.627939,0.720231,0.990220,1.454922,2.122974"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.125402,0.129964,0.130490,0.130501,0.130339,0.130525,0.130219"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.127051,-0.127519,-0.127251,-0.127318,-0.127364,-0.127418,-0.127502"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.123755,0.127523,0.128060,0.128067,0.128156,0.128100,0.128127"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.126221,-0.126674,-0.126396,-0.126453,-0.126741,-0.126507,-0.126561"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.460000; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.020923,0.027227,0.032981,0.043449,0.063519,0.103303,0.182785", \ "0.022441,0.028733,0.034487,0.044963,0.065034,0.104828,0.184313", \ "0.028806,0.035014,0.040749,0.051235,0.071329,0.111137,0.190635", \ "0.038620,0.045251,0.051176,0.061747,0.081817,0.121595,0.201088", \ "0.048887,0.056154,0.062466,0.073377,0.093637,0.133391,0.212805", \ "0.059946,0.067893,0.074755,0.086207,0.106684,0.146508,0.225901", \ "0.071960,0.080602,0.088108,0.100405,0.121496,0.161469,0.240955"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.017729,0.023398,0.028577,0.038081,0.056454,0.092943,0.165830", \ "0.018955,0.024618,0.029796,0.039302,0.057664,0.094164,0.167051", \ "0.023762,0.029394,0.034553,0.044051,0.062419,0.098910,0.171824", \ "0.028900,0.035000,0.040283,0.049866,0.068269,0.104715,0.177601", \ "0.032591,0.039545,0.045177,0.054826,0.073168,0.109712,0.182556", \ "0.034708,0.042559,0.048939,0.059083,0.077482,0.113905,0.186824", \ "0.035075,0.043760,0.051007,0.062196,0.081001,0.117532,0.190435"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004377,0.007992,0.011943,0.020070,0.036993,0.071459,0.140580", \ "0.004373,0.007995,0.011944,0.020069,0.036993,0.071464,0.140592", \ "0.004432,0.008047,0.011977,0.020083,0.036996,0.071464,0.140573", \ "0.005604,0.008964,0.012630,0.020362,0.037034,0.071459,0.140598", \ "0.007121,0.010426,0.013885,0.021253,0.037487,0.071525,0.140573", \ "0.008860,0.012259,0.015621,0.022511,0.038092,0.071914,0.140632", \ "0.010899,0.014464,0.017888,0.024486,0.039288,0.072386,0.140935"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004537,0.008085,0.012027,0.020242,0.037340,0.071988,0.141408", \ "0.004535,0.008085,0.012035,0.020241,0.037375,0.072018,0.141407", \ "0.004761,0.008193,0.012102,0.020266,0.037369,0.072001,0.141427", \ "0.005971,0.009017,0.012658,0.020638,0.037462,0.072015,0.141375", \ "0.007525,0.010561,0.013689,0.021050,0.037742,0.072184,0.141426", \ "0.009364,0.012695,0.015668,0.022183,0.038100,0.072410,0.141553", \ "0.011530,0.015131,0.018352,0.024295,0.039126,0.072873,0.141865"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.020923,0.027227,0.032981,0.043449,0.063520,0.103303,0.182785", \ "0.022441,0.028733,0.034487,0.044963,0.065034,0.104828,0.184313", \ "0.028806,0.035014,0.040752,0.051235,0.071329,0.111137,0.190634", \ "0.038620,0.045251,0.051176,0.061748,0.081816,0.121598,0.201087", \ "0.048887,0.056154,0.062466,0.073381,0.093635,0.133391,0.212804", \ "0.059946,0.067893,0.074755,0.086207,0.106684,0.146508,0.225901", \ "0.071960,0.080602,0.088108,0.100405,0.121496,0.161469,0.240955"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.017729,0.023398,0.028577,0.038081,0.056454,0.092943,0.165830", \ "0.018955,0.024618,0.029796,0.039302,0.057664,0.094164,0.167051", \ "0.023762,0.029394,0.034553,0.044051,0.062423,0.098910,0.171824", \ "0.028900,0.035000,0.040283,0.049866,0.068269,0.104715,0.177601", \ "0.032591,0.039545,0.045177,0.054826,0.073168,0.109712,0.182548", \ "0.034708,0.042559,0.048939,0.059083,0.077482,0.113905,0.186824", \ "0.035075,0.043760,0.051007,0.062196,0.081001,0.117532,0.190435"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004377,0.007992,0.011943,0.020070,0.036993,0.071459,0.140581", \ "0.004374,0.007995,0.011944,0.020069,0.036993,0.071464,0.140593", \ "0.004432,0.008047,0.011977,0.020083,0.036996,0.071464,0.140571", \ "0.005604,0.008964,0.012628,0.020364,0.037040,0.071470,0.140594", \ "0.007121,0.010426,0.013885,0.021248,0.037489,0.071525,0.140569", \ "0.008860,0.012259,0.015621,0.022511,0.038092,0.071914,0.140632", \ "0.010899,0.014464,0.017888,0.024486,0.039288,0.072386,0.140936"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004537,0.008085,0.012028,0.020242,0.037340,0.071988,0.141408", \ "0.004535,0.008085,0.012035,0.020241,0.037375,0.072018,0.141407", \ "0.004761,0.008193,0.012102,0.020266,0.037349,0.072001,0.141427", \ "0.005971,0.009017,0.012658,0.020638,0.037462,0.072015,0.141375", \ "0.007525,0.010561,0.013689,0.021050,0.037742,0.072184,0.141382", \ "0.009364,0.012695,0.015668,0.022183,0.038100,0.072410,0.141553", \ "0.011530,0.015131,0.018352,0.024295,0.039126,0.072873,0.141865"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.020923,0.027227,0.032979,0.043449,0.063521,0.103302,0.182785", \ "0.022442,0.028733,0.034487,0.044963,0.065035,0.104828,0.184313", \ "0.028806,0.035014,0.040752,0.051235,0.071329,0.111137,0.190634", \ "0.038620,0.045251,0.051176,0.061748,0.081815,0.121589,0.201088", \ "0.048887,0.056154,0.062466,0.073381,0.093635,0.133391,0.212805", \ "0.059946,0.067893,0.074754,0.086207,0.106684,0.146508,0.225901", \ "0.071960,0.080602,0.088108,0.100405,0.121496,0.161469,0.240955"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.017729,0.023397,0.028579,0.038082,0.056453,0.092943,0.165833", \ "0.018953,0.024618,0.029797,0.039302,0.057665,0.094163,0.167051", \ "0.023762,0.029393,0.034552,0.044051,0.062421,0.098908,0.171824", \ "0.028900,0.035000,0.040283,0.049866,0.068269,0.104718,0.177602", \ "0.032590,0.039545,0.045177,0.054826,0.073169,0.109712,0.182556", \ "0.034708,0.042559,0.048939,0.059082,0.077482,0.113904,0.186824", \ "0.035075,0.043760,0.051007,0.062196,0.081001,0.117532,0.190435"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004377,0.007992,0.011944,0.020070,0.036994,0.071458,0.140580", \ "0.004373,0.007995,0.011945,0.020069,0.036995,0.071464,0.140591", \ "0.004432,0.008047,0.011978,0.020083,0.036996,0.071463,0.140571", \ "0.005604,0.008964,0.012630,0.020364,0.037040,0.071462,0.140594", \ "0.007121,0.010426,0.013885,0.021248,0.037489,0.071525,0.140573", \ "0.008860,0.012259,0.015621,0.022511,0.038092,0.071914,0.140632", \ "0.010899,0.014464,0.017889,0.024486,0.039288,0.072386,0.140935"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004537,0.008085,0.012031,0.020240,0.037348,0.071987,0.141414", \ "0.004534,0.008086,0.012039,0.020257,0.037371,0.071989,0.141407", \ "0.004761,0.008193,0.012098,0.020266,0.037361,0.072000,0.141426", \ "0.005971,0.009017,0.012658,0.020638,0.037461,0.072013,0.141375", \ "0.007525,0.010560,0.013689,0.021050,0.037733,0.072174,0.141426", \ "0.009364,0.012694,0.015668,0.022182,0.038100,0.072411,0.141553", \ "0.011531,0.015129,0.018352,0.024295,0.039127,0.072873,0.141865"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.021001,0.027413,0.033275,0.043842,0.063915,0.103630,0.183070", \ "0.022518,0.028918,0.034783,0.045354,0.065429,0.105148,0.184590", \ "0.028886,0.035198,0.041042,0.051621,0.071722,0.111464,0.190914", \ "0.038763,0.045495,0.051529,0.062161,0.082211,0.121924,0.201371", \ "0.049125,0.056522,0.062965,0.073887,0.093964,0.133599,0.212987", \ "0.060321,0.068428,0.075438,0.086820,0.106887,0.146466,0.225793", \ "0.072497,0.081319,0.088983,0.101064,0.121181,0.160566,0.239856"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.004442,0.008160,0.012129,0.020132,0.036849,0.071212,0.140328", \ "0.004444,0.008163,0.012130,0.020134,0.036847,0.071217,0.140354", \ "0.004497,0.008216,0.012167,0.020153,0.036853,0.071215,0.140360", \ "0.005705,0.009155,0.012816,0.020386,0.036885,0.071218,0.140328", \ "0.007282,0.010687,0.014114,0.021117,0.037130,0.071239,0.140354", \ "0.009127,0.012617,0.015883,0.022150,0.037421,0.071390,0.140318", \ "0.011283,0.014914,0.018127,0.023633,0.037776,0.071447,0.140424"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("7.828683,9.714102,11.156680,11.930790,12.065500,12.124290,12.166680", \ "7.708541,9.590998,11.037940,11.808420,11.948460,12.009200,12.052000", \ "7.600742,9.569871,10.986030,11.794330,11.943620,12.022880,12.069890", \ "7.974667,9.998429,11.398900,12.267620,12.428980,12.516500,12.571980", \ "8.689587,10.593330,12.126280,13.306620,13.496650,13.577890,13.654020", \ "10.265360,11.941190,13.528470,14.763460,15.201770,15.329150,15.383380", \ "12.497260,14.010880,15.531570,16.922530,17.338200,17.709990,17.750550"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("7.343305,8.349038,8.644423,8.794134,8.867909,8.892613,8.908905", \ "7.172730,8.228396,8.524314,8.682199,8.749258,8.783818,8.789943", \ "7.202622,8.190831,8.509405,8.666487,8.754388,8.793870,8.816721", \ "7.438836,8.626404,8.975939,9.148481,9.226525,9.280672,9.306600", \ "8.165883,9.226972,9.759040,10.161790,10.253240,10.293910,10.332650", \ "9.624279,10.609980,11.140420,11.546330,11.869080,11.979960,12.012910", \ "11.756080,12.646230,13.196380,13.729280,14.082470,14.426850,14.448330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("4.249079,5.095417,5.284664,5.323320,5.029097,5.092627,5.355211", \ "4.244371,4.983809,5.233491,5.309220,5.307751,4.955968,5.383268", \ "4.308427,4.913728,5.188483,5.105926,4.973682,4.877672,5.317061", \ "4.829144,5.404634,5.444885,5.508217,5.591879,5.543879,5.310031", \ "5.865743,6.548150,6.620926,6.430914,6.418821,6.430902,6.213997", \ "7.506217,8.263262,8.478466,8.482748,8.397598,8.236321,7.992232", \ "9.719999,10.342370,10.616160,10.753460,10.827030,10.616700,10.683320"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("7.343458,8.348886,8.644080,8.798093,8.867787,8.892597,8.908900", \ "7.198550,8.226934,8.524344,8.682245,8.749245,8.783803,8.789926", \ "7.210827,8.190650,8.508989,8.666518,8.754337,8.793835,8.816673", \ "7.438782,8.626373,8.987517,9.141373,9.226457,9.280842,9.304253", \ "8.165824,9.226944,9.759243,10.161430,10.252860,10.293920,10.334790", \ "9.624211,10.610120,11.140410,11.546390,11.869070,11.979950,12.012900", \ "11.756220,12.646220,13.196090,13.730760,14.082470,14.426850,14.448220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("4.246631,5.095474,5.272088,5.323137,5.029106,5.093199,5.355205", \ "4.244041,4.983868,5.233504,5.309224,5.306735,4.955980,5.383271", \ "4.308558,4.913788,5.188501,5.105856,4.833715,4.877685,5.317058", \ "4.829246,5.404680,5.444902,5.508233,5.591892,5.542922,5.310040", \ "5.865867,6.548192,6.621059,6.430919,6.418813,6.430900,6.213906", \ "7.506324,8.263296,8.478456,8.482756,8.397832,8.236482,7.992234", \ "9.720065,10.342510,10.616170,10.754430,10.827030,10.616610,10.683330"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("7.338943,8.349187,8.649860,8.806339,8.866389,8.892396,8.909018", \ "7.171742,8.229739,8.525121,8.682534,8.748587,8.783785,8.789902", \ "7.211260,8.191164,8.509119,8.666419,8.754019,8.792596,8.815980", \ "7.438731,8.626775,8.976106,9.142542,9.226452,9.280251,9.304142", \ "8.165841,9.227249,9.761336,10.161490,10.252590,10.293600,10.332680", \ "9.624118,10.610640,11.139710,11.546430,11.869070,11.979940,12.012960", \ "11.756280,12.646410,13.157700,13.729680,14.082030,14.426820,14.448310"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("4.252757,5.095184,5.320867,5.323232,5.029407,5.093798,5.354834", \ "4.224934,4.983621,5.233159,5.308916,5.265450,5.007926,5.383227", \ "4.309051,4.913424,5.188745,5.103954,4.920800,4.877988,5.317100", \ "4.826605,5.403591,5.444821,5.509735,5.594368,5.680678,5.310194", \ "5.867034,6.547857,6.626283,6.430949,6.420168,6.632218,6.214220", \ "7.502137,8.263072,8.478366,8.483061,8.397836,8.236779,7.991654", \ "9.719872,10.291620,10.616190,10.748220,10.827030,10.616620,10.683310"); } } } } /****************************************************************************************** Module : CLKGATETST_X4 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X4 *******************************************************************************************/ cell (CLKGATETST_X4) { drive_strength : 4; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 5.320000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 126.648876; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 106.929790; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 96.038745; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 94.883327; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 101.429218; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 142.109880; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 152.800340; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 134.260995; } leakage_power () { when : "CK & !E & SE & GCK"; value : 138.113965; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 133.105577; } leakage_power () { when : "CK & E & !SE & GCK"; value : 136.958547; } leakage_power () { when : "CK & E & SE & !GCK"; value : 139.651578; } leakage_power () { when : "CK & E & SE & GCK"; value : 143.504548; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 4.438941; fall_capacitance : 4.001592; rise_capacitance : 4.438941; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.128456,0.127008,0.198733"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.720359,5.589640,5.574588,5.759600,6.139709,6.752307,7.645572"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.121648,0.094422,0.087912,0.229442,0.590999,1.231910,2.162938"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.894700,11.750840,11.737640,11.925150,12.313610,12.951460,13.917820"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.897830,11.757250,11.737720,11.931530,12.319990,12.958180,13.921950"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.895790,11.751750,11.732320,11.933330,12.315120,12.952080,13.920040"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.930490; fall_capacitance : 0.897855; rise_capacitance : 0.930490; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.032521,-0.026927,-0.040278", \ "-0.048409,-0.042566,-0.056199", \ "0.051056,0.072004,0.038601"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.007765,-0.002553,-0.006655", \ "-0.006239,-0.002670,-0.012631", \ "0.067473,0.069513,0.051927"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.070416,0.068617,0.087317", \ "0.087898,0.085759,0.104735", \ "0.131571,0.129533,0.147125"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.133602,0.112199,0.145494", \ "0.145779,0.124556,0.157764", \ "0.147989,0.127042,0.160451"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.967508,8.939836,8.908308,8.919133,9.043686,9.339192,9.819173"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.931675,6.899245,6.885254,6.948663,7.153382,7.545359,8.134010"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482161,0.488624,0.491064,0.490300,0.489731,0.489308,0.489811"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.314532,-0.363900,-0.405578,-0.421570,-0.430842,-0.436472,-0.440652"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.779147,2.751173,2.723208,2.734677,2.853395,3.129794,3.585141"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.062985,1.035726,1.026817,1.100068,1.317358,1.709735,2.290867"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.693743,2.668158,2.638751,2.653179,2.774842,3.055275,3.512889"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.031511,1.004207,0.993781,1.059278,1.275128,1.661335,2.239676"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481687,0.488402,0.490610,0.489788,0.489365,0.488899,0.489447"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.316489,-0.365803,-0.407276,-0.423600,-0.432885,-0.438017,-0.442647"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481709,0.488445,0.490619,0.489951,0.489380,0.488914,0.489740"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.316493,-0.365804,-0.407134,-0.423431,-0.432765,-0.437881,-0.441931"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.814723; fall_capacitance : 0.754448; rise_capacitance : 0.814723; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.029471,-0.023549,-0.037136", \ "-0.046912,-0.041053,-0.054974", \ "0.058490,0.078855,0.046216"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.007508,-0.001678,-0.005458", \ "-0.002559,0.000723,-0.009496", \ "0.062207,0.064530,0.047485"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.066448,0.064627,0.083251", \ "0.086060,0.084219,0.102539", \ "0.136837,0.134516,0.151567"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.131771,0.110357,0.144243", \ "0.142716,0.121477,0.155254", \ "0.140554,0.120190,0.152836"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.579398,8.538701,8.546070,8.671239,8.940280,9.362848,9.977579"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.634083,6.632047,6.617065,6.690381,6.931804,7.377448,8.043692"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.127106,0.130733,0.131233,0.131146,0.130846,0.131226,0.130686"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.125258,-0.125671,-0.125155,-0.125118,-0.125120,-0.125165,-0.125279"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.389999,2.351548,2.359935,2.488829,2.744140,3.143448,3.719019"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.766217,0.765166,0.765343,0.849861,1.097735,1.542751,2.193697"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.305969,2.266990,2.276812,2.408530,2.667373,3.071184,3.648351"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.736443,0.735326,0.733907,0.811122,1.056928,1.499767,2.150836"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.125765,0.130158,0.130658,0.130643,0.130363,0.130785,0.130233"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.126901,-0.127372,-0.126904,-0.126931,-0.126992,-0.127040,-0.127131"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.123312,0.127699,0.128212,0.128188,0.128384,0.128338,0.128401"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.125998,-0.126459,-0.125980,-0.126005,-0.126526,-0.126069,-0.126127"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.920000; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.022729,0.029599,0.035448,0.046025,0.066194,0.106064,0.185619", \ "0.024287,0.031151,0.036999,0.047574,0.067758,0.107623,0.187192", \ "0.030770,0.037563,0.043392,0.053970,0.074165,0.114057,0.193629", \ "0.041623,0.048741,0.054683,0.065284,0.085444,0.125321,0.204899", \ "0.053182,0.060983,0.067296,0.078212,0.098524,0.138346,0.217872", \ "0.065775,0.074260,0.081031,0.092360,0.112814,0.152707,0.232198", \ "0.079789,0.088909,0.096189,0.108108,0.128898,0.168783,0.248358"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.020158,0.026285,0.031502,0.041047,0.059477,0.096066,0.169149", \ "0.021370,0.027497,0.032714,0.042261,0.060687,0.097282,0.170360", \ "0.024552,0.030665,0.035877,0.045423,0.063846,0.100444,0.173545", \ "0.028468,0.034839,0.040159,0.049797,0.068263,0.104841,0.177933", \ "0.031858,0.038781,0.044315,0.054070,0.072558,0.109189,0.182243", \ "0.033706,0.041440,0.047452,0.057561,0.076212,0.112856,0.185939", \ "0.033651,0.042208,0.048911,0.059684,0.078757,0.115695,0.188856"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004447,0.008257,0.012164,0.020253,0.037141,0.071587,0.140724", \ "0.004448,0.008263,0.012165,0.020253,0.037141,0.071596,0.140741", \ "0.004470,0.008289,0.012186,0.020259,0.037141,0.071586,0.140717", \ "0.005499,0.009028,0.012665,0.020452,0.037179,0.071598,0.140727", \ "0.007012,0.010448,0.013865,0.021268,0.037545,0.071654,0.140759", \ "0.008653,0.012105,0.015365,0.022304,0.038071,0.071967,0.140790", \ "0.010478,0.013975,0.017189,0.023759,0.038845,0.072307,0.141061"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004586,0.008242,0.012160,0.020378,0.037475,0.072234,0.141750", \ "0.004586,0.008251,0.012161,0.020365,0.037500,0.072216,0.141775", \ "0.004677,0.008293,0.012196,0.020379,0.037500,0.072209,0.141788", \ "0.005162,0.008738,0.012545,0.020610,0.037568,0.072230,0.141792", \ "0.006169,0.009635,0.013203,0.020951,0.037733,0.072301,0.141789", \ "0.007529,0.011146,0.014499,0.021811,0.038150,0.072499,0.141811", \ "0.009114,0.013022,0.016380,0.023239,0.039084,0.073062,0.142066"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.022728,0.029599,0.035448,0.046024,0.066194,0.106065,0.185619", \ "0.024287,0.031151,0.036999,0.047575,0.067758,0.107623,0.187191", \ "0.030770,0.037563,0.043392,0.053971,0.074165,0.114057,0.193629", \ "0.041623,0.048741,0.054683,0.065284,0.085444,0.125321,0.204899", \ "0.053182,0.060983,0.067296,0.078212,0.098524,0.138346,0.217872", \ "0.065775,0.074260,0.081031,0.092360,0.112814,0.152713,0.232198", \ "0.079789,0.088911,0.096189,0.108108,0.128898,0.168783,0.248358"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.020158,0.026285,0.031502,0.041047,0.059477,0.096061,0.169147", \ "0.021370,0.027497,0.032714,0.042261,0.060687,0.097282,0.170360", \ "0.024552,0.030665,0.035877,0.045423,0.063851,0.100444,0.173545", \ "0.028468,0.034839,0.040159,0.049797,0.068263,0.104841,0.177933", \ "0.031858,0.038781,0.044315,0.054070,0.072558,0.109189,0.182243", \ "0.033706,0.041440,0.047452,0.057561,0.076212,0.112856,0.185939", \ "0.033651,0.042208,0.048911,0.059684,0.078757,0.115695,0.188856"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004447,0.008257,0.012164,0.020253,0.037141,0.071593,0.140724", \ "0.004448,0.008262,0.012165,0.020253,0.037141,0.071596,0.140741", \ "0.004470,0.008289,0.012186,0.020260,0.037141,0.071585,0.140717", \ "0.005499,0.009028,0.012665,0.020452,0.037179,0.071598,0.140727", \ "0.007012,0.010448,0.013865,0.021268,0.037545,0.071654,0.140759", \ "0.008653,0.012105,0.015365,0.022304,0.038071,0.071973,0.140790", \ "0.010478,0.013973,0.017189,0.023759,0.038845,0.072307,0.141061"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004586,0.008242,0.012160,0.020378,0.037475,0.072235,0.141752", \ "0.004586,0.008251,0.012161,0.020365,0.037500,0.072216,0.141775", \ "0.004677,0.008295,0.012196,0.020379,0.037478,0.072209,0.141787", \ "0.005162,0.008738,0.012545,0.020610,0.037568,0.072230,0.141792", \ "0.006169,0.009635,0.013203,0.020951,0.037733,0.072301,0.141789", \ "0.007529,0.011146,0.014499,0.021811,0.038150,0.072499,0.141811", \ "0.009114,0.013022,0.016380,0.023239,0.039084,0.073062,0.142066"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.022728,0.029600,0.035448,0.046025,0.066190,0.106064,0.185627", \ "0.024288,0.031150,0.036998,0.047574,0.067755,0.107625,0.187189", \ "0.030770,0.037564,0.043391,0.053970,0.074164,0.114059,0.193626", \ "0.041623,0.048741,0.054683,0.065285,0.085443,0.125321,0.204899", \ "0.053182,0.060983,0.067297,0.078212,0.098524,0.138346,0.217873", \ "0.065775,0.074260,0.081031,0.092357,0.112813,0.152713,0.232198", \ "0.079790,0.088911,0.096190,0.108107,0.128898,0.168783,0.248357"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.020158,0.026284,0.031503,0.041048,0.059471,0.096063,0.169145", \ "0.021368,0.027497,0.032713,0.042262,0.060683,0.097281,0.170359", \ "0.024552,0.030665,0.035874,0.045421,0.063844,0.100448,0.173536", \ "0.028468,0.034839,0.040159,0.049797,0.068263,0.104841,0.177932", \ "0.031858,0.038781,0.044315,0.054070,0.072559,0.109188,0.182242", \ "0.033706,0.041440,0.047452,0.057561,0.076212,0.112856,0.185939", \ "0.033651,0.042208,0.048910,0.059684,0.078757,0.115695,0.188856"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004446,0.008257,0.012164,0.020251,0.037136,0.071589,0.140738", \ "0.004448,0.008261,0.012165,0.020254,0.037144,0.071597,0.140724", \ "0.004470,0.008291,0.012188,0.020262,0.037143,0.071588,0.140730", \ "0.005499,0.009028,0.012664,0.020451,0.037179,0.071598,0.140727", \ "0.007012,0.010448,0.013865,0.021271,0.037552,0.071652,0.140760", \ "0.008653,0.012105,0.015366,0.022304,0.038066,0.071987,0.140790", \ "0.010478,0.013972,0.017189,0.023763,0.038845,0.072307,0.141057"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004586,0.008246,0.012160,0.020381,0.037488,0.072235,0.141772", \ "0.004586,0.008251,0.012162,0.020366,0.037483,0.072219,0.141776", \ "0.004677,0.008294,0.012192,0.020394,0.037484,0.072214,0.141803", \ "0.005162,0.008738,0.012542,0.020600,0.037579,0.072230,0.141793", \ "0.006169,0.009635,0.013201,0.020949,0.037733,0.072299,0.141789", \ "0.007529,0.011146,0.014493,0.021797,0.038151,0.072498,0.141811", \ "0.009114,0.013022,0.016385,0.023225,0.039084,0.073062,0.142068"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.022846,0.029755,0.035675,0.046425,0.066783,0.106635,0.186090", \ "0.024409,0.031308,0.037227,0.047978,0.068337,0.108195,0.187649", \ "0.030893,0.037722,0.043621,0.054372,0.074750,0.114626,0.194099", \ "0.041854,0.048972,0.054968,0.065723,0.086040,0.125893,0.205356", \ "0.053583,0.061327,0.067689,0.078761,0.099119,0.138867,0.218281", \ "0.066293,0.074627,0.081412,0.092859,0.113215,0.152909,0.232251", \ "0.080277,0.089134,0.096357,0.108261,0.128660,0.168186,0.247490"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.004481,0.008347,0.012353,0.020538,0.037264,0.071372,0.140413", \ "0.004481,0.008347,0.012354,0.020539,0.037263,0.071371,0.140415", \ "0.004497,0.008377,0.012374,0.020547,0.037266,0.071373,0.140419", \ "0.005495,0.009092,0.012825,0.020697,0.037277,0.071372,0.140421", \ "0.006976,0.010496,0.014047,0.021469,0.037497,0.071388,0.140422", \ "0.008504,0.012063,0.015482,0.022389,0.037785,0.071507,0.140422", \ "0.010064,0.013702,0.017074,0.023506,0.038107,0.071590,0.140495"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("13.341160,15.579910,17.454940,20.215670,20.950120,21.079500,21.136130", \ "13.278040,15.415600,17.291090,20.026930,20.804570,20.915880,20.978310", \ "13.228150,15.474620,17.282560,20.035960,20.830550,20.964190,21.029430", \ "14.182680,16.299360,18.069210,20.771520,21.535240,21.682870,21.774040", \ "15.523690,17.543200,19.548380,22.421790,23.141950,23.298600,23.372730", \ "18.216620,19.918820,21.734820,24.634850,25.733200,25.870840,25.953030", \ "21.986090,23.262610,25.160190,27.943870,28.934690,29.447170,29.515480"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("12.706400,13.931930,14.214540,14.331450,14.393640,14.441030,14.500640", \ "12.574250,13.766320,14.048210,14.161170,14.211290,14.285730,14.346120", \ "12.613590,13.771170,14.050620,14.187140,14.245170,14.344970,14.416310", \ "13.391710,14.576910,14.825950,14.931450,15.016720,15.120850,15.217920", \ "14.691420,15.729970,16.235290,16.620920,16.698240,16.798630,16.891010", \ "17.248640,18.116050,18.571070,18.920080,19.381560,19.513210,19.598080", \ "21.061360,21.692800,22.120420,22.511620,22.847040,23.372050,23.443820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("7.771823,9.077117,9.364020,9.559762,9.735352,9.859523,9.529680", \ "7.778990,8.890064,9.037894,9.029143,9.734141,9.938998,9.609228", \ "7.457896,8.776119,8.962259,9.083289,9.190592,9.533236,9.818674", \ "7.905511,9.129558,9.390843,9.575612,9.349299,10.065830,9.750474", \ "9.267040,10.535670,10.833900,10.759810,10.849600,9.890093,10.949980", \ "11.266370,12.652020,12.964690,13.157590,13.368270,12.550410,13.562850", \ "13.735050,15.402620,16.165930,16.330990,16.917500,16.700110,16.328330"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("12.668340,13.930580,14.214530,14.331250,14.370990,14.450730,14.500630", \ "12.574260,13.750610,14.048160,14.153210,14.211220,14.286710,14.346110", \ "12.613610,13.771160,14.050610,14.187640,14.245680,14.337310,14.417450", \ "13.391960,14.576910,14.825950,14.931450,15.016720,15.120840,15.217910", \ "14.690900,15.729980,16.235830,16.620920,16.698230,16.798570,16.891120", \ "17.249180,18.116100,18.571110,18.920000,19.381560,19.494400,19.598070", \ "21.061350,21.616250,22.120520,22.512000,22.845860,23.371770,23.443820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("7.771900,9.077151,9.364093,9.559870,9.735316,9.857005,9.527598", \ "7.778733,8.890104,9.038009,9.029135,9.734233,9.939009,9.609227", \ "7.458221,8.751659,8.962371,9.083369,9.467103,9.532386,9.818902", \ "7.905572,9.129597,9.390957,9.575718,9.349371,10.066430,9.750472", \ "9.267112,10.535960,10.834210,10.759920,10.849710,9.890110,10.949980", \ "11.264470,12.652110,12.964810,13.157490,13.368070,12.550420,13.562800", \ "13.735150,15.402670,16.165940,16.331120,16.917520,16.700980,16.329660"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("12.678090,13.899520,14.209510,14.341140,14.373230,14.440350,14.499930", \ "12.574990,13.750760,14.040730,14.162210,14.202000,14.293750,14.345930", \ "12.614830,13.771510,14.055530,14.171660,14.240100,14.334940,14.420690", \ "13.368680,14.577190,14.831220,14.931640,15.016720,15.120830,15.217900", \ "14.700100,15.747580,16.256990,16.607440,16.698340,16.801660,16.889140", \ "17.234780,18.116680,18.553000,18.945990,19.381650,19.505580,19.598100", \ "21.061240,21.690240,22.151890,22.534510,22.847060,23.371770,23.443630"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("7.773875,9.078966,9.320093,9.406170,9.579295,9.874808,9.558889", \ "7.634182,8.891766,9.208133,9.405467,9.581564,9.955613,9.641368", \ "7.460043,8.630753,9.125801,9.322452,9.501524,9.352397,9.841061", \ "7.912259,9.128015,9.355074,9.463778,9.350125,10.082750,9.782223", \ "9.268669,10.537550,10.809890,10.599110,10.681590,9.907952,10.981380", \ "11.246020,12.649890,13.120540,13.049340,13.376830,12.567690,13.594900", \ "13.737590,15.404550,15.981340,16.162180,16.926160,16.717670,16.362660"); } } } } /****************************************************************************************** Module : CLKGATETST_X8 Cell Description : Pos.edge clock gating cell with pre scan, drive strength X8 *******************************************************************************************/ cell (CLKGATETST_X8) { drive_strength : 8; statetable ("CK E SE","IQ") { table : "L L L : - : L ,\ L L H : - : H ,\ L H L : - : H ,\ L H H : - : H ,\ H - - : - : N " ; } clock_gating_integrated_cell : latch_posedge_precontrol; area : 7.714000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 220.271011; leakage_power () { when : "!CK & !E & !SE & !GCK"; value : 166.485000; } leakage_power () { when : "!CK & !E & SE & !GCK"; value : 165.295394; } leakage_power () { when : "!CK & E & !SE & !GCK"; value : 164.140647; } leakage_power () { when : "!CK & E & SE & !GCK"; value : 170.686527; } leakage_power () { when : "CK & !E & !SE & !GCK"; value : 244.365000; } leakage_power () { when : "CK & !E & !SE & GCK"; value : 264.571120; } leakage_power () { when : "CK & !E & SE & !GCK"; value : 236.521505; } leakage_power () { when : "CK & !E & SE & GCK"; value : 249.891125; } leakage_power () { when : "CK & E & !SE & !GCK"; value : 235.366747; } leakage_power () { when : "CK & E & !SE & GCK"; value : 248.735267; } leakage_power () { when : "CK & E & SE & !GCK"; value : 241.912638; } leakage_power () { when : "CK & E & SE & GCK"; value : 255.281158; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 7.959177; fall_capacitance : 7.204104; rise_capacitance : 7.959177; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.206594,0.197672,0.271957"); } } internal_power () { when : "!E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.122119,7.901577,7.846830,8.013430,8.383018,8.983671,9.867695"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.946720,-1.975590,-1.983660,-1.850600,-1.500150,-0.862945,0.062349"); } } internal_power () { when : "!E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("20.817430,20.572270,20.498550,20.678060,21.047540,21.680960,22.682650"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("20.834890,20.579110,20.519300,20.694400,21.068360,21.686650,22.686560"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "E & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("20.819750,20.570310,20.503830,20.679620,21.054320,21.676630,22.684200"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.901507; fall_capacitance : 0.863898; rise_capacitance : 0.901507; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.034465,-0.028834,-0.042756", \ "-0.050500,-0.044887,-0.058472", \ "-0.026383,0.002868,-0.033740"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.008220,-0.002396,-0.006737", \ "-0.009510,-0.005955,-0.014665", \ "0.055702,0.057990,0.037967"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.081710,0.079666,0.100767", \ "0.098923,0.097152,0.117914", \ "0.143342,0.141056,0.161086"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.211745,0.182176,0.219310", \ "0.223872,0.194146,0.231189", \ "0.225430,0.196180,0.232795"); } } internal_power () { when : "!CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("13.849860,13.821410,13.792120,13.804280,13.933410,14.239660,14.732250"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("12.833610,12.805140,12.788760,12.854020,13.065740,13.455630,14.046230"); } } internal_power () { when : "!CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482225,0.488549,0.491374,0.490569,0.490178,0.489796,0.489955"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.312754,-0.362042,-0.403801,-0.419624,-0.429241,-0.434896,-0.439173"); } } internal_power () { when : "CK & !SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.730039,2.703745,2.674181,2.686484,2.806107,3.085122,3.542605"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.063223,1.036160,1.027525,1.101187,1.319785,1.714126,2.296452"); } } internal_power () { when : "CK & !SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.645545,2.618171,2.589777,2.604425,2.726922,3.010310,3.469661"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.034333,1.007233,0.996344,1.065220,1.278640,1.667130,2.245329"); } } internal_power () { when : "CK & SE & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481732,0.488514,0.490818,0.490090,0.489742,0.489297,0.489479"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.315992,-0.365209,-0.406553,-0.422806,-0.432535,-0.437291,-0.442395"); } } internal_power () { when : "CK & SE & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481800,0.488543,0.490861,0.490116,0.489808,0.489364,0.490059"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.315902,-0.365091,-0.406312,-0.422641,-0.432364,-0.437053,-0.441103"); } } } pin (SE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_test_pin : true; capacitance : 0.801331; fall_capacitance : 0.741146; rise_capacitance : 0.801331; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.030795,-0.025458,-0.038995", \ "-0.049038,-0.043092,-0.056973", \ "-0.019258,0.010653,-0.026760"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.007361,-0.001837,-0.005549", \ "-0.006755,-0.003185,-0.012160", \ "0.050746,0.052384,0.033207"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.077437,0.075676,0.096700", \ "0.097085,0.095304,0.116031", \ "0.148298,0.146662,0.165845"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.209914,0.180335,0.217433", \ "0.220810,0.191067,0.228051", \ "0.218305,0.188394,0.225815"); } } internal_power () { when : "!CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("13.461540,13.420910,13.430070,13.558900,13.831920,14.263440,14.879290"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("12.533860,12.533420,12.525630,12.599160,12.841450,13.287380,13.954980"); } } internal_power () { when : "!CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.126783,0.130981,0.131504,0.131431,0.130971,0.131736,0.130806"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.123547,-0.123893,-0.123247,-0.123229,-0.123286,-0.123352,-0.123465"); } } internal_power () { when : "CK & !E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.340384,2.302191,2.312180,2.441970,2.697334,3.098333,3.676518"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.766209,0.764895,0.765824,0.851296,1.101225,1.548516,2.201389"); } } internal_power () { when : "CK & !E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.257706,2.217237,2.228786,2.360695,2.620787,3.025872,3.605039"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.738721,0.737623,0.732822,0.814654,1.060398,1.506308,2.158282"); } } internal_power () { when : "CK & E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.125941,0.130275,0.130879,0.130821,0.130358,0.131170,0.130226"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.126381,-0.126768,-0.126187,-0.126216,-0.126277,-0.126326,-0.126413"); } } internal_power () { when : "CK & E & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.123507,0.127832,0.128460,0.128391,0.128811,0.128777,0.128934"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.125397,-0.125761,-0.125151,-0.125179,-0.126130,-0.125246,-0.125301"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 484.619000; state_function : "(IQ & CK)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "!E & SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.023157,0.030224,0.036087,0.046690,0.066886,0.106777,0.186336", \ "0.024716,0.031776,0.037638,0.048243,0.068443,0.108343,0.187892", \ "0.031198,0.038192,0.044037,0.054644,0.074861,0.114778,0.194347", \ "0.042059,0.049380,0.055336,0.065967,0.086159,0.126061,0.205630", \ "0.053653,0.061665,0.067990,0.078945,0.099295,0.139130,0.218656", \ "0.066304,0.075024,0.081808,0.093179,0.113681,0.153592,0.233075", \ "0.080391,0.089796,0.097100,0.109075,0.129919,0.169828,0.249396"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.020948,0.027367,0.032647,0.042268,0.060748,0.097427,0.170659", \ "0.022154,0.028571,0.033851,0.043475,0.061955,0.098631,0.171860", \ "0.025296,0.031692,0.036962,0.046579,0.065060,0.101735,0.174974", \ "0.029111,0.035741,0.041113,0.050820,0.069353,0.106016,0.179236", \ "0.032538,0.039643,0.045208,0.055028,0.073588,0.110304,0.183505", \ "0.034523,0.042403,0.048392,0.058542,0.077270,0.113996,0.187233", \ "0.034623,0.043316,0.049959,0.060723,0.079862,0.116878,0.190182"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004514,0.008435,0.012349,0.020441,0.037328,0.071771,0.140886", \ "0.004518,0.008434,0.012350,0.020441,0.037323,0.071761,0.140881", \ "0.004541,0.008465,0.012372,0.020451,0.037321,0.071774,0.140874", \ "0.005557,0.009189,0.012842,0.020642,0.037361,0.071777,0.140890", \ "0.007071,0.010611,0.014050,0.021476,0.037741,0.071809,0.140891", \ "0.008731,0.012290,0.015567,0.022527,0.038271,0.072137,0.140922", \ "0.010584,0.014225,0.017438,0.024015,0.039065,0.072458,0.141195"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004787,0.008556,0.012462,0.020668,0.037801,0.072585,0.142220", \ "0.004785,0.008552,0.012468,0.020655,0.037767,0.072559,0.142243", \ "0.004874,0.008594,0.012489,0.020663,0.037773,0.072530,0.142257", \ "0.005308,0.009004,0.012815,0.020863,0.037824,0.072545,0.142252", \ "0.006227,0.009806,0.013430,0.021213,0.038010,0.072615,0.142256", \ "0.007559,0.011233,0.014636,0.022022,0.038420,0.072820,0.142273", \ "0.009137,0.013066,0.016451,0.023414,0.039341,0.073352,0.142482"); } } timing () { related_pin : "CK"; when : "E & !SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.023156,0.030225,0.036087,0.046690,0.066886,0.106777,0.186336", \ "0.024716,0.031776,0.037638,0.048243,0.068443,0.108343,0.187892", \ "0.031198,0.038192,0.044037,0.054644,0.074861,0.114778,0.194346", \ "0.042059,0.049380,0.055336,0.065967,0.086159,0.126061,0.205630", \ "0.053653,0.061665,0.067990,0.078945,0.099295,0.139130,0.218656", \ "0.066304,0.075024,0.081808,0.093179,0.113681,0.153592,0.233069", \ "0.080391,0.089797,0.097100,0.109075,0.129919,0.169828,0.249396"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.020948,0.027367,0.032647,0.042268,0.060748,0.097427,0.170652", \ "0.022154,0.028571,0.033851,0.043475,0.061955,0.098631,0.171860", \ "0.025296,0.031692,0.036962,0.046579,0.065060,0.101735,0.174974", \ "0.029111,0.035741,0.041113,0.050820,0.069353,0.106016,0.179236", \ "0.032538,0.039643,0.045208,0.055028,0.073588,0.110304,0.183505", \ "0.034523,0.042403,0.048392,0.058542,0.077270,0.113996,0.187233", \ "0.034623,0.043316,0.049959,0.060723,0.079862,0.116878,0.190182"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004514,0.008432,0.012349,0.020441,0.037328,0.071771,0.140886", \ "0.004518,0.008434,0.012350,0.020441,0.037323,0.071760,0.140881", \ "0.004541,0.008465,0.012372,0.020451,0.037321,0.071774,0.140874", \ "0.005557,0.009189,0.012842,0.020642,0.037361,0.071777,0.140890", \ "0.007071,0.010611,0.014050,0.021476,0.037741,0.071809,0.140891", \ "0.008731,0.012290,0.015567,0.022527,0.038271,0.072137,0.140905", \ "0.010584,0.014224,0.017438,0.024015,0.039065,0.072458,0.141195"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004787,0.008556,0.012462,0.020669,0.037801,0.072585,0.142237", \ "0.004785,0.008552,0.012468,0.020655,0.037767,0.072559,0.142243", \ "0.004874,0.008594,0.012488,0.020663,0.037773,0.072530,0.142257", \ "0.005308,0.009004,0.012815,0.020863,0.037824,0.072545,0.142252", \ "0.006227,0.009806,0.013430,0.021213,0.038025,0.072615,0.142256", \ "0.007559,0.011233,0.014636,0.022022,0.038420,0.072820,0.142273", \ "0.009137,0.013066,0.016451,0.023414,0.039341,0.073352,0.142482"); } } timing () { related_pin : "CK"; when : "E & SE"; sdf_cond : "(E == 1'b1) && (SE == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.023156,0.030225,0.036087,0.046689,0.066892,0.106777,0.186333", \ "0.024715,0.031776,0.037638,0.048244,0.068442,0.108343,0.187892", \ "0.031198,0.038192,0.044037,0.054644,0.074861,0.114778,0.194344", \ "0.042059,0.049380,0.055335,0.065967,0.086161,0.126061,0.205630", \ "0.053653,0.061665,0.067989,0.078945,0.099295,0.139130,0.218659", \ "0.066304,0.075024,0.081808,0.093179,0.113682,0.153598,0.233073", \ "0.080391,0.089796,0.097099,0.109074,0.129919,0.169829,0.249395"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.020947,0.027367,0.032648,0.042268,0.060748,0.097427,0.170656", \ "0.022154,0.028570,0.033851,0.043471,0.061956,0.098631,0.171862", \ "0.025296,0.031692,0.036963,0.046577,0.065061,0.101735,0.174976", \ "0.029111,0.035741,0.041114,0.050820,0.069349,0.106016,0.179238", \ "0.032538,0.039643,0.045208,0.055025,0.073588,0.110304,0.183505", \ "0.034523,0.042403,0.048392,0.058541,0.077270,0.113996,0.187225", \ "0.034623,0.043316,0.049959,0.060723,0.079862,0.116878,0.190182"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004514,0.008434,0.012348,0.020441,0.037322,0.071779,0.140881", \ "0.004516,0.008434,0.012351,0.020440,0.037320,0.071778,0.140878", \ "0.004541,0.008465,0.012371,0.020451,0.037323,0.071772,0.140883", \ "0.005560,0.009189,0.012842,0.020641,0.037359,0.071777,0.140891", \ "0.007071,0.010611,0.014050,0.021476,0.037737,0.071810,0.140900", \ "0.008730,0.012290,0.015568,0.022528,0.038269,0.072131,0.140920", \ "0.010585,0.014225,0.017439,0.024013,0.039064,0.072459,0.141193"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004784,0.008556,0.012468,0.020665,0.037802,0.072573,0.142239", \ "0.004785,0.008552,0.012472,0.020654,0.037768,0.072559,0.142243", \ "0.004874,0.008594,0.012488,0.020671,0.037763,0.072530,0.142262", \ "0.005308,0.009004,0.012817,0.020871,0.037832,0.072542,0.142255", \ "0.006227,0.009808,0.013423,0.021214,0.038024,0.072616,0.142256", \ "0.007559,0.011233,0.014644,0.022024,0.038421,0.072820,0.142270", \ "0.009140,0.013066,0.016453,0.023409,0.039341,0.073351,0.142482"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E & !SE"; sdf_cond : "(E == 1'b0) && (SE == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.023236,0.030318,0.036213,0.046913,0.067345,0.107456,0.186961", \ "0.024795,0.031871,0.037767,0.048468,0.068904,0.109017,0.188524", \ "0.031274,0.038288,0.044163,0.054869,0.075320,0.115450,0.194973", \ "0.042222,0.049523,0.055497,0.066213,0.086628,0.126737,0.206257", \ "0.053945,0.061891,0.068221,0.079258,0.099823,0.139836,0.219292", \ "0.066721,0.075296,0.082049,0.093477,0.114181,0.154193,0.233565", \ "0.080894,0.090041,0.097250,0.109199,0.130142,0.170028,0.249359"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004534,0.008474,0.012444,0.020661,0.037654,0.071866,0.140627", \ "0.004534,0.008477,0.012443,0.020663,0.037653,0.071866,0.140621", \ "0.004558,0.008507,0.012464,0.020674,0.037654,0.071862,0.140625", \ "0.005561,0.009210,0.012916,0.020845,0.037679,0.071861,0.140623", \ "0.007071,0.010610,0.014120,0.021683,0.038021,0.071879,0.140610", \ "0.008680,0.012214,0.015578,0.022708,0.038510,0.072087,0.140629", \ "0.010405,0.013972,0.017289,0.024039,0.039111,0.072220,0.140733"); } } internal_power () { related_pin : "CK"; when : "!E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("23.359460,26.693590,28.411480,32.173630,37.452500,38.411620,38.515120", \ "23.247270,26.417330,28.173120,31.911430,37.142710,38.134870,38.255950", \ "23.479410,26.503570,28.190970,32.011220,37.252970,38.253830,38.365500", \ "24.956430,27.918550,29.541480,33.330300,38.427590,39.532730,39.667840", \ "27.238110,30.093880,32.087290,36.242740,41.340630,42.354160,42.505860", \ "32.224270,34.373200,36.065160,39.996250,45.952410,46.911540,47.058670", \ "39.241430,40.632810,42.299030,46.051180,51.632200,53.309820,53.407700"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "!E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("22.848860,24.873300,25.413280,25.572400,25.589760,25.580920,25.656770", \ "22.462450,24.547750,25.088200,25.300420,25.309800,25.327220,25.400740", \ "22.757800,24.608080,25.107340,25.347520,25.361630,25.433540,25.559010", \ "23.964010,26.052960,26.533600,26.655360,26.700580,26.807200,26.944580", \ "26.431100,28.156890,29.067470,29.662560,29.675570,29.758270,29.888990", \ "31.266420,32.476890,33.147790,33.785450,34.404130,34.539040,34.683390", \ "38.318670,38.845400,39.617780,40.185350,40.582030,41.423860,41.534340"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("14.108900,15.676230,16.485980,17.100150,17.450570,18.018560,16.768110", \ "13.768130,15.714070,16.412650,16.705430,16.212340,15.515800,16.963470", \ "13.369080,15.527780,16.068290,15.968440,16.156180,15.971580,17.391080", \ "14.402720,15.699610,16.335690,16.008920,17.167980,16.997580,17.183150", \ "16.347200,18.364770,18.761840,18.330200,19.104740,17.757640,19.231560", \ "19.814540,22.138700,22.855520,22.497440,22.964510,23.114740,23.718430", \ "24.433380,26.834970,28.121080,28.254260,29.041550,29.559270,28.147810"); } } internal_power () { related_pin : "CK"; when : "E & !SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("22.835460,24.898970,25.380630,25.572430,25.589800,25.582980,25.654280", \ "22.462520,24.548120,25.083560,25.301070,25.309220,25.329470,25.400870", \ "22.758310,24.608340,25.109720,25.347520,25.361650,25.433790,25.559030", \ "23.963510,26.053020,26.533720,26.655390,26.700600,26.807180,26.944560", \ "26.431140,28.157700,29.067810,29.662560,29.675570,29.758310,29.888990", \ "31.266980,32.477160,33.147780,33.785500,34.404140,34.539020,34.691540", \ "38.318620,38.943200,39.617960,40.186570,40.582700,41.424530,41.533340"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("14.108930,15.676310,16.472040,17.100620,17.449860,18.018680,17.728020", \ "13.768230,15.748450,16.412950,16.706090,16.212690,15.515840,16.963960", \ "13.369230,15.526240,16.069020,15.968390,16.156660,15.971610,17.391070", \ "14.402740,15.699370,16.336160,16.010530,17.167970,16.998620,17.183110", \ "16.347320,18.364470,18.762310,18.331630,18.371370,17.756420,19.231540", \ "19.814720,22.138780,22.856580,22.496810,22.964560,23.114910,23.718410", \ "24.433550,26.835340,28.121620,28.255200,29.041600,29.559280,28.147270"); } } internal_power () { related_pin : "CK"; when : "E & SE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("22.840460,24.916080,25.405880,25.572980,25.595800,25.583340,25.655270", \ "22.550610,24.547910,25.108210,25.311890,25.312280,25.329250,25.408390", \ "22.735340,24.609220,25.132750,25.348920,25.397110,25.413160,25.559300", \ "24.056660,26.053500,26.495890,26.667170,26.716570,26.807210,26.944530", \ "26.432130,28.127190,28.991940,29.662580,29.681770,29.755480,29.890160", \ "31.225410,32.460340,33.188130,33.758500,34.419180,34.553090,34.681400", \ "38.300040,38.820210,39.480710,40.172820,40.577290,41.424310,41.530890"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("14.108180,15.672480,16.383150,16.919210,17.389910,18.012880,16.723690", \ "13.767060,15.682170,16.293040,16.094820,16.375740,15.494630,16.931230", \ "13.368520,15.519180,15.644970,15.967900,16.860290,15.953390,17.355870", \ "14.398320,15.696290,16.487950,16.177780,17.024390,16.753030,17.147660", \ "16.345240,18.429790,18.918160,18.757140,18.546080,17.738330,19.194350", \ "19.818210,22.135390,22.379240,22.676440,22.954410,23.118990,23.663440", \ "24.432260,26.841050,27.632110,28.449130,29.031280,29.541320,28.112550"); } } } } /****************************************************************************************** Module : CLKGATE_X1 Cell Description : Pos.edge clock gating cell with drive strength X1 *******************************************************************************************/ cell (CLKGATE_X1) { drive_strength : 1; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 48.647260; leakage_power () { when : "!CK & !E & !GCK"; value : 38.414200; } leakage_power () { when : "!CK & E & !GCK"; value : 60.270782; } leakage_power () { when : "CK & !E & !GCK"; value : 38.483698; } leakage_power () { when : "CK & !E & GCK"; value : 50.158680; } leakage_power () { when : "CK & E & !GCK"; value : 49.008377; } leakage_power () { when : "CK & E & GCK"; value : 55.547822; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 1.837892; fall_capacitance : 1.699306; rise_capacitance : 1.837892; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.050317,0.072321,0.198733"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.574452,3.544106,3.579704,3.794528,4.204612,4.851843,5.782880"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.307258,1.279196,1.280451,1.436161,1.830591,2.504117,3.467117"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.310713,6.270530,6.302373,6.529975,6.969328,7.663879,8.704774"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.915181; fall_capacitance : 0.862704; rise_capacitance : 0.915181; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.015679,-0.007410,-0.009923", \ "-0.012907,-0.013067,-0.026375", \ "0.132212,0.133043,0.102058"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.002230,0.003266,-0.000346", \ "0.004311,0.012539,0.010569", \ "0.100307,0.108752,0.110943"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.042333,0.033628,0.032268", \ "0.059417,0.050656,0.049823", \ "0.098736,0.090292,0.088108"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.047522,0.047746,0.079810", \ "0.058192,0.058354,0.089987", \ "0.066830,0.066001,0.096992"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.699979,3.683528,3.660336,3.680995,3.822185,4.129855,4.604548"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.750888,2.723549,2.695604,2.721235,2.859229,3.156764,3.626671"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.544915,0.527612,0.519013,0.515671,0.513440,0.512106,0.510491"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.409272,-0.409721,-0.411229,-0.413851,-0.417388,-0.421996,-0.427854"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481085,0.488258,0.490208,0.489846,0.489369,0.489262,0.489099"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.323431,-0.372417,-0.412666,-0.424550,-0.427751,-0.432231,-0.438135"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.022752,0.028403,0.034245,0.044801,0.064919,0.104698,0.184157", \ "0.024269,0.029911,0.035753,0.046313,0.066426,0.106214,0.185678", \ "0.030622,0.036201,0.042021,0.052586,0.072718,0.112523,0.192003", \ "0.040921,0.046821,0.052818,0.063454,0.083568,0.123352,0.202816", \ "0.051686,0.058154,0.064570,0.075601,0.095932,0.135677,0.215074", \ "0.063206,0.070281,0.077242,0.088843,0.109443,0.149272,0.228638", \ "0.075687,0.083364,0.090971,0.103414,0.124672,0.164658,0.244107"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.019115,0.024138,0.029357,0.038900,0.057296,0.093798,0.166694", \ "0.020351,0.025368,0.030587,0.040134,0.058518,0.095025,0.167932", \ "0.025231,0.030218,0.035415,0.044947,0.063347,0.099844,0.172761", \ "0.030782,0.036167,0.041504,0.051138,0.069547,0.106005,0.178927", \ "0.034808,0.040954,0.046671,0.056397,0.074777,0.111309,0.184154", \ "0.037223,0.044172,0.050640,0.060902,0.079339,0.115756,0.188678", \ "0.037819,0.045523,0.052866,0.064206,0.083089,0.119592,0.192483"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004944,0.008157,0.012078,0.020163,0.037003,0.071433,0.140525", \ "0.004940,0.008159,0.012080,0.020161,0.037004,0.071439,0.140525", \ "0.004982,0.008203,0.012107,0.020170,0.037005,0.071450,0.140529", \ "0.006077,0.009074,0.012717,0.020434,0.037053,0.071429,0.140511", \ "0.007609,0.010573,0.014038,0.021397,0.037512,0.071490,0.140514", \ "0.009356,0.012400,0.015786,0.022700,0.038177,0.071863,0.140569", \ "0.011374,0.014566,0.018024,0.024677,0.039415,0.072341,0.140843"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004997,0.008145,0.012085,0.020271,0.037354,0.071974,0.141363", \ "0.004992,0.008148,0.012081,0.020270,0.037343,0.071967,0.141362", \ "0.005154,0.008244,0.012136,0.020302,0.037338,0.071972,0.141362", \ "0.006351,0.009094,0.012724,0.020665,0.037434,0.071966,0.141408", \ "0.007922,0.010649,0.013801,0.021127,0.037739,0.072116,0.141392", \ "0.009754,0.012776,0.015804,0.022291,0.038110,0.072394,0.141503", \ "0.011904,0.015194,0.018503,0.024469,0.039135,0.072787,0.141799"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.022750,0.028399,0.034236,0.044794,0.065274,0.105188,0.184539", \ "0.024265,0.029906,0.035744,0.046304,0.066788,0.106702,0.186059", \ "0.030621,0.036197,0.042012,0.052576,0.073074,0.113020,0.192386", \ "0.040917,0.046817,0.052807,0.063446,0.083946,0.123834,0.203201", \ "0.051681,0.058148,0.064557,0.075593,0.096356,0.136086,0.215389", \ "0.063206,0.070275,0.077225,0.088838,0.109934,0.149597,0.228826", \ "0.075673,0.083355,0.090949,0.103425,0.125180,0.164610,0.243776"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.004940,0.008150,0.012069,0.020253,0.037523,0.071290,0.140259", \ "0.004939,0.008153,0.012071,0.020252,0.037519,0.071294,0.140270", \ "0.004982,0.008196,0.012101,0.020264,0.037526,0.071295,0.140263", \ "0.006076,0.009069,0.012711,0.020539,0.037561,0.071277,0.140266", \ "0.007609,0.010560,0.014026,0.021541,0.037953,0.071277,0.140275", \ "0.009354,0.012381,0.015770,0.022935,0.038566,0.071422,0.140277", \ "0.011375,0.014547,0.018008,0.025060,0.039503,0.071492,0.140363"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("5.189170,5.929378,6.577649,8.038928,8.409612,8.455713,8.478526", \ "5.137049,5.848803,6.481294,7.949428,8.329479,8.376353,8.398133", \ "5.164472,5.849880,6.513173,7.945689,8.348065,8.405090,8.430024", \ "5.468476,6.227967,6.880867,8.336510,8.693980,8.753171,8.781489", \ "5.971679,6.757301,7.588416,9.096400,9.416239,9.467320,9.503159", \ "6.974958,7.772024,8.664423,10.141740,10.576720,10.649230,10.685250", \ "8.422546,9.229046,10.257670,11.745510,12.096500,12.331360,12.352500"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("4.951765,5.306276,5.455559,5.522173,5.556967,5.572348,5.575768", \ "4.861278,5.237895,5.377841,5.442465,5.473114,5.491982,5.500328", \ "4.880259,5.252299,5.382529,5.459384,5.493854,5.518330,5.530690", \ "5.159057,5.576546,5.734332,5.801255,5.837854,5.867110,5.884879", \ "5.605669,6.048595,6.289369,6.521342,6.558721,6.584235,6.601517", \ "6.576005,6.959032,7.267051,7.497338,7.699154,7.744173,7.763558", \ "7.971228,8.351727,8.700674,9.018713,9.215256,9.435961,9.446854"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.106381,3.371109,3.446612,3.386980,3.451062,3.465618,3.537469", \ "3.050722,3.273142,3.383589,3.470734,3.383987,3.361998,3.215028", \ "3.007954,3.302673,3.361918,3.407615,3.272841,3.291251,3.510223", \ "3.437180,3.601124,3.624330,3.666449,3.723331,3.677415,3.565184", \ "4.181663,4.353311,4.395624,4.302725,4.304350,4.292086,4.204148", \ "5.268342,5.505501,5.625151,5.655915,5.598934,5.502735,5.380095", \ "6.657735,6.983331,7.210736,7.324642,7.271810,7.313764,7.177373"); } } } } /****************************************************************************************** Module : CLKGATE_X2 Cell Description : Pos.edge clock gating cell with drive strength X2 *******************************************************************************************/ cell (CLKGATE_X2) { drive_strength : 2; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 66.637175; leakage_power () { when : "!CK & !E & !GCK"; value : 50.796680; } leakage_power () { when : "!CK & E & !GCK"; value : 83.440203; } leakage_power () { when : "CK & !E & !GCK"; value : 51.171373; } leakage_power () { when : "CK & !E & GCK"; value : 73.664800; } leakage_power () { when : "CK & E & !GCK"; value : 61.696052; } leakage_power () { when : "CK & E & GCK"; value : 79.053942; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 2.562124; fall_capacitance : 2.382058; rise_capacitance : 2.562124; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.063442,0.081538,0.198733"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.218361,4.188312,4.221370,4.433157,4.838096,5.478092,6.402403"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.076043,1.045343,1.043105,1.198233,1.590497,2.253896,3.215239"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.138008,8.097926,8.125847,8.345490,8.775185,9.459338,10.522790"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.893230; fall_capacitance : 0.831726; rise_capacitance : 0.893230; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.018026,-0.008252,-0.010100", \ "-0.025463,-0.021997,-0.026610", \ "0.119822,0.123389,0.092223"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.002812,0.002065,-0.001887", \ "0.003102,0.010708,0.008702", \ "0.095661,0.103458,0.105231"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.045996,0.037925,0.036647", \ "0.063092,0.054967,0.054216", \ "0.103383,0.095587,0.093819"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.059732,0.056647,0.088881", \ "0.070748,0.067283,0.098773", \ "0.079221,0.075655,0.106828"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.267122,4.250874,4.227397,4.244145,4.368615,4.660612,5.120892"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.901572,3.874595,3.843259,3.859710,3.991409,4.281279,4.744302"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.544655,0.528846,0.519137,0.515654,0.513727,0.511951,0.510580"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.468489,-0.471387,-0.473588,-0.474743,-0.475821,-0.476437,-0.477244"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480545,0.488543,0.490377,0.489994,0.489382,0.489539,0.489370"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.323661,-0.372210,-0.412143,-0.427489,-0.436039,-0.441651,-0.445369"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.307000; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.021921,0.028237,0.034011,0.044508,0.064575,0.104319,0.183697", \ "0.023434,0.029742,0.035516,0.046017,0.066086,0.105833,0.185212", \ "0.029788,0.036020,0.041773,0.052279,0.072369,0.112134,0.191529", \ "0.039860,0.046482,0.052413,0.062995,0.083060,0.122805,0.202190", \ "0.050385,0.057634,0.063962,0.074910,0.095184,0.134891,0.214201", \ "0.061661,0.069595,0.076459,0.087950,0.108471,0.148253,0.227537", \ "0.073882,0.082507,0.090003,0.102324,0.123479,0.163420,0.242779"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.018491,0.024159,0.029357,0.038889,0.057252,0.093725,0.166587", \ "0.019721,0.025382,0.030579,0.040111,0.058483,0.094958,0.167823", \ "0.024594,0.030219,0.035398,0.044921,0.063285,0.099768,0.172623", \ "0.029984,0.036069,0.041381,0.051000,0.069394,0.105835,0.178686", \ "0.033888,0.040821,0.046480,0.056174,0.074545,0.111068,0.183845", \ "0.036194,0.044024,0.050429,0.060632,0.079060,0.115453,0.188325", \ "0.036734,0.045401,0.052671,0.063937,0.082798,0.119300,0.192131"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.004592,0.008188,0.012116,0.020223,0.037091,0.071492,0.140524", \ "0.004587,0.008190,0.012118,0.020220,0.037084,0.071504,0.140513", \ "0.004638,0.008237,0.012149,0.020232,0.037088,0.071507,0.140519", \ "0.005763,0.009120,0.012765,0.020500,0.037134,0.071507,0.140509", \ "0.007279,0.010585,0.014042,0.021414,0.037586,0.071553,0.140503", \ "0.009007,0.012407,0.015766,0.022689,0.038228,0.071921,0.140565", \ "0.011022,0.014577,0.018007,0.024648,0.039441,0.072399,0.140837"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.004716,0.008262,0.012211,0.020405,0.037459,0.072062,0.141428", \ "0.004711,0.008262,0.012206,0.020403,0.037450,0.072068,0.141432", \ "0.004899,0.008358,0.012264,0.020434,0.037487,0.072094,0.141410", \ "0.006105,0.009185,0.012840,0.020793,0.037580,0.072092,0.141450", \ "0.007654,0.010717,0.013889,0.021248,0.037864,0.072264,0.141412", \ "0.009485,0.012858,0.015868,0.022395,0.038234,0.072481,0.141593", \ "0.011648,0.015297,0.018567,0.024563,0.039271,0.072924,0.141863"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.021921,0.028234,0.034004,0.044496,0.064787,0.104865,0.184123", \ "0.023434,0.029738,0.035509,0.046005,0.066300,0.106380,0.185639", \ "0.029786,0.036017,0.041768,0.052270,0.072580,0.112687,0.191958", \ "0.039860,0.046478,0.052406,0.062983,0.083280,0.123348,0.202615", \ "0.050381,0.057630,0.063954,0.074896,0.095443,0.135398,0.214604", \ "0.061662,0.069595,0.076449,0.087933,0.108795,0.148742,0.227871", \ "0.073887,0.082501,0.089993,0.102314,0.123903,0.163743,0.242802"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("0.004587,0.008186,0.012110,0.020267,0.037628,0.071569,0.140264", \ "0.004591,0.008188,0.012112,0.020266,0.037629,0.071570,0.140268", \ "0.004635,0.008233,0.012142,0.020276,0.037631,0.071576,0.140263", \ "0.005761,0.009112,0.012758,0.020545,0.037676,0.071558,0.140269", \ "0.007279,0.010578,0.014032,0.021480,0.038118,0.071559,0.140261", \ "0.009006,0.012393,0.015752,0.022788,0.038776,0.071743,0.140274", \ "0.011018,0.014562,0.017988,0.024820,0.039929,0.071900,0.140353"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("7.510901,8.577651,9.271832,10.835020,11.767010,11.843050,11.880030", \ "7.412443,8.458659,9.143789,10.742590,11.657280,11.718020,11.761760", \ "7.425705,8.437249,9.127671,10.675680,11.664030,11.742450,11.787930", \ "7.740121,8.952854,9.677095,11.224590,12.137100,12.229530,12.282580", \ "8.520282,9.667237,10.575740,12.362930,13.171840,13.257480,13.309930", \ "10.051630,11.083710,12.026880,13.898980,14.861590,14.989210,15.037640", \ "12.164930,13.136050,14.246240,16.315850,17.086840,17.456920,17.492550"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("7.325979,7.966862,8.191785,8.300481,8.361991,8.394469,8.410214", \ "7.169225,7.852886,8.070989,8.182621,8.247402,8.275244,8.290054", \ "7.210921,7.840302,8.042721,8.174923,8.246560,8.294232,8.318423", \ "7.549936,8.332895,8.545311,8.659132,8.724406,8.781257,8.812446", \ "8.214065,8.973752,9.362583,9.677439,9.761141,9.805718,9.844906", \ "9.709792,10.328130,10.753550,11.092040,11.405710,11.490560,11.528140", \ "11.895090,12.414630,12.871790,13.291510,13.602700,13.952880,13.979330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.790840,7.581690,15.163400,30.326700,60.653500,121.307000"); values ("4.642455,5.144927,5.354199,5.425045,5.344905,5.249872,5.020976", \ "4.540413,5.111600,5.250415,5.275851,5.336133,5.281344,5.057287", \ "4.578879,5.070257,5.142532,5.266648,5.306908,5.206191,4.981156", \ "5.041174,5.494588,5.573024,5.652651,5.670562,5.463683,5.627050", \ "6.147803,6.645309,6.655385,6.648980,6.663133,6.688238,6.488514", \ "7.757856,8.341040,8.426515,8.360797,8.280436,7.887379,8.230172", \ "9.930766,10.370310,10.817820,10.925460,11.046250,10.814840,10.888630"); } } } } /****************************************************************************************** Module : CLKGATE_X4 Cell Description : Pos.edge clock gating cell with drive strength X4 *******************************************************************************************/ cell (CLKGATE_X4) { drive_strength : 4; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 109.651830; leakage_power () { when : "!CK & !E & !GCK"; value : 84.943980; } leakage_power () { when : "!CK & E & !GCK"; value : 134.591292; } leakage_power () { when : "CK & !E & !GCK"; value : 85.924663; } leakage_power () { when : "CK & !E & GCK"; value : 125.307160; } leakage_power () { when : "CK & E & !GCK"; value : 96.448352; } leakage_power () { when : "CK & E & GCK"; value : 130.695532; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 4.253856; fall_capacitance : 3.970974; rise_capacitance : 4.253856; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.063137,0.083381,0.198733"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.386284,5.363267,5.398686,5.609587,6.015398,6.656357,7.580317"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.254124,0.221281,0.224122,0.377362,0.760572,1.420934,2.377853"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.111640,11.072880,11.102960,11.326000,11.772080,12.478430,13.626680"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 0.881805; fall_capacitance : 0.828228; rise_capacitance : 0.881805; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.021109,-0.013490,-0.018288", \ "-0.023310,-0.020751,-0.033860", \ "0.114866,0.117161,0.085560"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.002436,0.002132,-0.001821", \ "0.005004,0.012304,0.010014", \ "0.084510,0.091624,0.092540"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.053322,0.045905,0.045405", \ "0.071054,0.063281,0.063316", \ "0.114534,0.107421,0.106511"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.058511,0.057568,0.089819", \ "0.070442,0.069131,0.100970", \ "0.084177,0.081884,0.113492"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.510185,6.495909,6.473547,6.487492,6.650784,6.993095,7.523808"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.619952,5.593647,5.568720,5.596522,5.750508,6.072149,6.582332"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.544768,0.528194,0.520114,0.516363,0.513291,0.512948,0.510908"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466703,-0.470724,-0.472468,-0.473546,-0.474853,-0.475295,-0.476180"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481639,0.488436,0.490433,0.490162,0.489329,0.489749,0.489345"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.323718,-0.372044,-0.411306,-0.427136,-0.435663,-0.441261,-0.444987"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021096,0.027765,0.033507,0.043970,0.064013,0.103736,0.183063", \ "0.022615,0.029276,0.035018,0.045485,0.065531,0.105250,0.184586", \ "0.028976,0.035559,0.041278,0.051753,0.071824,0.111568,0.190913", \ "0.038860,0.045859,0.051765,0.062320,0.082369,0.122077,0.201419", \ "0.049174,0.056844,0.063131,0.074031,0.094273,0.133955,0.213225", \ "0.060281,0.068667,0.075480,0.086909,0.107385,0.147142,0.226383", \ "0.072331,0.081442,0.088890,0.101149,0.122244,0.162160,0.241483"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.017746,0.023759,0.028946,0.038472,0.056851,0.093337,0.166215", \ "0.018978,0.024983,0.030171,0.039696,0.058067,0.094569,0.167434", \ "0.023814,0.029786,0.034951,0.044464,0.062851,0.099344,0.172229", \ "0.028986,0.035447,0.040741,0.050351,0.068770,0.105203,0.178069", \ "0.032696,0.040049,0.045679,0.055360,0.073730,0.110264,0.183079", \ "0.034822,0.043115,0.049486,0.059655,0.078082,0.114502,0.187396", \ "0.035184,0.044362,0.051595,0.062813,0.081654,0.118181,0.191057"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004310,0.008114,0.012049,0.020169,0.037055,0.071458,0.140438", \ "0.004314,0.008117,0.012051,0.020171,0.037058,0.071468,0.140435", \ "0.004368,0.008165,0.012083,0.020185,0.037065,0.071458,0.140443", \ "0.005526,0.009057,0.012713,0.020458,0.037112,0.071464,0.140433", \ "0.007034,0.010508,0.013957,0.021349,0.037561,0.071522,0.140429", \ "0.008765,0.012325,0.015667,0.022601,0.038173,0.071895,0.140472", \ "0.010786,0.014507,0.017909,0.024549,0.039378,0.072378,0.140769"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004458,0.008214,0.012171,0.020404,0.037512,0.072144,0.141528", \ "0.004456,0.008219,0.012182,0.020393,0.037467,0.072143,0.141508", \ "0.004675,0.008319,0.012238,0.020420,0.037527,0.072145,0.141517", \ "0.005881,0.009131,0.012801,0.020794,0.037592,0.072126,0.141515", \ "0.007416,0.010655,0.013818,0.021220,0.037873,0.072274,0.141505", \ "0.009250,0.012791,0.015789,0.022348,0.038267,0.072530,0.141656", \ "0.011422,0.015243,0.018495,0.024479,0.039274,0.072984,0.141963"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.021091,0.027762,0.033501,0.043960,0.064106,0.104326,0.183513", \ "0.022614,0.029273,0.035012,0.045474,0.065624,0.105842,0.185034", \ "0.028978,0.035558,0.041275,0.051741,0.071911,0.112158,0.191361", \ "0.038851,0.045855,0.051757,0.062310,0.082454,0.122665,0.201867", \ "0.049174,0.056841,0.063122,0.074017,0.094379,0.134534,0.213668", \ "0.060279,0.068661,0.075471,0.086889,0.107513,0.147718,0.226777", \ "0.072338,0.081435,0.088884,0.101121,0.122421,0.162702,0.241682"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004314,0.008110,0.012041,0.020176,0.037520,0.071741,0.140182", \ "0.004311,0.008112,0.012043,0.020177,0.037520,0.071736,0.140170", \ "0.004365,0.008161,0.012075,0.020187,0.037517,0.071744,0.140174", \ "0.005524,0.009054,0.012707,0.020461,0.037569,0.071741,0.140169", \ "0.007032,0.010503,0.013945,0.021350,0.038050,0.071758,0.140163", \ "0.008762,0.012317,0.015654,0.022610,0.038723,0.072010,0.140184", \ "0.010783,0.014495,0.017886,0.024573,0.039996,0.072297,0.140284"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.753120,13.273500,14.069870,16.088860,18.857430,19.067980,19.138840", \ "11.565300,13.078650,13.876060,15.875950,18.654710,18.882920,18.944940", \ "11.486540,13.051280,13.800780,15.837440,18.662490,18.910300,18.984190", \ "12.153080,13.841260,14.603530,16.665880,19.426450,19.675970,19.777480", \ "13.464710,14.910800,15.947510,18.458090,21.092910,21.345520,21.448910", \ "16.012890,17.322780,18.400200,20.953710,23.870220,24.165610,24.268330", \ "19.662200,20.750610,21.970790,24.883420,27.559440,28.252530,28.314690"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.547010,12.741720,13.091990,13.289420,13.406770,13.469950,13.511130", \ "11.387610,12.547380,12.904050,13.089830,13.224510,13.296630,13.315370", \ "11.487020,12.508680,12.828710,13.060530,13.219900,13.308000,13.354660", \ "12.028900,13.285150,13.629550,13.820750,13.979720,14.069750,14.142280", \ "13.287260,14.362190,14.955430,15.495730,15.648350,15.739550,15.819110", \ "15.760550,16.654460,17.262200,17.805080,18.309840,18.494460,18.562460", \ "19.480530,20.053690,20.757830,21.433980,21.933320,22.494980,22.555960"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.466016,8.498037,8.701974,8.799155,9.040878,9.107742,8.690909", \ "7.363635,8.367965,8.545160,8.708013,8.468642,7.831872,8.777047", \ "7.338219,8.326995,8.543111,8.598161,8.035707,7.963851,8.656410", \ "8.168032,8.942107,9.104315,9.237445,8.684776,8.878297,8.469334", \ "10.011890,10.720520,11.020380,10.664430,10.674430,10.270270,9.897666", \ "12.451720,13.579760,13.906470,13.424430,13.492150,13.220600,12.784340", \ "16.083600,16.782620,17.561110,17.940620,18.050280,17.691450,17.226360"); } } } } /****************************************************************************************** Module : CLKGATE_X8 Cell Description : Pos.edge clock gating cell with drive strength X8 *******************************************************************************************/ cell (CLKGATE_X8) { drive_strength : 8; statetable ("CK E","IQ") { table : "L L : - : L ,\ L H : - : H ,\ H - : - : N " ; } clock_gating_integrated_cell : latch_posedge; area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 197.707057; leakage_power () { when : "!CK & !E & !GCK"; value : 153.665600; } leakage_power () { when : "!CK & E & !GCK"; value : 241.763489; } leakage_power () { when : "CK & !E & !GCK"; value : 155.739221; } leakage_power () { when : "CK & !E & GCK"; value : 229.145730; } leakage_power () { when : "CK & E & !GCK"; value : 169.680225; } leakage_power () { when : "CK & E & GCK"; value : 236.248078; } pin (IQ) { direction : internal; internal_node : IQ; } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_clock_pin : true; capacitance : 7.654296; fall_capacitance : 7.229167; rise_capacitance : 7.654296; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.066189,0.088297,0.198733"); } } internal_power () { when : "!E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.336135,8.331054,8.359991,8.559856,8.946754,9.562062,10.455090"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.056600,-1.078650,-1.089400,-0.960035,-0.601960,0.033998,0.966099"); } } internal_power () { when : "E & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("17.298080,17.262730,17.285400,17.503660,17.967750,18.698860,19.943890"); } rise_power(scalar) {values ("0.0"); } } } pin (E) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock_gate_enable_pin : true; capacitance : 1.162619; fall_capacitance : 1.093689; rise_capacitance : 1.162619; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.019261,-0.012551,-0.018574", \ "-0.019305,-0.017338,-0.032260", \ "0.110839,0.111866,0.080800"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.001763,0.003728,0.000433", \ "0.006895,0.014512,0.012263", \ "0.077076,0.083527,0.083973"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.058817,0.051429,0.051347", \ "0.076260,0.068823,0.069278", \ "0.121968,0.115519,0.115078"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.059122,0.058795,0.091696", \ "0.071360,0.070979,0.102852", \ "0.088204,0.087178,0.118251"); } } internal_power () { when : "!CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.535830,11.516320,11.487850,11.507970,11.801830,12.408510,13.287400"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.708541,8.675344,8.648359,8.715196,8.975309,9.484343,10.273520"); } } internal_power () { when : "CK & !GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734728,0.711827,0.698760,0.693640,0.690910,0.688735,0.687507"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628302,-0.631724,-0.633491,-0.635126,-0.637063,-0.637420,-0.638524"); } } internal_power () { when : "CK & GCK"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649525,0.657368,0.659319,0.659004,0.658287,0.658643,0.657704"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.434407,-0.498520,-0.551281,-0.573473,-0.584545,-0.592286,-0.597453"); } } } pin (GCK) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 484.619000; state_function : "(CK & IQ)"; clock_gate_out_pin : true; timing () { related_pin : "CK"; when : "E"; sdf_cond : "(E == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.021193,0.028150,0.033931,0.044444,0.064545,0.104347,0.183838", \ "0.022736,0.029683,0.035465,0.045984,0.066090,0.105894,0.185395", \ "0.029107,0.035965,0.041732,0.052259,0.072383,0.112220,0.191724", \ "0.038973,0.046263,0.052210,0.062816,0.082923,0.122727,0.202226", \ "0.049308,0.057266,0.063586,0.074534,0.094845,0.134625,0.214049", \ "0.060440,0.069119,0.075962,0.087429,0.107973,0.147830,0.227236", \ "0.072530,0.081954,0.089422,0.101698,0.122854,0.162859,0.242352"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.017788,0.024108,0.029368,0.038981,0.057468,0.094120,0.167317", \ "0.019023,0.025337,0.030597,0.040218,0.058699,0.095353,0.168558", \ "0.023854,0.030131,0.035373,0.044981,0.063469,0.100129,0.173353", \ "0.028995,0.035749,0.041116,0.050828,0.069354,0.105977,0.179173", \ "0.032694,0.040339,0.046022,0.055798,0.074301,0.111015,0.184154", \ "0.034810,0.043408,0.049810,0.060065,0.078624,0.115228,0.188457", \ "0.035158,0.044666,0.051922,0.063186,0.082156,0.118873,0.192073"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004251,0.008188,0.012131,0.020265,0.037173,0.071637,0.140763", \ "0.004249,0.008188,0.012132,0.020266,0.037167,0.071640,0.140765", \ "0.004299,0.008237,0.012164,0.020279,0.037173,0.071638,0.140762", \ "0.005443,0.009115,0.012791,0.020552,0.037224,0.071637,0.140750", \ "0.006943,0.010545,0.014019,0.021436,0.037685,0.071698,0.140740", \ "0.008678,0.012350,0.015715,0.022675,0.038297,0.072068,0.140797", \ "0.010702,0.014527,0.017944,0.024612,0.039496,0.072555,0.141089"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004415,0.008332,0.012318,0.020570,0.037702,0.072485,0.142209", \ "0.004411,0.008334,0.012319,0.020579,0.037710,0.072481,0.142174", \ "0.004630,0.008437,0.012380,0.020596,0.037693,0.072475,0.142227", \ "0.005791,0.009216,0.012941,0.020973,0.037818,0.072491,0.142169", \ "0.007323,0.010686,0.013924,0.021400,0.038110,0.072638,0.142183", \ "0.009163,0.012808,0.015847,0.022505,0.038480,0.072898,0.142284", \ "0.011348,0.015254,0.018519,0.024576,0.039501,0.073341,0.142600"); } } timing () { related_pin : "CK"; timing_type : combinational_fall; when : "!E"; sdf_cond : "(E == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.021192,0.028147,0.033924,0.044432,0.064564,0.104968,0.184329", \ "0.022736,0.029678,0.035459,0.045972,0.066109,0.106518,0.185875", \ "0.029106,0.035963,0.041726,0.052247,0.072406,0.112837,0.192212", \ "0.038973,0.046261,0.052203,0.062804,0.082938,0.123344,0.202717", \ "0.049312,0.057263,0.063579,0.074521,0.094861,0.135239,0.214540", \ "0.060438,0.069115,0.075952,0.087413,0.107975,0.148467,0.227688", \ "0.072531,0.081948,0.089412,0.101681,0.122859,0.163564,0.242731"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("0.004248,0.008185,0.012127,0.020258,0.037439,0.072084,0.140480", \ "0.004245,0.008188,0.012130,0.020259,0.037440,0.072079,0.140479", \ "0.004297,0.008234,0.012161,0.020271,0.037437,0.072075,0.140485", \ "0.005443,0.009111,0.012783,0.020540,0.037473,0.072090,0.140493", \ "0.006949,0.010542,0.014011,0.021425,0.037943,0.072142,0.140481", \ "0.008671,0.012346,0.015706,0.022661,0.038595,0.072474,0.140513", \ "0.010704,0.014527,0.017933,0.024595,0.039869,0.072942,0.140616"); } } internal_power () { related_pin : "CK"; when : "!E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("20.592370,23.437440,24.658240,27.434850,33.991360,34.691810,34.808490", \ "20.440690,23.201910,24.332990,27.130230,33.667510,34.393660,34.518400", \ "20.322360,23.120330,24.252740,27.030070,33.673550,34.413030,34.560340", \ "21.480900,24.427410,25.605060,28.191590,34.911870,35.759300,35.954300", \ "23.759200,26.387710,27.937710,31.193180,37.887920,38.657450,38.861300", \ "28.435000,30.532990,31.940720,35.328010,42.613290,43.570760,43.765940", \ "35.001410,36.721470,38.108410,41.967210,49.162800,50.821610,50.945330"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "CK"; when : "E"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("20.479400,22.979740,23.720340,24.188230,24.421120,24.530100,24.594510", \ "20.347160,22.668850,23.415590,23.860990,24.117000,24.231370,24.285180", \ "20.299140,22.619040,23.295860,23.769470,24.100320,24.253430,24.355110", \ "21.441420,23.921390,24.639100,25.107840,25.380090,25.589740,25.704140", \ "23.773170,25.746660,26.905100,27.979030,28.304840,28.489700,28.641630", \ "28.367290,29.839300,31.032220,32.039620,33.008910,33.322010,33.442620", \ "35.005350,36.070590,37.076110,38.390150,39.343540,40.381120,40.462040"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.144300,30.288700,60.577400,121.155000,242.310000,484.619000"); values ("13.226780,15.257410,15.727250,15.999390,16.162170,14.783880,15.196410", \ "12.876850,14.922210,15.561630,15.782080,13.603400,16.255810,15.413000", \ "13.010450,14.905810,15.303480,15.297390,15.487650,15.289730,15.171130", \ "14.556020,15.892670,16.335580,16.562150,16.746300,16.603120,14.665700", \ "17.523140,19.315160,19.271740,19.263660,18.140200,18.977870,19.752300", \ "22.285790,24.291250,24.804110,24.292490,23.928360,24.155360,22.144840", \ "28.421490,30.097360,31.468680,30.883020,32.045840,30.730340,29.966610"); } } } } /****************************************************************************************** Module : DFFRS_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X1 *******************************************************************************************/ cell (DFFRS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 6.384000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 100.161505; leakage_power () { when : "!CK & !D & !RN & !SN & !Q & !QN"; value : 94.362950; } leakage_power () { when : "!CK & !D & !RN & SN & !Q & QN"; value : 101.132240; } leakage_power () { when : "!CK & !D & RN & !SN & Q & !QN"; value : 98.172481; } leakage_power () { when : "!CK & !D & RN & SN & !Q & QN"; value : 104.373313; } leakage_power () { when : "!CK & !D & RN & SN & Q & !QN"; value : 114.065413; } leakage_power () { when : "!CK & D & !RN & !SN & !Q & !QN"; value : 91.009292; } leakage_power () { when : "!CK & D & !RN & SN & !Q & QN"; value : 97.275442; } leakage_power () { when : "!CK & D & RN & !SN & Q & !QN"; value : 92.776134; } leakage_power () { when : "!CK & D & RN & SN & !Q & QN"; value : 102.509594; } leakage_power () { when : "!CK & D & RN & SN & Q & !QN"; value : 107.065134; } leakage_power () { when : "CK & !D & !RN & !SN & !Q & !QN"; value : 86.546394; } leakage_power () { when : "CK & !D & !RN & SN & !Q & QN"; value : 104.963254; } leakage_power () { when : "CK & !D & RN & !SN & Q & !QN"; value : 79.114948; } leakage_power () { when : "CK & !D & RN & SN & !Q & QN"; value : 108.720667; } leakage_power () { when : "CK & !D & RN & SN & Q & !QN"; value : 92.885309; } leakage_power () { when : "CK & D & !RN & !SN & !Q & !QN"; value : 100.481788; } leakage_power () { when : "CK & D & !RN & SN & !Q & QN"; value : 118.905578; } leakage_power () { when : "CK & D & RN & !SN & Q & !QN"; value : 86.219848; } leakage_power () { when : "CK & D & RN & SN & !Q & QN"; value : 122.660681; } leakage_power () { when : "CK & D & RN & SN & Q & !QN"; value : 99.989648; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.148034; fall_capacitance : 1.081549; rise_capacitance : 1.148034; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.002921,0.012421,0.011913", \ "0.002707,0.008886,0.005388", \ "0.139993,0.148595,0.137370"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.004193,0.015978,0.019836", \ "0.020266,0.031864,0.035343", \ "0.099118,0.113075,0.120979"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.035796,0.022374,0.016844", \ "0.053506,0.040248,0.034464", \ "0.099884,0.085931,0.078050"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.031279,0.027534,0.043662", \ "0.043252,0.038059,0.052011", \ "0.059079,0.050478,0.061706"); } } internal_power () { when : "!CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.700984,3.680771,3.652667,3.664702,3.800293,4.127745,4.668159"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.966641,1.931381,1.897523,1.911210,2.036485,2.345961,2.867936"); } } internal_power () { when : "!CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.640336,4.619842,4.590808,4.600563,4.740882,5.083954,5.650226"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.818687,3.783700,3.749939,3.770521,3.929476,4.289507,4.875490"); } } internal_power () { when : "!CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.700941,3.680802,3.652647,3.664896,3.800356,4.127589,4.668252"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.894156,1.857580,1.823870,1.836682,1.964234,2.273438,2.794628"); } } internal_power () { when : "!CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.653297,4.632662,4.603309,4.615072,4.752025,5.096375,5.660669"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.914531,3.879367,3.845372,3.865055,4.023341,4.381531,4.965579"); } } internal_power () { when : "!CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.593704,4.575076,4.546336,4.556422,4.696963,5.043697,5.609427"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.875800,3.840508,3.804585,3.824104,3.978978,4.332377,4.913124"); } } internal_power () { when : "CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.735945,0.709529,0.699785,0.693805,0.690626,0.688318,0.685864"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628069,-0.631636,-0.634961,-0.637413,-0.639689,-0.640641,-0.642185"); } } internal_power () { when : "CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.735216,0.708692,0.698708,0.694030,0.690071,0.688492,0.686541"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.627658,-0.631669,-0.634867,-0.637335,-0.639609,-0.640551,-0.642088"); } } internal_power () { when : "CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650583,0.657859,0.659605,0.658597,0.658156,0.657604,0.657311"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.432497,-0.497044,-0.550043,-0.572687,-0.585609,-0.593261,-0.598882"); } } internal_power () { when : "CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.732537,0.709313,0.699624,0.692361,0.690435,0.688117,0.686084"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628356,-0.632966,-0.635373,-0.637693,-0.639710,-0.640719,-0.642403"); } } internal_power () { when : "CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650211,0.658089,0.659575,0.658704,0.658276,0.657758,0.657415"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433339,-0.496840,-0.547581,-0.572050,-0.584608,-0.591976,-0.597955"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.407088; fall_capacitance : 1.407088; rise_capacitance : 1.380801; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.048842,-0.062900,-0.069655", \ "-0.026418,-0.041044,-0.048666", \ "0.082167,0.059986,0.048370"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.139506,0.149456,0.157864", \ "0.149192,0.159240,0.167849", \ "0.215929,0.225550,0.232879"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.162641,0.191834,0.307155"); } } internal_power () { when : "!CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.674730,0.683691,0.687230,0.688622,0.689371,0.689637,0.689267"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.491396,-0.490554,-0.495121,-0.493238,-0.496547,-0.494936,-0.490274"); } } internal_power () { when : "!CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.682684,0.688167,0.690093,0.691801,0.692782,0.693310,0.693001"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.425668,-0.432995,-0.449198,-0.456062,-0.458838,-0.459144,-0.455860"); } } internal_power () { when : "CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.694517,0.694033,0.693750,0.694113,0.694791,0.695016,0.693698"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.477447,-0.481063,-0.484298,-0.484877,-0.484276,-0.483429,-0.483686"); } } internal_power () { when : "CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.693751,0.693269,0.692788,0.693334,0.694031,0.694252,0.692926"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.479749,-0.483270,-0.486587,-0.487097,-0.486534,-0.485651,-0.486040"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.211875; fall_capacitance : 2.095827; rise_capacitance : 2.211875; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.072348,-0.084692,-0.091551", \ "-0.070003,-0.082398,-0.089232", \ "-0.037947,-0.055108,-0.065027"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.184380,0.196724,0.203845", \ "0.239124,0.251513,0.258415", \ "0.431133,0.443790,0.450703"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.128456,0.157424,0.273843"); } } internal_power () { when : "!CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.711319,2.648116,2.647185,2.810294,3.138996,3.681616,4.462464"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.029043,0.002571,-0.007162,0.101500,0.394426,0.918834,1.687814"); } } internal_power () { when : "!CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.260117,1.236931,1.226619,1.221743,1.218841,1.216676,1.213984"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.928999,-0.929874,-0.932103,-0.936556,-0.943378,-0.952979,-0.966069"); } } internal_power () { when : "CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.234669,1.222642,1.217798,1.214352,1.211968,1.210126,1.208230"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.784911,-0.785463,-0.787414,-0.791279,-0.797025,-0.805079,-0.816137"); } } internal_power () { when : "CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.234945,1.222933,1.218083,1.214629,1.212235,1.210399,1.208500"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.755867,-0.756402,-0.758070,-0.762051,-0.767596,-0.775370,-0.786054"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.963316; fall_capacitance : 0.866687; rise_capacitance : 0.963316; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.053674,0.069556,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.039023,0.044977,0.198733"); } } internal_power () { when : "!D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.655675,5.629763,5.650214,5.829456,6.198066,6.784777,7.645661"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.799977,4.781045,4.772661,4.904219,5.261932,5.893957,6.824397"); } } internal_power () { when : "!D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.925944,3.899817,3.920071,4.100836,4.466124,5.053097,5.904778"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.711157,3.691929,3.679862,3.803481,4.147120,4.759943,5.666132"); } } internal_power () { when : "!D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.284548,6.260636,6.283329,6.476766,6.858169,7.463504,8.328368"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("16.291150,16.271810,16.265560,16.396670,16.752060,17.382210,18.312530"); } } internal_power () { when : "!D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.924902,3.898950,3.919180,4.099637,4.464905,5.051787,5.903660"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.718044,3.696145,3.688724,3.808226,4.151068,4.763814,5.669746"); } } internal_power () { when : "!D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.461673,7.434337,7.454681,7.645730,8.028998,8.629640,9.494879"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.198756,7.172177,7.190037,7.375403,7.753645,8.353466,9.229177"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.013372,7.993001,7.961277,8.066370,8.395454,9.002497,9.925730"); } } internal_power () { when : "D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.286696,7.261317,7.278621,7.466683,7.847591,8.459398,9.347683"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.200700,11.179720,11.138350,11.239300,11.574500,12.197590,13.145580"); } } internal_power () { when : "D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.717592,3.693680,3.712515,3.891930,4.256124,4.835909,5.680015"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.876989,3.858574,3.849766,3.970310,4.304336,4.908130,5.808924"); } } internal_power () { when : "D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.410255,7.380466,7.398288,7.586509,7.968308,8.578798,9.466097"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.720253,3.696153,3.716335,3.891775,4.254891,4.836067,5.680144"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.885373,3.866769,3.857982,3.979215,4.312856,4.916287,5.817220"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.653700; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0817387,0.0860450,0.0902297,0.0970570,0.108415,0.128331,0.165509", \ "0.0832196,0.0875191,0.0917050,0.0985381,0.109898,0.129807,0.166989", \ "0.0882260,0.0925315,0.0967144,0.103545,0.114904,0.134814,0.171999", \ "0.0937075,0.0980073,0.102189,0.109022,0.120381,0.140297,0.177475", \ "0.0979171,0.102216,0.106409,0.113240,0.124592,0.144502,0.181684", \ "0.100676,0.104977,0.109141,0.115972,0.127332,0.147241,0.184422", \ "0.101425,0.105729,0.109907,0.116737,0.128103,0.148003,0.185183"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0745793,0.0802991,0.0861737,0.0965222,0.115561,0.152228,0.225022", \ "0.0760663,0.0817866,0.0876606,0.0980094,0.117048,0.153715,0.226508", \ "0.0810405,0.0867616,0.0926369,0.102987,0.122024,0.158692,0.231487", \ "0.0863539,0.0920750,0.0979494,0.108299,0.127342,0.164007,0.236806", \ "0.0902142,0.0959353,0.101810,0.112164,0.131192,0.167862,0.240664", \ "0.0925447,0.0982706,0.104147,0.114497,0.133531,0.170202,0.243004", \ "0.0929343,0.0986598,0.104537,0.114895,0.133932,0.170603,0.243406"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.00576506,0.00760231,0.00963331,0.0133941,0.0207148,0.0353635,0.0660872", \ "0.00576160,0.00761004,0.00963303,0.0133942,0.0207172,0.0353624,0.0660843", \ "0.00576426,0.00760313,0.00963444,0.0133910,0.0207189,0.0353611,0.0660902", \ "0.00576385,0.00760657,0.00963412,0.0133910,0.0207178,0.0353685,0.0660822", \ "0.00576113,0.00760322,0.00963665,0.0133942,0.0207171,0.0353697,0.0660747", \ "0.00576659,0.00760594,0.00963566,0.0133923,0.0207190,0.0353623,0.0660813", \ "0.00576706,0.00760621,0.00963615,0.0133926,0.0207160,0.0353652,0.0661024"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.00662484,0.0100825,0.0140573,0.0219932,0.0383415,0.0722239,0.141240", \ "0.00662509,0.0100822,0.0140574,0.0219922,0.0383429,0.0722236,0.141244", \ "0.00662285,0.0100848,0.0140570,0.0219945,0.0383414,0.0722223,0.141245", \ "0.00662770,0.0100865,0.0140596,0.0219956,0.0383414,0.0722245,0.141243", \ "0.00663154,0.0100813,0.0140567,0.0219999,0.0383463,0.0722234,0.141239", \ "0.00663444,0.0100929,0.0140652,0.0220005,0.0383462,0.0722211,0.141250", \ "0.00664430,0.0101030,0.0140791,0.0220083,0.0383469,0.0722270,0.141243"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.026784,0.030831,0.034768,0.041210,0.051992,0.071239,0.108129", \ "0.028350,0.032397,0.036333,0.042776,0.053558,0.072802,0.109699", \ "0.034566,0.038599,0.042525,0.048969,0.059763,0.079011,0.115915", \ "0.046426,0.050612,0.054630,0.061142,0.071994,0.091257,0.128145", \ "0.059123,0.063855,0.068396,0.075603,0.087137,0.106786,0.143668", \ "0.072146,0.077377,0.082424,0.090399,0.102838,0.123219,0.160402", \ "0.085836,0.091524,0.097076,0.105856,0.119366,0.140796,0.178423"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.027392,0.032931,0.038629,0.048720,0.067448,0.104003,0.176851", \ "0.028669,0.034209,0.039905,0.049994,0.068723,0.105283,0.178129", \ "0.033708,0.039236,0.044915,0.054978,0.073678,0.110243,0.183089", \ "0.042125,0.047807,0.053560,0.063634,0.082257,0.118715,0.191538", \ "0.049314,0.055526,0.061607,0.071888,0.090560,0.126968,0.199665", \ "0.054889,0.061668,0.068349,0.079149,0.097927,0.134200,0.206840", \ "0.058834,0.066124,0.073475,0.085196,0.104490,0.140734,0.213215"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005141,0.006907,0.008859,0.012513,0.019670,0.034515,0.065781", \ "0.005139,0.006907,0.008858,0.012514,0.019677,0.034524,0.065779", \ "0.005148,0.006921,0.008873,0.012527,0.019670,0.034527,0.065779", \ "0.006048,0.007640,0.009421,0.012878,0.019872,0.034593,0.065794", \ "0.007929,0.009578,0.011364,0.014659,0.021194,0.035203,0.065886", \ "0.009931,0.011679,0.013534,0.016832,0.023091,0.036478,0.066398", \ "0.012125,0.013977,0.015935,0.019340,0.025475,0.038199,0.067158"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006246,0.009611,0.013508,0.021387,0.037901,0.072169,0.141394", \ "0.006248,0.009609,0.013512,0.021381,0.037895,0.072187,0.141405", \ "0.006247,0.009611,0.013520,0.021394,0.037900,0.072184,0.141417", \ "0.006999,0.010212,0.013977,0.021644,0.037969,0.072181,0.141386", \ "0.008433,0.011615,0.015121,0.022400,0.038379,0.072299,0.141384", \ "0.010128,0.013493,0.016964,0.023656,0.038892,0.072542,0.141525", \ "0.012124,0.015636,0.019360,0.025785,0.039978,0.072868,0.141673"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.027198,0.031389,0.035490,0.042225,0.053534,0.073514,0.110674", \ "0.028768,0.032956,0.037055,0.043792,0.055104,0.075085,0.112244", \ "0.034988,0.039157,0.043249,0.049986,0.061309,0.081298,0.118463", \ "0.046977,0.051292,0.055459,0.062262,0.073633,0.093634,0.130781", \ "0.059939,0.064840,0.069584,0.077145,0.089254,0.109628,0.146685", \ "0.073246,0.078694,0.083998,0.092422,0.105601,0.126853,0.164009", \ "0.087273,0.093224,0.099081,0.108404,0.122849,0.145255,0.182525"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005357,0.007220,0.009298,0.013155,0.020672,0.035379,0.065892", \ "0.005357,0.007225,0.009302,0.013155,0.020672,0.035375,0.065884", \ "0.005364,0.007236,0.009312,0.013165,0.020673,0.035372,0.065881", \ "0.006263,0.007950,0.009844,0.013509,0.020850,0.035427,0.065882", \ "0.008269,0.010041,0.011961,0.015455,0.022278,0.035975,0.065908", \ "0.010402,0.012313,0.014347,0.017902,0.024507,0.037288,0.066165", \ "0.012756,0.014812,0.016986,0.020727,0.027291,0.038992,0.066533"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.026784,0.030832,0.034768,0.041210,0.051993,0.071239,0.108129", \ "0.028350,0.032397,0.036333,0.042776,0.053558,0.072802,0.109699", \ "0.034566,0.038599,0.042525,0.048969,0.059764,0.079012,0.115915", \ "0.046427,0.050612,0.054630,0.061141,0.071994,0.091257,0.128145", \ "0.059127,0.063853,0.068396,0.075603,0.087138,0.106787,0.143668", \ "0.072141,0.077368,0.082425,0.090399,0.102835,0.123217,0.160410", \ "0.085837,0.091523,0.097072,0.105856,0.119371,0.140797,0.178434"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.027392,0.032931,0.038630,0.048720,0.067446,0.103997,0.176843", \ "0.028670,0.034206,0.039904,0.049995,0.068722,0.105280,0.178118", \ "0.033707,0.039234,0.044916,0.054976,0.073676,0.110241,0.183088", \ "0.042127,0.047808,0.053562,0.063634,0.082258,0.118720,0.191544", \ "0.049316,0.055530,0.061612,0.071889,0.090564,0.126967,0.199661", \ "0.054890,0.061669,0.068364,0.079144,0.097926,0.134209,0.206837", \ "0.058829,0.066124,0.073477,0.085194,0.104476,0.140732,0.213204"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005141,0.006907,0.008859,0.012513,0.019670,0.034515,0.065780", \ "0.005139,0.006907,0.008858,0.012514,0.019677,0.034524,0.065779", \ "0.005148,0.006921,0.008873,0.012527,0.019671,0.034527,0.065779", \ "0.006048,0.007640,0.009421,0.012881,0.019872,0.034593,0.065794", \ "0.007928,0.009580,0.011364,0.014658,0.021194,0.035203,0.065886", \ "0.009932,0.011680,0.013534,0.016830,0.023079,0.036477,0.066398", \ "0.012125,0.013973,0.015938,0.019340,0.025476,0.038201,0.067159"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006245,0.009610,0.013508,0.021383,0.037902,0.072179,0.141417", \ "0.006248,0.009609,0.013509,0.021382,0.037895,0.072193,0.141423", \ "0.006247,0.009609,0.013517,0.021389,0.037900,0.072187,0.141416", \ "0.006998,0.010212,0.013977,0.021644,0.037968,0.072187,0.141387", \ "0.008434,0.011616,0.015121,0.022398,0.038379,0.072299,0.141381", \ "0.010127,0.013497,0.016961,0.023657,0.038892,0.072542,0.141522", \ "0.012124,0.015638,0.019359,0.025792,0.039984,0.072871,0.141698"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.027200,0.031392,0.035491,0.042226,0.053536,0.073517,0.110680", \ "0.028770,0.032958,0.037058,0.043795,0.055107,0.075087,0.112247", \ "0.034989,0.039163,0.043255,0.049992,0.061315,0.081304,0.118470", \ "0.046977,0.051294,0.055461,0.062268,0.073637,0.093638,0.130786", \ "0.059945,0.064850,0.069591,0.077149,0.089257,0.109631,0.146688", \ "0.073244,0.078694,0.083996,0.092421,0.105608,0.126849,0.164006", \ "0.087277,0.093228,0.099087,0.108415,0.122861,0.145266,0.182536"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005357,0.007221,0.009300,0.013156,0.020673,0.035379,0.065892", \ "0.005359,0.007225,0.009302,0.013155,0.020673,0.035375,0.065884", \ "0.005364,0.007235,0.009312,0.013166,0.020674,0.035372,0.065882", \ "0.006263,0.007951,0.009844,0.013510,0.020851,0.035426,0.065878", \ "0.008268,0.010040,0.011960,0.015454,0.022278,0.035975,0.065908", \ "0.010402,0.012313,0.014346,0.017900,0.024507,0.037287,0.066167", \ "0.012756,0.014812,0.016986,0.020725,0.027290,0.038990,0.066535"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.026847,0.030902,0.034847,0.041302,0.052101,0.071356,0.108251", \ "0.028413,0.032468,0.036413,0.042864,0.053668,0.072924,0.109815", \ "0.034627,0.038667,0.042602,0.049056,0.059869,0.079128,0.116031", \ "0.046500,0.050692,0.054715,0.061236,0.072103,0.091382,0.128261", \ "0.059216,0.063954,0.068499,0.075718,0.087274,0.106934,0.143804", \ "0.072254,0.077484,0.082548,0.090518,0.102989,0.123389,0.160595", \ "0.085971,0.091681,0.097233,0.106013,0.119533,0.140981,0.178627"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.143376,0.149003,0.154746,0.164863,0.183585,0.220122,0.292952", \ "0.144658,0.150287,0.156028,0.166139,0.184862,0.221408,0.294233", \ "0.147710,0.153343,0.159088,0.169198,0.187915,0.224462,0.297294", \ "0.152723,0.158368,0.164101,0.174210,0.192928,0.229483,0.302328", \ "0.159828,0.165462,0.171206,0.181316,0.199992,0.236528,0.309364", \ "0.168713,0.174345,0.180056,0.190143,0.208810,0.245339,0.318171", \ "0.180974,0.186765,0.192631,0.202770,0.221444,0.258013,0.330828"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005151,0.006923,0.008877,0.012536,0.019698,0.034534,0.065779", \ "0.005152,0.006922,0.008881,0.012536,0.019690,0.034533,0.065770", \ "0.005160,0.006937,0.008891,0.012544,0.019692,0.034540,0.065784", \ "0.006049,0.007648,0.009433,0.012899,0.019885,0.034599,0.065794", \ "0.007937,0.009591,0.011376,0.014681,0.021225,0.035216,0.065894", \ "0.009938,0.011693,0.013550,0.016846,0.023112,0.036493,0.066404", \ "0.012135,0.013985,0.015949,0.019356,0.025511,0.038228,0.067152"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006511,0.009864,0.013736,0.021536,0.037960,0.072200,0.141395", \ "0.006510,0.009866,0.013731,0.021538,0.037953,0.072202,0.141396", \ "0.006510,0.009867,0.013732,0.021534,0.037949,0.072175,0.141394", \ "0.006513,0.009863,0.013734,0.021536,0.037948,0.072195,0.141393", \ "0.006511,0.009866,0.013734,0.021539,0.037948,0.072185,0.141390", \ "0.006514,0.009860,0.013730,0.021537,0.037946,0.072169,0.141423", \ "0.007131,0.010409,0.014167,0.021836,0.038125,0.072243,0.141417"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.027225,0.031440,0.035545,0.042255,0.053474,0.073271,0.110386", \ "0.028795,0.033007,0.037110,0.043823,0.055046,0.074842,0.111954", \ "0.035015,0.039211,0.043307,0.050019,0.061251,0.081055,0.118173", \ "0.047017,0.051354,0.055523,0.062299,0.073575,0.093392,0.130500", \ "0.060018,0.064944,0.069677,0.077195,0.089186,0.109383,0.146473", \ "0.073379,0.078826,0.084110,0.092476,0.105498,0.126553,0.164020", \ "0.087459,0.093411,0.099222,0.108448,0.122686,0.144913,0.182887"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005392,0.007256,0.009308,0.013094,0.020472,0.035202,0.065994", \ "0.005394,0.007258,0.009310,0.013094,0.020473,0.035202,0.066003", \ "0.005398,0.007270,0.009318,0.013105,0.020475,0.035200,0.065988", \ "0.006309,0.007981,0.009843,0.013438,0.020650,0.035261,0.066007", \ "0.008340,0.010076,0.011945,0.015355,0.022041,0.035861,0.066106", \ "0.010490,0.012337,0.014302,0.017748,0.024196,0.037320,0.066654", \ "0.012848,0.014793,0.016879,0.020497,0.026883,0.039266,0.067488"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.026847,0.030903,0.034847,0.041302,0.052101,0.071356,0.108251", \ "0.028413,0.032468,0.036413,0.042864,0.053668,0.072924,0.109815", \ "0.034627,0.038667,0.042602,0.049056,0.059869,0.079128,0.116031", \ "0.046500,0.050692,0.054715,0.061236,0.072103,0.091382,0.128261", \ "0.059216,0.063954,0.068499,0.075718,0.087271,0.106934,0.143804", \ "0.072254,0.077484,0.082548,0.090518,0.102989,0.123396,0.160595", \ "0.085970,0.091681,0.097233,0.106013,0.119533,0.140981,0.178627"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.143365,0.148992,0.154733,0.164850,0.183571,0.220111,0.292939", \ "0.144644,0.150267,0.156017,0.166130,0.184848,0.221402,0.294226", \ "0.147700,0.153330,0.159074,0.169187,0.187907,0.224442,0.297277", \ "0.152714,0.158350,0.164090,0.174195,0.192912,0.229476,0.302317", \ "0.159835,0.165448,0.171190,0.181314,0.199974,0.236515,0.309351", \ "0.168698,0.174316,0.180039,0.190136,0.208794,0.245322,0.318154", \ "0.180946,0.186753,0.192602,0.202753,0.221424,0.257965,0.330787"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005151,0.006923,0.008877,0.012536,0.019698,0.034533,0.065782", \ "0.005152,0.006922,0.008881,0.012536,0.019690,0.034533,0.065770", \ "0.005160,0.006937,0.008891,0.012544,0.019692,0.034540,0.065788", \ "0.006049,0.007648,0.009433,0.012899,0.019885,0.034599,0.065791", \ "0.007937,0.009591,0.011376,0.014681,0.021222,0.035216,0.065894", \ "0.009938,0.011693,0.013550,0.016846,0.023112,0.036491,0.066404", \ "0.012131,0.013985,0.015949,0.019356,0.025511,0.038228,0.067152"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006511,0.009864,0.013734,0.021536,0.037960,0.072199,0.141397", \ "0.006509,0.009866,0.013731,0.021539,0.037955,0.072202,0.141395", \ "0.006510,0.009865,0.013735,0.021538,0.037957,0.072193,0.141408", \ "0.006513,0.009864,0.013734,0.021535,0.037960,0.072187,0.141389", \ "0.006518,0.009866,0.013734,0.021539,0.037949,0.072185,0.141408", \ "0.006514,0.009860,0.013730,0.021535,0.037946,0.072171,0.141423", \ "0.007131,0.010407,0.014170,0.021836,0.038126,0.072245,0.141417"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.027225,0.031440,0.035545,0.042255,0.053474,0.073271,0.110385", \ "0.028795,0.033007,0.037110,0.043823,0.055046,0.074842,0.111954", \ "0.035015,0.039211,0.043307,0.050019,0.061251,0.081055,0.118173", \ "0.047016,0.051354,0.055523,0.062299,0.073575,0.093392,0.130501", \ "0.060018,0.064944,0.069673,0.077195,0.089186,0.109383,0.146473", \ "0.073379,0.078826,0.084110,0.092476,0.105498,0.126553,0.164020", \ "0.087459,0.093411,0.099222,0.108448,0.122686,0.144913,0.182887"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.005392,0.007256,0.009308,0.013094,0.020472,0.035202,0.065994", \ "0.005394,0.007258,0.009310,0.013094,0.020473,0.035202,0.066003", \ "0.005398,0.007270,0.009318,0.013105,0.020475,0.035200,0.065989", \ "0.006307,0.007981,0.009843,0.013438,0.020650,0.035261,0.066010", \ "0.008340,0.010077,0.011942,0.015355,0.022041,0.035861,0.066106", \ "0.010490,0.012337,0.014302,0.017748,0.024196,0.037320,0.066655", \ "0.012848,0.014793,0.016878,0.020497,0.026883,0.039266,0.067488"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.156956,0.163074,0.169175,0.179584,0.198442,0.234984,0.307742", \ "0.158457,0.164579,0.170678,0.181086,0.199946,0.236474,0.309240", \ "0.164370,0.170497,0.176595,0.187007,0.205869,0.242408,0.315177", \ "0.174174,0.180300,0.186403,0.196813,0.215679,0.252214,0.324988", \ "0.189114,0.195235,0.201328,0.211733,0.230567,0.267089,0.339848", \ "0.210728,0.216848,0.222941,0.233339,0.252155,0.288652,0.361382", \ "0.238030,0.244202,0.250329,0.260741,0.279611,0.316097,0.388772"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.007876,0.011213,0.014915,0.022364,0.038347,0.072302,0.141268", \ "0.007876,0.011213,0.014917,0.022366,0.038347,0.072313,0.141271", \ "0.007876,0.011213,0.014921,0.022363,0.038355,0.072296,0.141271", \ "0.007873,0.011218,0.014916,0.022362,0.038351,0.072308,0.141258", \ "0.007872,0.011216,0.014919,0.022360,0.038351,0.072317,0.141260", \ "0.007889,0.011225,0.014932,0.022373,0.038353,0.072310,0.141275", \ "0.008058,0.011379,0.015057,0.022465,0.038392,0.072338,0.141278"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.156970,0.163100,0.169196,0.179602,0.198465,0.234963,0.307731", \ "0.158482,0.164604,0.170706,0.181114,0.199968,0.236487,0.309242", \ "0.164394,0.170516,0.176613,0.187025,0.205894,0.242428,0.315179", \ "0.174162,0.180295,0.186397,0.196808,0.215679,0.252205,0.324974", \ "0.189081,0.195202,0.201297,0.211704,0.230536,0.267055,0.339806", \ "0.210667,0.216785,0.222879,0.233269,0.252073,0.288565,0.361285", \ "0.237948,0.244139,0.250272,0.260675,0.279493,0.315965,0.388608"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.007879,0.011222,0.014918,0.022368,0.038349,0.072317,0.141267", \ "0.007879,0.011217,0.014919,0.022369,0.038349,0.072313,0.141271", \ "0.007877,0.011219,0.014924,0.022365,0.038356,0.072300,0.141274", \ "0.007876,0.011222,0.014920,0.022363,0.038354,0.072309,0.141258", \ "0.007877,0.011219,0.014923,0.022363,0.038353,0.072299,0.141264", \ "0.007892,0.011228,0.014931,0.022375,0.038353,0.072315,0.141275", \ "0.008061,0.011382,0.015058,0.022467,0.038392,0.072337,0.141276"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.159339,0.164985,0.170773,0.180938,0.199646,0.236261,0.309067", \ "0.160765,0.166420,0.172200,0.182351,0.201066,0.237671,0.310476", \ "0.166995,0.172651,0.178435,0.188585,0.207294,0.243895,0.316704", \ "0.176356,0.182030,0.187807,0.197950,0.216657,0.253251,0.326071", \ "0.186669,0.192335,0.198109,0.208253,0.226972,0.263556,0.336359", \ "0.198216,0.203871,0.209657,0.219786,0.238512,0.275095,0.347891", \ "0.211352,0.217015,0.222782,0.232906,0.251652,0.288259,0.361049"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006544,0.009910,0.013781,0.021579,0.037991,0.072180,0.141226", \ "0.006538,0.009907,0.013777,0.021575,0.037987,0.072187,0.141223", \ "0.006532,0.009894,0.013767,0.021572,0.037979,0.072193,0.141221", \ "0.006530,0.009894,0.013766,0.021568,0.037985,0.072187,0.141231", \ "0.006531,0.009893,0.013765,0.021568,0.037977,0.072187,0.141220", \ "0.006529,0.009896,0.013765,0.021569,0.037976,0.072171,0.141228", \ "0.006533,0.009898,0.013766,0.021560,0.037963,0.072162,0.141223"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.159443,0.165090,0.170869,0.181029,0.199731,0.236335,0.309121", \ "0.160862,0.166518,0.172296,0.182443,0.201148,0.237741,0.310533", \ "0.167090,0.172743,0.178531,0.188676,0.207376,0.243968,0.316760", \ "0.176450,0.182119,0.187899,0.198037,0.216734,0.253320,0.326124", \ "0.186752,0.192416,0.198187,0.208331,0.227050,0.263620,0.336408", \ "0.198291,0.203943,0.209730,0.219857,0.238578,0.275149,0.347937", \ "0.211418,0.217079,0.222847,0.232969,0.251710,0.288310,0.361094"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006545,0.009910,0.013780,0.021579,0.037990,0.072179,0.141221", \ "0.006539,0.009905,0.013776,0.021574,0.037988,0.072197,0.141222", \ "0.006533,0.009895,0.013768,0.021572,0.037982,0.072195,0.141221", \ "0.006531,0.009893,0.013766,0.021568,0.037985,0.072186,0.141232", \ "0.006532,0.009894,0.013766,0.021567,0.037979,0.072187,0.141221", \ "0.006530,0.009893,0.013765,0.021569,0.037981,0.072172,0.141228", \ "0.006533,0.009896,0.013763,0.021558,0.037963,0.072170,0.141218"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("5.851047,5.901936,5.929059,5.947898,5.964005,5.972562,6.037449", \ "5.850777,5.878643,5.902412,5.937901,5.954234,5.962686,6.016990", \ "5.836349,5.884344,5.908022,5.942530,5.940311,5.968026,6.023816", \ "5.898885,5.932405,5.951397,5.979843,5.996695,5.984278,6.033153", \ "6.062086,6.119966,6.142668,6.155278,6.188165,6.140395,6.260577", \ "6.382005,6.431005,6.452684,6.470788,6.490651,6.478680,6.538227", \ "6.851338,6.901859,6.930755,6.938421,6.939678,7.004059,6.930845"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("5.653784,5.733387,5.802751,5.934927,6.046358,5.976049,6.034405", \ "5.646312,5.723372,5.793109,5.933337,6.037607,5.968843,6.091407", \ "5.654728,5.730092,5.789613,5.914472,5.995322,6.068386,6.096777", \ "5.711219,5.784460,5.841774,5.979979,6.050926,6.089048,6.152473", \ "5.876575,5.934161,6.008157,6.136076,6.239487,6.260335,6.317129", \ "6.173380,6.264799,6.332832,6.462661,6.556048,6.574886,6.595282", \ "6.653459,6.715492,6.777994,6.914877,7.012718,7.051251,7.006161"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.178708,3.416213,3.610353,3.842541,3.992768,4.049322,4.069967", \ "3.153436,3.387395,3.582914,3.820559,3.965022,4.023122,4.040906", \ "3.126723,3.365146,3.559948,3.779588,3.933888,3.997899,4.018460", \ "3.306519,3.506838,3.675479,3.896037,4.042621,4.102469,4.120282", \ "3.540843,3.682569,3.842818,4.108392,4.330303,4.385415,4.401308", \ "4.062249,4.147307,4.229649,4.440527,4.690117,4.847622,4.868148", \ "4.875743,4.870671,4.923489,5.070049,5.294334,5.455070,5.561304"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.216685,2.412991,2.552493,2.588350,2.585130,2.593387,2.566422", \ "2.215721,2.412152,2.483363,2.596103,2.676889,2.585494,2.497453", \ "2.162423,2.414336,2.492623,2.592753,2.586327,2.680836,2.426556", \ "2.343227,2.547505,2.644245,2.669862,2.727124,2.471200,2.596751", \ "2.715947,2.862254,2.964557,2.976501,2.930641,2.986796,2.835425", \ "3.273543,3.418001,3.535323,3.529373,3.339779,3.200171,3.092291", \ "4.059243,4.141436,4.256765,4.310345,4.219228,4.157451,3.978440"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.657450,3.796660,3.916357,4.073997,4.126857,4.137124,4.094280", \ "3.663987,3.754339,3.890806,4.026415,4.132991,4.183265,4.173352", \ "3.635125,3.770377,3.892554,4.025924,4.116627,4.184159,4.108533", \ "3.758422,3.856777,3.940809,4.099009,4.198744,4.189258,4.171034", \ "4.036705,4.110217,4.175534,4.282349,4.317870,4.378142,4.363213", \ "4.368595,4.422697,4.481119,4.580749,4.649287,4.629454,4.535446", \ "4.865822,4.887065,4.923359,4.987282,5.030581,4.950270,5.054192"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.200463,3.437897,3.631891,3.863578,4.022676,4.069765,4.089568", \ "3.175663,3.409411,3.604859,3.842290,3.986524,4.044479,4.061968", \ "3.148919,3.387258,3.582059,3.801479,3.955775,4.019691,4.040123", \ "3.328704,3.528968,3.697537,3.917889,4.064455,4.124259,4.142020", \ "3.555536,3.704316,3.868034,4.130238,4.351921,4.407026,4.422845", \ "4.090906,4.172847,4.251255,4.469964,4.714454,4.867606,4.887766", \ "4.897100,4.892012,4.944244,5.091551,5.308983,5.473094,5.580614"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("2.207869,2.404212,2.544154,2.579667,2.610520,2.502749,2.557301", \ "2.206580,2.402542,2.474533,2.587864,2.668500,2.425542,2.486501", \ "2.153259,2.385823,2.483554,2.583382,2.577084,2.672105,2.418267", \ "2.333875,2.511442,2.635398,2.661091,2.716572,2.707042,2.588360", \ "2.706916,2.877756,2.955498,2.967519,2.921871,2.978289,2.826515", \ "3.264386,3.408964,3.525606,3.541754,3.350150,3.191506,3.167026", \ "4.050791,4.132815,4.267933,4.301514,4.212219,4.150085,3.969522"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.754473,3.888689,4.013824,4.171600,4.224839,4.218564,4.321204", \ "3.758909,3.849376,3.985888,4.121577,4.235472,4.278370,4.273696", \ "3.733529,3.863199,3.987079,4.120615,4.212042,4.278801,4.201642", \ "3.845979,3.950521,4.034532,4.203583,4.293736,4.281594,4.263602", \ "4.130042,4.203349,4.268537,4.376127,4.412309,4.471660,4.455136", \ "4.473695,4.515498,4.574143,4.674304,4.743262,4.722559,4.718172", \ "4.958020,4.977784,5.014099,5.078647,5.123030,5.042850,5.094120"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.552532,3.834990,4.082860,4.421217,4.743354,5.163816,7.169848", \ "3.531923,3.805100,4.043567,4.377383,4.699778,5.136843,7.115561", \ "3.510516,3.786611,4.023268,4.338739,4.679628,5.111406,7.100412", \ "3.680114,3.933477,4.153618,4.468741,4.804995,5.226714,7.254179", \ "3.962504,4.120578,4.364972,4.713565,5.097695,5.520777,7.547113", \ "4.501504,4.625576,4.773165,5.056484,5.462187,5.969209,7.900872", \ "5.360896,5.395539,5.494153,5.701528,6.087589,6.552523,8.333433"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("6.081260,6.363788,6.552180,6.626847,6.731593,6.748095,6.527889", \ "6.096407,6.357663,6.541038,6.649968,6.726405,6.688189,6.811941", \ "6.062001,6.389327,6.527766,6.649746,6.642150,6.752804,6.556029", \ "6.053371,6.382103,6.533564,6.638414,6.616185,6.726337,6.561216", \ "6.069990,6.333885,6.514663,6.615730,6.656227,6.428568,6.653410", \ "6.100750,6.361107,6.544120,6.613171,6.684931,6.546246,6.747411", \ "6.237160,6.477980,6.638878,6.702863,6.782152,6.688363,6.600539"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.726136,3.804862,3.891598,4.022826,4.485716,6.309157,6.524065", \ "3.726575,3.776693,3.863700,3.979376,4.470089,6.288219,6.600757", \ "3.694737,3.785480,3.862337,3.969211,4.476966,6.290294,6.533783", \ "3.825397,3.884298,3.946508,4.053852,4.615718,6.332390,6.597001", \ "4.116851,4.145234,4.168492,4.244200,4.718815,6.498680,6.792950", \ "4.457428,4.458853,4.462779,4.528753,4.987728,6.727157,6.974686", \ "4.954920,4.930451,4.907167,4.925052,5.219838,7.053308,7.503106"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.552593,3.834303,4.082861,4.421101,4.743171,5.163464,7.168626", \ "3.531941,3.805125,4.043579,4.377382,4.699703,5.136621,7.114850", \ "3.510536,3.786620,4.023263,4.338602,4.679544,5.111174,7.094455", \ "3.680086,3.933449,4.153575,4.468720,4.804872,5.226428,7.252634", \ "3.962415,4.120478,4.364868,4.713439,5.096906,5.520396,7.546409", \ "4.501381,4.625401,4.742975,5.056382,5.461843,5.948762,7.901352", \ "5.343895,5.395293,5.493905,5.701093,6.087157,6.551994,8.331962"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("6.082157,6.363750,6.545667,6.660723,6.637333,6.748100,6.528525", \ "6.097350,6.358896,6.541829,6.650575,6.576414,6.688187,6.812627", \ "6.063131,6.376619,6.488015,6.632359,6.641812,6.753146,6.555397", \ "6.053764,6.383160,6.519494,6.636331,6.616442,6.727278,6.562860", \ "6.069951,6.333559,6.515653,6.615591,6.657624,6.438423,6.653259", \ "6.101811,6.374589,6.545136,6.653436,6.690441,6.558681,6.749318", \ "6.238877,6.485407,6.640117,6.703674,6.783065,6.705688,6.602981"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("3.726402,3.805139,3.891881,4.023120,4.482122,6.319259,6.520325", \ "3.726840,3.776897,3.863986,3.976888,4.540590,6.287353,6.596852", \ "3.695011,3.786407,3.862623,3.969505,4.478402,6.289401,6.604431", \ "3.832529,3.884581,3.946776,4.053881,4.617163,6.345382,6.593070", \ "4.117134,4.145505,4.166485,4.244479,4.720138,6.497772,6.789420", \ "4.457554,4.459096,4.463014,4.528977,4.988868,6.726552,6.971308", \ "4.954431,4.930638,4.907370,4.925306,5.220635,7.066373,7.500041"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("5.180706,5.298736,5.402397,5.467999,5.507387,5.492688,5.518974", \ "5.134307,5.273661,5.355488,5.435414,5.475868,5.532054,5.411167", \ "5.136152,5.283020,5.346786,5.459998,5.501958,5.559735,5.538926", \ "5.360721,5.449813,5.535783,5.613253,5.613750,5.680067,5.625174", \ "5.756900,5.851696,5.877004,5.950459,5.979555,5.982987,5.980080", \ "6.429364,6.483131,6.483996,6.512665,6.523765,6.567096,6.570213", \ "7.383931,7.378887,7.376998,7.366225,7.389832,7.347056,7.251658"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.448440,4.573346,4.669941,4.736492,4.777021,4.790663,4.792261", \ "4.426373,4.555928,4.652774,4.724445,4.766306,4.823249,4.700091", \ "4.414952,4.571948,4.629721,4.743581,4.786343,4.845916,4.826539", \ "4.563025,4.654076,4.737961,4.815930,4.816883,4.876798,4.815300", \ "4.796582,4.885025,4.907510,4.985148,5.012427,4.993310,5.018720", \ "5.190914,5.244375,5.253391,5.274918,5.287484,5.332571,5.337608", \ "5.748075,5.759337,5.746216,5.736368,5.763042,5.723162,5.631168"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("6.236636,6.373889,6.483451,6.529919,6.585264,6.637830,6.531023", \ "6.193451,6.327775,6.442921,6.512849,6.552850,6.540789,6.587441", \ "6.216273,6.346415,6.444172,6.508351,6.563744,6.549683,6.586078", \ "6.423207,6.528090,6.603538,6.640122,6.692891,6.676149,6.638272", \ "6.838006,6.919777,6.968510,7.008985,7.043957,7.051614,6.974009", \ "7.488826,7.522041,7.541902,7.557193,7.581394,7.528270,7.544404", \ "8.308594,8.326010,8.347082,8.351478,8.280098,8.229935,8.301818"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("6.235507,6.379068,6.477015,6.531992,6.589493,6.645142,6.541554", \ "6.196314,6.357656,6.447062,6.499234,6.557112,6.541625,6.598066", \ "6.215401,6.355633,6.445001,6.510436,6.569767,6.556709,6.608836", \ "6.422353,6.527905,6.612453,6.642013,6.696921,6.683046,6.633086", \ "6.837268,6.906195,6.969475,6.986990,7.025491,6.972606,6.984088", \ "7.488293,7.522141,7.551171,7.560762,7.590530,7.613991,7.554225", \ "8.309288,8.329751,8.347955,8.353084,8.355088,8.235935,8.339246"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0963129,0.0991867,0.102297,0.107844,0.117771,0.136322,0.172762", \ "0.0978004,0.100678,0.103784,0.109332,0.119259,0.137809,0.174252", \ "0.102774,0.105650,0.108762,0.114309,0.124235,0.142788,0.179231", \ "0.108092,0.110964,0.114073,0.119621,0.129550,0.148097,0.184546", \ "0.111948,0.114827,0.117941,0.123490,0.133406,0.151957,0.188411", \ "0.114283,0.117161,0.120270,0.125817,0.135738,0.154294,0.190745", \ "0.114677,0.117551,0.120659,0.126214,0.136139,0.154695,0.191146"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.110770,0.115088,0.119724,0.128572,0.146383,0.182351,0.254555", \ "0.112254,0.116563,0.121199,0.130052,0.147861,0.183826,0.256034", \ "0.117254,0.121575,0.126208,0.135058,0.152868,0.188833,0.261043", \ "0.122741,0.127050,0.131684,0.140539,0.158348,0.194318,0.266524", \ "0.126948,0.131263,0.135903,0.144754,0.162553,0.198519,0.270733", \ "0.129707,0.134025,0.138636,0.147487,0.165296,0.201261,0.273472", \ "0.130459,0.134773,0.139404,0.148248,0.166068,0.202020,0.274230"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00539657,0.00699629,0.00876580,0.0122002,0.0192744,0.0342967,0.0656956", \ "0.00539614,0.00699503,0.00876547,0.0121996,0.0192732,0.0342925,0.0656895", \ "0.00539632,0.00699337,0.00876319,0.0121993,0.0192758,0.0342967,0.0656993", \ "0.00539559,0.00699738,0.00876303,0.0122006,0.0192758,0.0342983,0.0656864", \ "0.00539646,0.00699759,0.00876586,0.0122006,0.0192748,0.0342993,0.0656924", \ "0.00539704,0.00699719,0.00876643,0.0122004,0.0192803,0.0342911,0.0656902", \ "0.00539770,0.00699911,0.00876877,0.0122036,0.0192767,0.0342928,0.0657285"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00674493,0.00981865,0.0136442,0.0215544,0.0382213,0.0724235,0.141166", \ "0.00674934,0.00982315,0.0136379,0.0215540,0.0382212,0.0724231,0.141164", \ "0.00674902,0.00981829,0.0136405,0.0215568,0.0382270,0.0724221,0.141163", \ "0.00674108,0.00981647,0.0136417,0.0215543,0.0382230,0.0724235,0.141167", \ "0.00675137,0.00981984,0.0136403,0.0215527,0.0382208,0.0724269,0.141163", \ "0.00674668,0.00981795,0.0136414,0.0215526,0.0382255,0.0724257,0.141166", \ "0.00674665,0.00981748,0.0136394,0.0215554,0.0382242,0.0724234,0.141166"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.055887,0.060220,0.064874,0.073756,0.091592,0.127581,0.199800", \ "0.057454,0.061786,0.066440,0.075320,0.093161,0.129145,0.201369", \ "0.063631,0.067959,0.072614,0.081494,0.099333,0.135320,0.207542", \ "0.076038,0.080316,0.084931,0.093758,0.111548,0.147502,0.219712", \ "0.092058,0.096155,0.100554,0.109109,0.126654,0.162442,0.234561", \ "0.108766,0.112767,0.116961,0.125178,0.142420,0.178002,0.249989", \ "0.126332,0.130312,0.134357,0.142239,0.159128,0.194459,0.266293"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006723,0.009807,0.013629,0.021555,0.038225,0.072428,0.141161", \ "0.006727,0.009804,0.013628,0.021554,0.038231,0.072429,0.141163", \ "0.006723,0.009805,0.013631,0.021556,0.038229,0.072424,0.141163", \ "0.006753,0.009832,0.013651,0.021563,0.038230,0.072423,0.141162", \ "0.006992,0.010012,0.013794,0.021654,0.038265,0.072429,0.141165", \ "0.007359,0.010340,0.014040,0.021783,0.038325,0.072445,0.141161", \ "0.007815,0.010765,0.014368,0.021962,0.038412,0.072467,0.141169"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.055890,0.060223,0.064875,0.073757,0.091595,0.127581,0.199805", \ "0.057457,0.061789,0.066443,0.075323,0.093163,0.129147,0.201371", \ "0.063631,0.067965,0.072620,0.081501,0.099338,0.135325,0.207549", \ "0.076036,0.080318,0.084932,0.093764,0.111552,0.147506,0.219714", \ "0.092064,0.096163,0.100560,0.109114,0.126657,0.162445,0.234564", \ "0.108764,0.112765,0.116962,0.125175,0.142429,0.177998,0.249988", \ "0.126335,0.130317,0.134363,0.142249,0.159140,0.194471,0.266306"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006723,0.009807,0.013629,0.021555,0.038224,0.072425,0.141161", \ "0.006729,0.009803,0.013628,0.021554,0.038230,0.072429,0.141163", \ "0.006726,0.009804,0.013631,0.021553,0.038224,0.072428,0.141159", \ "0.006752,0.009833,0.013651,0.021563,0.038229,0.072423,0.141164", \ "0.006993,0.010012,0.013793,0.021652,0.038265,0.072429,0.141165", \ "0.007357,0.010340,0.014039,0.021783,0.038325,0.072448,0.141161", \ "0.007815,0.010765,0.014369,0.021962,0.038412,0.072467,0.141166"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.055900,0.060219,0.064868,0.073744,0.091579,0.127565,0.199779", \ "0.057467,0.061785,0.066435,0.075310,0.093145,0.129134,0.201348", \ "0.063641,0.067964,0.072612,0.081485,0.099320,0.135307,0.207522", \ "0.076050,0.080320,0.084928,0.093754,0.111540,0.147491,0.219693", \ "0.092076,0.096161,0.100552,0.109103,0.126646,0.162433,0.234547", \ "0.108771,0.112741,0.116931,0.125149,0.142382,0.177963,0.249958", \ "0.126300,0.130249,0.134282,0.142157,0.159036,0.194387,0.266236"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006706,0.009793,0.013622,0.021542,0.038216,0.072419,0.141164", \ "0.006709,0.009787,0.013618,0.021542,0.038221,0.072420,0.141166", \ "0.006711,0.009796,0.013620,0.021544,0.038217,0.072424,0.141163", \ "0.006733,0.009819,0.013635,0.021550,0.038219,0.072424,0.141164", \ "0.006966,0.009991,0.013776,0.021634,0.038258,0.072429,0.141164", \ "0.007317,0.010301,0.014011,0.021760,0.038307,0.072451,0.141166", \ "0.007760,0.010708,0.014323,0.021921,0.038379,0.072482,0.141173"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.055900,0.060219,0.064868,0.073744,0.091579,0.127565,0.199779", \ "0.057467,0.061787,0.066435,0.075310,0.093145,0.129134,0.201348", \ "0.063641,0.067963,0.072612,0.081485,0.099320,0.135307,0.207522", \ "0.076049,0.080320,0.084928,0.093754,0.111540,0.147491,0.219693", \ "0.092076,0.096161,0.100552,0.109104,0.126646,0.162433,0.234547", \ "0.108771,0.112741,0.116931,0.125149,0.142382,0.177963,0.249958", \ "0.126300,0.130249,0.134282,0.142157,0.159036,0.194386,0.266236"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006706,0.009793,0.013622,0.021542,0.038216,0.072420,0.141164", \ "0.006709,0.009787,0.013618,0.021541,0.038221,0.072419,0.141167", \ "0.006711,0.009795,0.013620,0.021544,0.038217,0.072423,0.141163", \ "0.006737,0.009819,0.013635,0.021550,0.038219,0.072422,0.141164", \ "0.006966,0.009991,0.013776,0.021634,0.038258,0.072429,0.141169", \ "0.007317,0.010301,0.014011,0.021760,0.038307,0.072450,0.141165", \ "0.007760,0.010708,0.014323,0.021922,0.038379,0.072481,0.141178"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024680,0.028117,0.031597,0.037465,0.047641,0.066393,0.102996", \ "0.026245,0.029683,0.033162,0.039033,0.049210,0.067965,0.104567", \ "0.032653,0.036069,0.039544,0.045416,0.055602,0.074365,0.110972", \ "0.044216,0.047826,0.051434,0.057422,0.067659,0.086420,0.123009", \ "0.056544,0.060616,0.064649,0.071215,0.082010,0.101061,0.137614", \ "0.069586,0.074069,0.078534,0.085723,0.097209,0.116716,0.153425", \ "0.083751,0.088622,0.093495,0.101346,0.113667,0.133840,0.170721"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025982,0.030760,0.035955,0.045537,0.063904,0.100184,0.172549", \ "0.027254,0.032033,0.037225,0.046809,0.065174,0.101460,0.173831", \ "0.030916,0.035679,0.040850,0.050406,0.068759,0.105051,0.177433", \ "0.036278,0.041176,0.046416,0.056006,0.074330,0.110583,0.182976", \ "0.041421,0.046591,0.051985,0.061653,0.079984,0.116235,0.188564", \ "0.045257,0.050891,0.056623,0.066569,0.084978,0.121142,0.193456", \ "0.047344,0.053469,0.059722,0.070209,0.088937,0.125249,0.197506"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005005,0.006593,0.008442,0.012008,0.019218,0.034323,0.065721", \ "0.005002,0.006594,0.008445,0.012009,0.019219,0.034319,0.065719", \ "0.005020,0.006614,0.008462,0.012018,0.019222,0.034320,0.065728", \ "0.006032,0.007464,0.009139,0.012457,0.019437,0.034386,0.065729", \ "0.007814,0.009255,0.010891,0.014049,0.020591,0.034909,0.065809", \ "0.009685,0.011169,0.012838,0.015925,0.022120,0.035826,0.066222", \ "0.011694,0.013225,0.014954,0.018073,0.024041,0.037056,0.066710"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006338,0.009462,0.013343,0.021388,0.038192,0.072459,0.141322", \ "0.006337,0.009467,0.013346,0.021391,0.038193,0.072456,0.141311", \ "0.006344,0.009472,0.013357,0.021395,0.038197,0.072468,0.141325", \ "0.006803,0.009876,0.013675,0.021580,0.038245,0.072457,0.141319", \ "0.007693,0.010672,0.014306,0.021981,0.038459,0.072547,0.141325", \ "0.009010,0.012016,0.015479,0.022780,0.038824,0.072723,0.141388", \ "0.010554,0.013750,0.017220,0.024144,0.039688,0.073156,0.141513"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024665,0.028106,0.031588,0.037461,0.047640,0.066399,0.103027", \ "0.026229,0.029671,0.033154,0.039028,0.049208,0.067964,0.104592", \ "0.032646,0.036067,0.039542,0.045416,0.055604,0.074367,0.111004", \ "0.044197,0.047810,0.051421,0.057411,0.067658,0.086426,0.123049", \ "0.056519,0.060596,0.064634,0.071203,0.082000,0.101069,0.137660", \ "0.069556,0.074064,0.078529,0.085723,0.097200,0.116732,0.153475", \ "0.083729,0.088624,0.093502,0.101352,0.113674,0.133865,0.170778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005014,0.006600,0.008447,0.012011,0.019216,0.034325,0.065964", \ "0.005010,0.006599,0.008448,0.012012,0.019218,0.034321,0.065963", \ "0.005027,0.006620,0.008467,0.012025,0.019225,0.034324,0.065970", \ "0.006044,0.007474,0.009147,0.012460,0.019442,0.034389,0.066008", \ "0.007836,0.009265,0.010900,0.014054,0.020588,0.034904,0.066082", \ "0.009709,0.011188,0.012855,0.015937,0.022106,0.035831,0.066420", \ "0.011715,0.013247,0.014972,0.018086,0.024043,0.037053,0.066841"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024666,0.028103,0.031581,0.037451,0.047625,0.066384,0.102982", \ "0.026234,0.029668,0.033149,0.039016,0.049193,0.067952,0.104551", \ "0.032643,0.036061,0.039534,0.045405,0.055591,0.074352,0.110964", \ "0.044197,0.047808,0.051419,0.057407,0.067644,0.086405,0.122991", \ "0.056524,0.060589,0.064619,0.071188,0.081979,0.101034,0.137587", \ "0.069542,0.074029,0.078493,0.085680,0.097158,0.116688,0.153394", \ "0.083705,0.088564,0.093436,0.101289,0.113603,0.133792,0.170671"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025972,0.030751,0.035943,0.045525,0.063887,0.100180,0.172541", \ "0.027241,0.032019,0.037211,0.046793,0.065159,0.101446,0.173817", \ "0.030884,0.035648,0.040821,0.050376,0.068731,0.105018,0.177402", \ "0.036234,0.041129,0.046372,0.055960,0.074288,0.110543,0.182933", \ "0.041364,0.046534,0.051927,0.061596,0.079930,0.116184,0.188506", \ "0.045193,0.050825,0.056560,0.066502,0.084910,0.121077,0.193387", \ "0.047252,0.053403,0.059663,0.070136,0.088862,0.125173,0.197432"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005004,0.006590,0.008444,0.012007,0.019214,0.034319,0.065724", \ "0.005004,0.006592,0.008442,0.012011,0.019221,0.034321,0.065719", \ "0.005019,0.006614,0.008463,0.012020,0.019224,0.034325,0.065713", \ "0.006031,0.007464,0.009140,0.012456,0.019438,0.034390,0.065732", \ "0.007813,0.009250,0.010893,0.014044,0.020594,0.034909,0.065809", \ "0.009683,0.011169,0.012836,0.015926,0.022111,0.035825,0.066219", \ "0.011691,0.013228,0.014953,0.018079,0.024034,0.037057,0.066710"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006336,0.009461,0.013349,0.021391,0.038200,0.072477,0.141308", \ "0.006333,0.009466,0.013343,0.021393,0.038197,0.072460,0.141315", \ "0.006348,0.009471,0.013355,0.021397,0.038195,0.072459,0.141325", \ "0.006800,0.009872,0.013676,0.021582,0.038233,0.072461,0.141329", \ "0.007691,0.010673,0.014305,0.021980,0.038458,0.072560,0.141326", \ "0.009002,0.012015,0.015476,0.022775,0.038832,0.072725,0.141387", \ "0.010553,0.013746,0.017211,0.024141,0.039684,0.073159,0.141515"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024650,0.028092,0.031573,0.037443,0.047621,0.066379,0.103007", \ "0.026217,0.029659,0.033141,0.039010,0.049188,0.067946,0.104572", \ "0.032630,0.036051,0.039526,0.045398,0.055587,0.074355,0.110988", \ "0.044190,0.047800,0.051409,0.057397,0.067641,0.086400,0.123019", \ "0.056500,0.060574,0.064613,0.071188,0.081979,0.101031,0.137621", \ "0.069529,0.074025,0.078490,0.085686,0.097173,0.116683,0.153412", \ "0.083703,0.088581,0.093457,0.101292,0.113631,0.133817,0.170696"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005011,0.006599,0.008446,0.012011,0.019220,0.034325,0.065964", \ "0.005008,0.006598,0.008449,0.012013,0.019222,0.034321,0.065964", \ "0.005026,0.006619,0.008467,0.012025,0.019224,0.034325,0.065970", \ "0.006043,0.007474,0.009147,0.012461,0.019444,0.034391,0.066009", \ "0.007837,0.009265,0.010901,0.014054,0.020592,0.034906,0.066083", \ "0.009709,0.011189,0.012853,0.015938,0.022123,0.035836,0.066424", \ "0.011715,0.013250,0.014973,0.018090,0.024043,0.037057,0.066846"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024678,0.028116,0.031596,0.037464,0.047636,0.066388,0.103003", \ "0.026247,0.029683,0.033163,0.039033,0.049208,0.067961,0.104571", \ "0.032654,0.036070,0.039543,0.045418,0.055600,0.074365,0.110982", \ "0.044216,0.047822,0.051433,0.057421,0.067659,0.086419,0.123016", \ "0.056550,0.060615,0.064645,0.071213,0.082004,0.101055,0.137611", \ "0.069583,0.074066,0.078528,0.085718,0.097207,0.116711,0.153429", \ "0.083738,0.088611,0.093484,0.101329,0.113656,0.133837,0.170705"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025662,0.030432,0.035621,0.045196,0.063557,0.099850,0.172219", \ "0.026942,0.031711,0.036901,0.046476,0.064834,0.101136,0.173512", \ "0.030674,0.035428,0.040596,0.050146,0.068489,0.104783,0.177182", \ "0.036144,0.041033,0.046275,0.055856,0.074174,0.110438,0.182833", \ "0.041321,0.046491,0.051887,0.061551,0.079872,0.116113,0.188456", \ "0.045142,0.050783,0.056523,0.066468,0.084865,0.121037,0.193347", \ "0.047205,0.053351,0.059619,0.070098,0.088827,0.125136,0.197420"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005000,0.006591,0.008441,0.012008,0.019216,0.034320,0.065742", \ "0.005004,0.006592,0.008442,0.012010,0.019216,0.034325,0.065729", \ "0.005016,0.006612,0.008462,0.012018,0.019219,0.034320,0.065742", \ "0.006030,0.007463,0.009138,0.012456,0.019436,0.034385,0.065746", \ "0.007809,0.009251,0.010890,0.014046,0.020592,0.034909,0.065831", \ "0.009680,0.011169,0.012837,0.015925,0.022105,0.035822,0.066229", \ "0.011688,0.013219,0.014950,0.018072,0.024029,0.037049,0.066745"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006291,0.009429,0.013314,0.021364,0.038170,0.072479,0.141323", \ "0.006290,0.009423,0.013316,0.021367,0.038179,0.072507,0.141326", \ "0.006303,0.009441,0.013324,0.021373,0.038181,0.072503,0.141357", \ "0.006779,0.009854,0.013657,0.021562,0.038224,0.072496,0.141320", \ "0.007694,0.010666,0.014298,0.021965,0.038442,0.072564,0.141323", \ "0.009015,0.012027,0.015482,0.022772,0.038815,0.072741,0.141389", \ "0.010567,0.013771,0.017229,0.024147,0.039676,0.073172,0.141558"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024668,0.028108,0.031591,0.037465,0.047644,0.066398,0.102996", \ "0.026234,0.029675,0.033158,0.039031,0.049213,0.067968,0.104568", \ "0.032643,0.036066,0.039540,0.045414,0.055604,0.074368,0.110972", \ "0.044201,0.047817,0.051428,0.057415,0.067665,0.086429,0.123018", \ "0.056527,0.060603,0.064637,0.071203,0.082001,0.101065,0.137619", \ "0.069562,0.074064,0.078525,0.085716,0.097190,0.116691,0.153412", \ "0.083720,0.088615,0.093486,0.101331,0.113650,0.133806,0.170691"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005011,0.006599,0.008447,0.012009,0.019217,0.034311,0.065761", \ "0.005006,0.006598,0.008446,0.012010,0.019219,0.034302,0.065762", \ "0.005021,0.006619,0.008468,0.012024,0.019224,0.034305,0.065765", \ "0.006043,0.007470,0.009145,0.012459,0.019441,0.034382,0.065813", \ "0.007832,0.009260,0.010900,0.014051,0.020593,0.034902,0.065972", \ "0.009703,0.011182,0.012847,0.015933,0.022106,0.035802,0.066489", \ "0.011711,0.013236,0.014965,0.018083,0.024030,0.037017,0.067103"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024678,0.028116,0.031596,0.037464,0.047636,0.066388,0.103003", \ "0.026247,0.029683,0.033163,0.039033,0.049208,0.067961,0.104571", \ "0.032654,0.036070,0.039543,0.045418,0.055600,0.074365,0.110983", \ "0.044216,0.047822,0.051433,0.057421,0.067659,0.086419,0.123016", \ "0.056550,0.060615,0.064645,0.071213,0.082004,0.101055,0.137611", \ "0.069583,0.074066,0.078528,0.085718,0.097207,0.116711,0.153429", \ "0.083738,0.088611,0.093484,0.101329,0.113656,0.133837,0.170705"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025662,0.030432,0.035621,0.045196,0.063557,0.099850,0.172219", \ "0.026942,0.031711,0.036901,0.046476,0.064834,0.101136,0.173512", \ "0.030674,0.035428,0.040596,0.050146,0.068489,0.104783,0.177182", \ "0.036144,0.041033,0.046275,0.055856,0.074174,0.110438,0.182833", \ "0.041321,0.046491,0.051887,0.061551,0.079872,0.116113,0.188456", \ "0.045142,0.050783,0.056523,0.066468,0.084865,0.121037,0.193347", \ "0.047205,0.053351,0.059619,0.070098,0.088827,0.125136,0.197420"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005000,0.006591,0.008441,0.012008,0.019216,0.034320,0.065742", \ "0.005004,0.006592,0.008442,0.012010,0.019216,0.034325,0.065729", \ "0.005016,0.006612,0.008462,0.012018,0.019219,0.034320,0.065740", \ "0.006030,0.007463,0.009138,0.012456,0.019436,0.034385,0.065746", \ "0.007809,0.009251,0.010890,0.014046,0.020592,0.034909,0.065831", \ "0.009680,0.011169,0.012837,0.015925,0.022105,0.035822,0.066229", \ "0.011688,0.013219,0.014950,0.018072,0.024029,0.037049,0.066745"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006291,0.009429,0.013314,0.021364,0.038170,0.072479,0.141323", \ "0.006290,0.009423,0.013316,0.021367,0.038179,0.072507,0.141326", \ "0.006303,0.009441,0.013324,0.021373,0.038181,0.072503,0.141357", \ "0.006779,0.009854,0.013657,0.021562,0.038224,0.072496,0.141320", \ "0.007694,0.010666,0.014298,0.021965,0.038442,0.072564,0.141323", \ "0.009015,0.012027,0.015482,0.022772,0.038815,0.072741,0.141389", \ "0.010567,0.013771,0.017229,0.024147,0.039676,0.073172,0.141558"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024668,0.028108,0.031591,0.037465,0.047644,0.066398,0.102996", \ "0.026234,0.029675,0.033158,0.039031,0.049213,0.067968,0.104568", \ "0.032643,0.036066,0.039540,0.045414,0.055604,0.074368,0.110972", \ "0.044201,0.047817,0.051428,0.057415,0.067665,0.086429,0.123018", \ "0.056527,0.060603,0.064637,0.071203,0.082001,0.101065,0.137619", \ "0.069562,0.074064,0.078525,0.085716,0.097190,0.116691,0.153412", \ "0.083720,0.088615,0.093486,0.101331,0.113650,0.133806,0.170691"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005011,0.006598,0.008447,0.012009,0.019217,0.034310,0.065761", \ "0.005006,0.006598,0.008446,0.012010,0.019219,0.034302,0.065761", \ "0.005021,0.006619,0.008468,0.012024,0.019224,0.034305,0.065764", \ "0.006043,0.007470,0.009145,0.012459,0.019441,0.034381,0.065812", \ "0.007832,0.009260,0.010900,0.014051,0.020594,0.034902,0.065971", \ "0.009703,0.011182,0.012847,0.015933,0.022106,0.035802,0.066488", \ "0.011711,0.013236,0.014965,0.018083,0.024030,0.037015,0.067101"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.653788,5.730156,5.796858,5.939952,6.036918,6.010583,6.108784", \ "5.646305,5.733390,5.784413,5.938214,6.035862,5.994763,6.044208", \ "5.654745,5.715173,5.791585,5.923840,6.009640,6.011579,5.971998", \ "5.711234,5.785333,5.836657,5.980913,6.059539,6.125778,6.108549", \ "5.876572,5.944472,6.010477,6.138330,6.217028,6.268695,6.294950", \ "6.173410,6.262921,6.319797,6.458767,6.548197,6.602344,6.616032", \ "6.653425,6.728519,6.783249,6.918102,7.001788,7.058457,7.080641"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.868761,5.903861,5.929358,5.944071,5.981671,6.009083,5.913254", \ "5.856962,5.880686,5.920711,5.931064,5.971805,5.999175,6.025260", \ "5.850931,5.886294,5.914005,5.916425,5.957800,5.913183,5.929772", \ "5.898887,5.933266,5.954864,5.988294,5.994147,6.021431,5.938000", \ "6.086750,6.121955,6.146662,6.163952,6.205474,6.198388,6.212507", \ "6.400601,6.432924,6.456651,6.479237,6.488147,6.530545,6.443532", \ "6.853552,6.877967,6.931336,6.946907,6.944659,6.898114,7.005298"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.657538,3.782774,3.924246,4.067203,4.144623,4.168503,4.169474", \ "3.659904,3.782893,3.911251,4.034939,4.135003,4.085439,4.078449", \ "3.635154,3.766778,3.856158,4.039299,4.134106,4.170089,4.183041", \ "3.758278,3.858856,3.939076,4.086796,4.197782,4.237374,4.245362", \ "4.036754,4.112627,4.177387,4.290899,4.335717,4.279471,4.406133", \ "4.368585,4.425209,4.485740,4.589559,4.595997,4.631583,4.610590", \ "4.861270,4.885598,4.928313,4.996341,5.048341,4.987831,4.908145"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.754471,3.879903,4.017704,4.141971,4.242680,4.309546,4.264867", \ "3.754870,3.851363,4.006178,4.130286,4.230878,4.182338,4.171999", \ "3.733546,3.861188,3.950688,4.129085,4.229510,4.209152,4.276101", \ "3.845877,3.952646,4.032898,4.181307,4.293571,4.326794,4.337857", \ "4.130088,4.205686,4.270770,4.384597,4.430146,4.377308,4.496845", \ "4.473682,4.517979,4.578712,4.683133,4.690057,4.623185,4.701479", \ "4.957989,4.976165,5.019015,5.087748,5.146010,5.080407,4.999217"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.726145,3.806844,3.895682,3.994846,4.498267,6.257830,6.599255", \ "3.722943,3.784429,3.869291,3.979407,4.487609,6.223511,6.504156", \ "3.693378,3.780400,3.829422,3.977577,4.494118,6.174021,6.608235", \ "3.825311,3.886446,3.947973,4.040906,4.521780,6.292772,6.671171", \ "4.116867,4.147430,4.168244,4.252721,4.736456,6.455956,6.696378", \ "4.457413,4.427438,4.467478,4.537587,4.897218,6.692870,7.054879", \ "4.962192,4.931210,4.889719,4.928507,5.237395,7.028525,7.405716"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.726411,3.807121,3.895952,3.995288,4.499703,6.266362,6.595509", \ "3.723208,3.779015,3.870032,3.979706,4.489047,6.239916,6.500328", \ "3.693654,3.780709,3.829714,3.977870,4.495551,6.157669,6.604407", \ "3.832410,3.886732,3.948244,4.041203,4.523195,6.348978,6.667406", \ "4.117150,4.147702,4.168569,4.253000,4.737778,6.438502,6.820009", \ "4.457538,4.427685,4.467714,4.537816,4.898311,6.714529,7.046324", \ "4.962370,4.931397,4.892285,4.933457,5.238179,7.024320,7.486619"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.398855,5.651248,5.852393,6.085859,6.359658,6.728068,7.253844", \ "5.325348,5.577539,5.779646,6.012605,6.273325,6.650055,7.186809", \ "5.344587,5.585370,5.786070,6.021018,6.282864,6.662639,7.207193", \ "5.676726,5.913588,6.119083,6.350693,6.606444,6.984651,7.499422", \ "6.166639,6.371158,6.587779,6.893959,7.272459,7.639520,8.171900", \ "7.237658,7.335389,7.496452,7.771379,8.147532,8.676778,9.224267", \ "8.691129,8.802431,8.944532,9.177498,9.532857,9.995117,10.734910"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.030509,3.293239,3.599106,3.881315,4.044898,3.926699,3.896155", \ "2.978637,3.244955,3.525688,3.797098,3.931765,3.853805,3.797412", \ "2.945714,3.235504,3.476234,3.720630,3.882272,3.939349,3.796075", \ "3.156803,3.393003,3.628471,3.910557,4.055799,4.082466,3.890896", \ "3.748857,3.994165,4.195879,4.390144,4.423223,4.395055,4.539535", \ "4.650216,4.884746,5.180786,5.384189,5.382911,5.364145,5.443236", \ "5.810740,6.149042,6.502720,6.845057,7.035528,6.874514,6.887474"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.176931,5.300710,5.406644,5.476923,5.525810,5.529852,5.424278", \ "5.130638,5.274736,5.359862,5.444308,5.494013,5.424905,5.481703", \ "5.136158,5.285155,5.351218,5.432187,5.519790,5.453603,5.444571", \ "5.357241,5.452242,5.540154,5.585322,5.632219,5.707556,5.683610", \ "5.753070,5.852115,5.880753,5.959421,5.994971,5.993536,5.885069", \ "6.425590,6.485855,6.489049,6.543051,6.542201,6.459739,6.476274", \ "7.383942,7.394571,7.382336,7.375895,7.407842,7.384336,7.327311"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.032216,4.247824,4.462149,4.705319,4.958931,5.340020,5.859511", \ "4.009041,4.217935,4.428177,4.672548,4.929084,5.308063,5.843812", \ "4.016424,4.214218,4.423499,4.659792,4.924942,5.306819,5.842998", \ "4.194231,4.393321,4.594550,4.823421,5.093197,5.473291,5.992224", \ "4.363622,4.518756,4.713959,5.026218,5.413783,5.774366,6.305542", \ "4.921243,4.986479,5.119638,5.371877,5.734514,6.267683,6.807706", \ "5.740042,5.787642,5.860412,6.049831,6.350861,6.792014,7.541729"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.216300,2.488757,2.794886,3.054233,3.269966,3.138740,3.119415", \ "2.209349,2.468185,2.710519,3.008323,3.182806,3.089922,3.043404", \ "2.162133,2.418351,2.659835,2.971199,3.140305,3.041188,3.049235", \ "2.235129,2.496913,2.750384,2.960603,3.202472,3.239754,3.107401", \ "2.588884,2.832644,2.968243,3.199486,3.348007,3.242103,3.386396", \ "3.037614,3.242991,3.496956,3.693326,3.690724,3.689975,3.757793", \ "3.637884,3.882654,4.089538,4.360995,4.562659,4.394361,4.426314"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.444739,4.576417,4.674216,4.745567,4.795476,4.801929,4.696780", \ "4.426354,4.556313,4.648370,4.733443,4.784665,4.716879,4.775718", \ "4.411141,4.567751,4.660561,4.715709,4.804228,4.825009,4.732296", \ "4.559519,4.655006,4.745329,4.788140,4.835349,4.913116,4.889836", \ "4.796628,4.883292,4.912273,4.994035,5.030580,5.030588,4.923602", \ "5.187177,5.229377,5.250236,5.284396,5.305759,5.224887,5.243773", \ "5.744299,5.763024,5.751474,5.745958,5.780823,5.759114,5.706620"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.110749,5.302173,5.465390,5.605379,5.682621,5.712276,5.714735", \ "5.040138,5.224450,5.392984,5.535892,5.618857,5.641216,5.652345", \ "5.061355,5.236943,5.401651,5.537760,5.630652,5.656491,5.667202", \ "5.372593,5.552961,5.713884,5.850943,5.927207,5.959982,5.980837", \ "5.842924,6.008716,6.151962,6.381644,6.580961,6.607627,6.627286", \ "6.829555,6.924464,7.044284,7.227938,7.437809,7.643806,7.676171", \ "8.252595,8.344038,8.425211,8.582398,8.793528,8.954183,9.173144"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.521493,2.630212,2.732185,2.735919,2.861280,2.719323,2.696846", \ "2.443529,2.595941,2.658587,2.705114,2.750550,2.605418,2.596657", \ "2.427054,2.563697,2.589471,2.651617,2.695378,2.557358,2.590806", \ "2.581212,2.702682,2.777061,2.746278,2.860591,2.883121,2.675062", \ "3.201320,3.289542,3.300349,3.292778,3.220905,3.066143,3.316236", \ "4.070786,4.188555,4.316628,4.296506,4.159753,4.124776,4.189232", \ "5.177109,5.409348,5.536370,5.650322,5.602260,5.567007,5.578049"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.232603,6.380722,6.480218,6.538785,6.602852,6.531388,6.605920", \ "6.193486,6.341051,6.436304,6.505800,6.499331,6.570723,6.492955", \ "6.212463,6.348593,6.436557,6.481280,6.512558,6.586000,6.443001", \ "6.419434,6.530094,6.601778,6.649052,6.710648,6.713143,6.729287", \ "6.834174,6.908618,6.962335,6.994278,7.009251,7.003247,7.048714", \ "7.485128,7.524597,7.543603,7.563752,7.584397,7.501219,7.448984", \ "8.307290,8.338996,8.352255,8.324918,8.299177,8.267900,8.206864"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.110724,5.302136,5.465352,5.605343,5.682577,5.712246,5.714691", \ "5.040111,5.224413,5.392942,5.535853,5.618825,5.641184,5.652313", \ "5.061326,5.236902,5.401608,5.537719,5.630621,5.656458,5.671168", \ "5.372558,5.552921,5.713844,5.850907,5.927173,5.959948,5.980807", \ "5.842893,6.008684,6.151926,6.381608,6.580929,6.607585,6.627252", \ "6.829536,6.924432,7.044252,7.227901,7.437783,7.643769,7.676133", \ "8.252557,8.344004,8.425172,8.582360,8.793497,8.954147,9.173107"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.521505,2.630244,2.732210,2.735955,2.861319,2.719348,2.696856", \ "2.443546,2.595974,2.658626,2.705152,2.750573,2.605441,2.596675", \ "2.427064,2.563731,2.589509,2.651655,2.695397,2.557351,2.590826", \ "2.581248,2.702713,2.777085,2.746311,2.860629,2.883153,2.675047", \ "3.201328,3.289565,3.300526,3.292812,3.220932,3.066157,3.316260", \ "4.070789,4.188571,4.316654,4.296533,4.159776,4.124796,4.189253", \ "5.177107,5.409399,5.536404,5.650341,5.602273,5.567023,5.578065"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.234883,6.375773,6.481164,6.540862,6.607163,6.538219,6.616440", \ "6.196356,6.348806,6.440605,6.507952,6.512503,6.578403,6.503455", \ "6.211519,6.348456,6.440231,6.519012,6.516495,6.593365,6.453344", \ "6.418534,6.529985,6.605302,6.650990,6.714712,6.720044,6.708614", \ "6.833389,6.908511,6.972568,6.996192,7.013076,7.009819,7.058830", \ "7.484575,7.524669,7.545839,7.567162,7.591611,7.506959,7.458789", \ "8.309271,8.329062,8.353045,8.326699,8.355281,8.274171,8.216261"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFRS_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active low set, and drive strength X2 *******************************************************************************************/ cell (DFFRS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 142.302832; leakage_power () { when : "!CK & !D & !RN & !SN & !Q & !QN"; value : 123.379300; } leakage_power () { when : "!CK & !D & !RN & SN & !Q & QN"; value : 140.106560; } leakage_power () { when : "!CK & !D & RN & !SN & Q & !QN"; value : 137.148770; } leakage_power () { when : "!CK & !D & RN & SN & !Q & QN"; value : 158.841760; } leakage_power () { when : "!CK & !D & RN & SN & Q & !QN"; value : 158.304850; } leakage_power () { when : "!CK & D & !RN & !SN & !Q & !QN"; value : 120.022133; } leakage_power () { when : "!CK & D & !RN & SN & !Q & QN"; value : 136.249773; } leakage_power () { when : "!CK & D & RN & !SN & Q & !QN"; value : 131.752533; } leakage_power () { when : "!CK & D & RN & SN & !Q & QN"; value : 156.979273; } leakage_power () { when : "!CK & D & RN & SN & Q & !QN"; value : 151.305473; } leakage_power () { when : "CK & !D & !RN & !SN & !Q & !QN"; value : 125.629383; } leakage_power () { when : "CK & !D & !RN & SN & !Q & QN"; value : 143.938333; } leakage_power () { when : "CK & !D & RN & !SN & Q & !QN"; value : 118.090764; } leakage_power () { when : "CK & !D & RN & SN & !Q & QN"; value : 163.186133; } leakage_power () { when : "CK & !D & RN & SN & Q & !QN"; value : 137.124504; } leakage_power () { when : "CK & D & !RN & !SN & !Q & !QN"; value : 139.564898; } leakage_power () { when : "CK & D & !RN & SN & !Q & QN"; value : 157.880448; } leakage_power () { when : "CK & D & RN & !SN & Q & !QN"; value : 125.195763; } leakage_power () { when : "CK & D & RN & SN & !Q & QN"; value : 177.127148; } leakage_power () { when : "CK & D & RN & SN & Q & !QN"; value : 144.228843; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.162239; fall_capacitance : 1.086386; rise_capacitance : 1.162239; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.002456,0.011655,0.011111", \ "0.002714,0.008998,0.005027", \ "0.140296,0.148399,0.136992"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.003944,0.015663,0.019375", \ "0.019602,0.031149,0.034854", \ "0.098036,0.111950,0.119456"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.036869,0.023620,0.017714", \ "0.054482,0.041290,0.035409", \ "0.100966,0.087056,0.079573"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.030899,0.027401,0.044029", \ "0.042951,0.038114,0.051977", \ "0.058700,0.050603,0.062035"); } } internal_power () { when : "!CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.756639,3.735907,3.706900,3.719175,3.853129,4.179532,4.717754"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.968903,1.932017,1.900445,1.910100,2.036301,2.345318,2.864294"); } } internal_power () { when : "!CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.694875,4.674083,4.644864,4.655416,4.795098,5.137670,5.703986"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.704126,3.669204,3.635971,3.657260,3.815750,4.175426,4.760546"); } } internal_power () { when : "!CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.756737,3.735701,3.706976,3.719095,3.853070,4.178202,4.717592"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.892626,1.857862,1.824474,1.837465,1.963355,2.272029,2.790818"); } } internal_power () { when : "!CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.706508,4.685406,4.656250,4.666560,4.805579,5.150291,5.714442"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.799434,3.764435,3.731065,3.751575,3.910069,4.267847,4.850873"); } } internal_power () { when : "!CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.649306,4.628402,4.599207,4.609847,4.751005,5.096672,5.663029"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.761287,3.726405,3.693543,3.709771,3.866070,4.219139,4.798562"); } } internal_power () { when : "CK & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.736589,0.709418,0.699613,0.693328,0.690223,0.688414,0.686158"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.627399,-0.632567,-0.634999,-0.637364,-0.639833,-0.640621,-0.642379"); } } internal_power () { when : "CK & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.735294,0.709142,0.698515,0.693569,0.690394,0.688565,0.686809"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628023,-0.631942,-0.634950,-0.637329,-0.639783,-0.640577,-0.642313"); } } internal_power () { when : "CK & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650532,0.658212,0.659645,0.658785,0.658421,0.657681,0.657311"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433507,-0.496787,-0.550521,-0.572369,-0.585488,-0.592926,-0.598738"); } } internal_power () { when : "CK & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.732531,0.709399,0.699625,0.693284,0.690195,0.688378,0.686128"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629615,-0.633599,-0.635752,-0.637708,-0.639937,-0.640776,-0.642552"); } } internal_power () { when : "CK & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650805,0.658133,0.659769,0.658896,0.658518,0.657757,0.657426"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433296,-0.497225,-0.549767,-0.571633,-0.583517,-0.591614,-0.597810"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.844314; fall_capacitance : 1.760832; rise_capacitance : 1.844314; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.046400,-0.060751,-0.067778", \ "-0.036547,-0.050920,-0.058100", \ "0.022736,0.004011,-0.006086"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.140117,0.150069,0.158490", \ "0.150420,0.160166,0.168792", \ "0.217493,0.226807,0.234481"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.163252,0.192756,0.308726"); } } internal_power () { when : "!CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.991214,0.960451,0.949321,0.944801,0.941602,0.939621,0.937473"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.881632,-0.883028,-0.886258,-0.888003,-0.889352,-0.888541,-0.888926"); } } internal_power () { when : "!CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.999233,0.965119,0.952396,0.948083,0.945033,0.943304,0.941259"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.834920,-0.842142,-0.854476,-0.862959,-0.867741,-0.868253,-0.870156"); } } internal_power () { when : "CK & !D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.018631,0.977650,0.959280,0.952120,0.948241,0.945781,0.941913"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.879205,-0.882016,-0.884595,-0.886774,-0.886861,-0.887292,-0.888898"); } } internal_power () { when : "CK & D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.017863,0.976884,0.958464,0.951344,0.947470,0.945024,0.941148"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.881503,-0.883958,-0.886566,-0.888812,-0.888955,-0.889308,-0.891625"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.620929; fall_capacitance : 2.460245; rise_capacitance : 2.620929; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.072958,-0.085306,-0.092176", \ "-0.070617,-0.083323,-0.089861", \ "-0.034194,-0.051335,-0.061183"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.190180,0.202555,0.209475", \ "0.244956,0.257377,0.264075", \ "0.437076,0.449450,0.456148"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.141886,0.171557,0.290184"); } } internal_power () { when : "!CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.910617,2.828909,2.826916,2.991088,3.323686,3.872285,4.657685"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.245163,-0.273644,-0.282301,-0.169736,0.130858,0.662485,1.437032"); } } internal_power () { when : "!CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.573786,1.536766,1.520213,1.513140,1.508770,1.505458,1.502188"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.244330,-1.247490,-1.251710,-1.253060,-1.256620,-1.255690,-1.256720"); } } internal_power () { when : "CK & !D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.548194,1.520506,1.510047,1.504954,1.501421,1.498553,1.496269"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.228550,-1.229540,-1.232630,-1.238510,-1.247780,-1.257380,-1.258830"); } } internal_power () { when : "CK & D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.548620,1.520768,1.510323,1.505228,1.501690,1.498776,1.496545"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.199630,-1.200450,-1.203520,-1.209390,-1.218340,-1.231080,-1.239710"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.940231; fall_capacitance : 0.843882; rise_capacitance : 0.940231; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.054285,0.069556,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.045738,0.048050,0.198733"); } } internal_power () { when : "!D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.336726,5.312067,5.333820,5.515782,5.886380,6.475835,7.335715"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.032003,5.011890,5.006046,5.138781,5.496231,6.132575,7.058669"); } } internal_power () { when : "!D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.914047,3.890945,3.912380,4.094184,4.460730,5.048662,5.902522"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.614175,3.595024,3.584696,3.708294,4.051456,4.664823,5.571506"); } } internal_power () { when : "!D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.322668,6.301167,6.325923,6.519350,6.903072,7.508863,8.375413"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.480040,21.458890,21.455970,21.588420,21.944630,22.576040,23.510590"); } } internal_power () { when : "!D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.913608,3.889691,3.911722,4.093266,4.459343,5.047434,5.901071"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.621208,3.597601,3.591371,3.712913,4.054462,4.669562,5.574752"); } } internal_power () { when : "!D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.500684,7.473247,7.497283,7.688365,8.071633,8.674164,9.541325"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.878016,6.853432,6.874048,7.059689,7.441094,8.043353,8.919178"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.316838,8.296564,8.265039,8.371642,8.698969,9.309807,10.229770"); } } internal_power () { when : "D & !RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.159619,7.135224,7.155531,7.342829,7.727183,8.339246,9.227865"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.249450,11.229580,11.190170,11.289190,11.625550,12.252610,13.196600"); } } internal_power () { when : "D & RN & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.707328,3.685202,3.706595,3.885260,4.251212,4.832647,5.677966"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.776625,3.759469,3.752232,3.874379,4.208771,4.813031,5.713234"); } } internal_power () { when : "D & RN & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.285863,7.256895,7.276927,7.465629,7.848838,8.460836,9.349956"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.709054,3.685493,3.707018,3.886804,4.249200,4.832068,5.678056"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.785232,3.768116,3.760596,3.883099,4.217353,4.821272,5.721599"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0807430,0.0854016,0.0894429,0.0961061,0.107273,0.127039,0.164096", \ "0.0822230,0.0868842,0.0909253,0.0975831,0.108755,0.128519,0.165577", \ "0.0872230,0.0918825,0.0959260,0.102588,0.113756,0.133524,0.170579", \ "0.0926853,0.0973447,0.101389,0.108048,0.119224,0.138992,0.176047", \ "0.0968879,0.101542,0.105596,0.112256,0.123425,0.143185,0.180251", \ "0.0996184,0.104276,0.108314,0.114980,0.126148,0.145914,0.182969", \ "0.100366,0.105048,0.109062,0.115735,0.126914,0.146660,0.183732"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0804108,0.0868975,0.0927796,0.103133,0.122169,0.158786,0.231400", \ "0.0819025,0.0883869,0.0942709,0.104624,0.123658,0.160271,0.232891", \ "0.0868826,0.0933698,0.0992546,0.109608,0.128644,0.165256,0.237888", \ "0.0921921,0.0986793,0.104566,0.114919,0.133953,0.170569,0.243190", \ "0.0960302,0.102513,0.108398,0.118757,0.137786,0.174406,0.247028", \ "0.0983725,0.104863,0.110750,0.121108,0.140121,0.176745,0.249377", \ "0.0988453,0.105338,0.111228,0.121586,0.140614,0.177238,0.249870"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00580925,0.00781518,0.00980257,0.0135202,0.0208254,0.0355569,0.0662483", \ "0.00581252,0.00781771,0.00980478,0.0135195,0.0208250,0.0355567,0.0662376", \ "0.00581176,0.00781381,0.00980344,0.0135192,0.0208260,0.0355566,0.0662506", \ "0.00581387,0.00781669,0.00980413,0.0135188,0.0208227,0.0355552,0.0662393", \ "0.00580657,0.00781208,0.00980500,0.0135209,0.0208233,0.0355556,0.0662444", \ "0.00581191,0.00781504,0.00980343,0.0135195,0.0208233,0.0355478,0.0662393", \ "0.00581549,0.00781629,0.00980566,0.0135214,0.0208231,0.0355557,0.0662406"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00727953,0.0110332,0.0149220,0.0227614,0.0390050,0.0727040,0.141484", \ "0.00728309,0.0110348,0.0149245,0.0227601,0.0390042,0.0727041,0.141489", \ "0.00728100,0.0110371,0.0149253,0.0227628,0.0390063,0.0727043,0.141491", \ "0.00728330,0.0110391,0.0149307,0.0227627,0.0390092,0.0727017,0.141485", \ "0.00727883,0.0110433,0.0149326,0.0227688,0.0390111,0.0727056,0.141489", \ "0.00729948,0.0110523,0.0149400,0.0227733,0.0390104,0.0727007,0.141485", \ "0.00732091,0.0110706,0.0149524,0.0227824,0.0390158,0.0727068,0.141491"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028870,0.033463,0.037407,0.043883,0.054728,0.074026,0.110918", \ "0.030463,0.035055,0.038998,0.045475,0.056320,0.075613,0.112509", \ "0.036802,0.041378,0.045313,0.051790,0.062643,0.081942,0.118840", \ "0.049208,0.053840,0.057789,0.064277,0.075141,0.094439,0.131338", \ "0.063146,0.068368,0.072795,0.079878,0.091297,0.110848,0.147685", \ "0.077549,0.083284,0.088202,0.096004,0.108213,0.128443,0.165504", \ "0.092889,0.099099,0.104453,0.112960,0.126130,0.147189,0.184578"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.032277,0.038663,0.044448,0.054629,0.073415,0.109946,0.182635", \ "0.033560,0.039947,0.045731,0.055913,0.074702,0.111229,0.183922", \ "0.037048,0.043427,0.049203,0.059372,0.078149,0.114675,0.187376", \ "0.042709,0.049153,0.054965,0.065137,0.083875,0.120374,0.193065", \ "0.048899,0.055550,0.061512,0.071829,0.090637,0.127102,0.199741", \ "0.054557,0.061562,0.067806,0.078400,0.097313,0.133733,0.206308", \ "0.058794,0.066272,0.072963,0.084055,0.103342,0.139832,0.212329"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005677,0.007581,0.009483,0.013078,0.020186,0.034960,0.066111", \ "0.005675,0.007581,0.009480,0.013081,0.020179,0.034966,0.066096", \ "0.005674,0.007587,0.009492,0.013085,0.020177,0.034959,0.066095", \ "0.006383,0.008093,0.009857,0.013328,0.020312,0.035016,0.066116", \ "0.008446,0.010160,0.011839,0.015039,0.021500,0.035520,0.066188", \ "0.010583,0.012378,0.014093,0.017220,0.023374,0.036760,0.066642", \ "0.012840,0.014698,0.016482,0.019658,0.025619,0.038322,0.067351"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006925,0.010646,0.014478,0.022240,0.038589,0.072669,0.141669", \ "0.006923,0.010645,0.014476,0.022241,0.038578,0.072678,0.141652", \ "0.006912,0.010637,0.014471,0.022238,0.038587,0.072671,0.141651", \ "0.007181,0.010880,0.014672,0.022350,0.038612,0.072686,0.141681", \ "0.007812,0.011523,0.015276,0.022828,0.038872,0.072730,0.141663", \ "0.008966,0.012661,0.016338,0.023634,0.039309,0.072916,0.141725", \ "0.010530,0.014296,0.017979,0.025017,0.040195,0.073302,0.141810"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029206,0.033940,0.038030,0.044767,0.056074,0.076146,0.113445", \ "0.030797,0.035530,0.039623,0.046363,0.057666,0.077739,0.115039", \ "0.037135,0.041854,0.045939,0.052676,0.063988,0.084067,0.121368", \ "0.049623,0.054384,0.058475,0.065217,0.076546,0.096626,0.133905", \ "0.063771,0.069163,0.073767,0.081149,0.093050,0.113363,0.150533", \ "0.078420,0.084366,0.089494,0.097659,0.110508,0.131624,0.168857", \ "0.094078,0.100513,0.106113,0.115036,0.128938,0.151021,0.188320"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005871,0.007887,0.009893,0.013667,0.021118,0.035992,0.066307", \ "0.005869,0.007886,0.009897,0.013667,0.021119,0.035996,0.066287", \ "0.005869,0.007892,0.009902,0.013671,0.021121,0.035990,0.066299", \ "0.006590,0.008386,0.010259,0.013907,0.021248,0.036026,0.066283", \ "0.008767,0.010590,0.012380,0.015727,0.022483,0.036464,0.066288", \ "0.011057,0.012976,0.014824,0.018155,0.024645,0.037805,0.066546", \ "0.013460,0.015455,0.017373,0.020795,0.027148,0.039392,0.066874"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028870,0.033463,0.037407,0.043883,0.054729,0.074026,0.110919", \ "0.030463,0.035056,0.038999,0.045476,0.056320,0.075614,0.112510", \ "0.036801,0.041378,0.045313,0.051790,0.062643,0.081943,0.118841", \ "0.049208,0.053840,0.057787,0.064277,0.075141,0.094439,0.131332", \ "0.063153,0.068373,0.072803,0.079884,0.091298,0.110847,0.147686", \ "0.077545,0.083290,0.088201,0.095996,0.108221,0.128446,0.165507", \ "0.092883,0.099095,0.104453,0.112952,0.126131,0.147181,0.184575"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.032272,0.038660,0.044444,0.054627,0.073410,0.109937,0.182643", \ "0.033557,0.039944,0.045728,0.055912,0.074700,0.111228,0.183917", \ "0.037048,0.043427,0.049203,0.059374,0.078146,0.114672,0.187372", \ "0.042709,0.049151,0.054963,0.065134,0.083869,0.120373,0.193062", \ "0.048893,0.055547,0.061509,0.071825,0.090635,0.127095,0.199744", \ "0.054551,0.061559,0.067807,0.078395,0.097311,0.133733,0.206298", \ "0.058794,0.066258,0.072959,0.084059,0.103327,0.139831,0.212328"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005677,0.007581,0.009479,0.013076,0.020186,0.034961,0.066111", \ "0.005676,0.007581,0.009480,0.013081,0.020179,0.034966,0.066096", \ "0.005674,0.007589,0.009489,0.013085,0.020177,0.034959,0.066095", \ "0.006383,0.008093,0.009855,0.013328,0.020312,0.035016,0.066111", \ "0.008441,0.010161,0.011838,0.015039,0.021500,0.035517,0.066188", \ "0.010586,0.012376,0.014098,0.017222,0.023381,0.036760,0.066641", \ "0.012843,0.014698,0.016483,0.019659,0.025613,0.038319,0.067353"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006926,0.010649,0.014482,0.022240,0.038589,0.072673,0.141673", \ "0.006926,0.010645,0.014476,0.022240,0.038577,0.072678,0.141658", \ "0.006910,0.010636,0.014470,0.022234,0.038587,0.072669,0.141651", \ "0.007181,0.010879,0.014672,0.022352,0.038611,0.072688,0.141698", \ "0.007811,0.011523,0.015277,0.022827,0.038880,0.072736,0.141661", \ "0.008960,0.012665,0.016338,0.023635,0.039308,0.072923,0.141706", \ "0.010533,0.014299,0.017979,0.025010,0.040189,0.073306,0.141809"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029207,0.033944,0.038033,0.044771,0.056078,0.076149,0.113450", \ "0.030799,0.035534,0.039626,0.046364,0.057672,0.077745,0.115043", \ "0.037132,0.041853,0.045937,0.052673,0.063991,0.084068,0.121366", \ "0.049620,0.054388,0.058473,0.065217,0.076545,0.096628,0.133903", \ "0.063771,0.069164,0.073770,0.081153,0.093058,0.113368,0.150535", \ "0.078414,0.084376,0.089496,0.097662,0.110520,0.131638,0.168865", \ "0.094063,0.100504,0.106106,0.115031,0.128941,0.151023,0.188319"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005876,0.007885,0.009894,0.013667,0.021118,0.035994,0.066300", \ "0.005871,0.007889,0.009898,0.013667,0.021119,0.035996,0.066287", \ "0.005869,0.007892,0.009900,0.013672,0.021121,0.035987,0.066300", \ "0.006592,0.008387,0.010260,0.013908,0.021249,0.036026,0.066294", \ "0.008765,0.010590,0.012380,0.015727,0.022485,0.036464,0.066290", \ "0.011056,0.012975,0.014820,0.018154,0.024643,0.037805,0.066539", \ "0.013462,0.015456,0.017374,0.020798,0.027147,0.039391,0.066875"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029025,0.033594,0.037529,0.043999,0.054841,0.074132,0.110997", \ "0.030618,0.035187,0.039120,0.045593,0.056437,0.075726,0.112594", \ "0.036949,0.041505,0.045428,0.051902,0.062752,0.082048,0.118921", \ "0.049363,0.053967,0.057902,0.064385,0.075248,0.094548,0.131412", \ "0.063349,0.068532,0.072938,0.080006,0.091407,0.110963,0.147784", \ "0.077756,0.083455,0.088340,0.096106,0.108329,0.128544,0.165613", \ "0.093093,0.099266,0.104594,0.113067,0.126185,0.147253,0.184650"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.150306,0.156701,0.162474,0.172598,0.191313,0.227765,0.300407", \ "0.151609,0.158003,0.163763,0.173904,0.192609,0.229055,0.301718", \ "0.154686,0.161080,0.166837,0.176972,0.195679,0.232147,0.304782", \ "0.159758,0.166159,0.171922,0.182058,0.200763,0.237218,0.309874", \ "0.167033,0.173542,0.179287,0.189378,0.208066,0.244520,0.317157", \ "0.175615,0.182029,0.187763,0.197896,0.216565,0.253024,0.325662", \ "0.184805,0.191389,0.197129,0.207396,0.226086,0.262557,0.335200"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005665,0.007559,0.009461,0.013067,0.020182,0.034945,0.066094", \ "0.005666,0.007561,0.009464,0.013069,0.020170,0.034949,0.066092", \ "0.005662,0.007563,0.009469,0.013077,0.020170,0.034940,0.066082", \ "0.006346,0.008048,0.009825,0.013312,0.020306,0.035000,0.066107", \ "0.008395,0.010097,0.011783,0.015002,0.021478,0.035504,0.066197", \ "0.010521,0.012298,0.014014,0.017165,0.023350,0.036751,0.066668", \ "0.012758,0.014591,0.016372,0.019570,0.025571,0.038301,0.067334"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007184,0.010830,0.014610,0.022316,0.038590,0.072609,0.141596", \ "0.007185,0.010830,0.014611,0.022315,0.038588,0.072603,0.141652", \ "0.007188,0.010826,0.014612,0.022312,0.038588,0.072609,0.141595", \ "0.007188,0.010828,0.014610,0.022317,0.038591,0.072604,0.141660", \ "0.007184,0.010828,0.014614,0.022317,0.038581,0.072619,0.141606", \ "0.007178,0.010820,0.014606,0.022311,0.038575,0.072630,0.141599", \ "0.007371,0.011048,0.014832,0.022504,0.038706,0.072630,0.141668"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029349,0.034038,0.038096,0.044783,0.055983,0.075801,0.112927", \ "0.030940,0.035630,0.039690,0.046376,0.057576,0.077399,0.114520", \ "0.037271,0.041943,0.045995,0.052680,0.063890,0.083715,0.120842", \ "0.049764,0.054476,0.058528,0.065224,0.076448,0.096283,0.133404", \ "0.063937,0.069260,0.073814,0.081126,0.092909,0.112992,0.150073", \ "0.078558,0.084430,0.089495,0.097586,0.110274,0.131131,0.168498", \ "0.094105,0.100477,0.106014,0.114894,0.128636,0.150465,0.188229"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005827,0.007816,0.009813,0.013546,0.020880,0.035633,0.066348", \ "0.005830,0.007816,0.009813,0.013547,0.020880,0.035633,0.066337", \ "0.005823,0.007821,0.009819,0.013550,0.020882,0.035633,0.066345", \ "0.006503,0.008291,0.010163,0.013781,0.021007,0.035676,0.066368", \ "0.008635,0.010440,0.012228,0.015559,0.022225,0.036175,0.066471", \ "0.010856,0.012772,0.014617,0.017930,0.024311,0.037575,0.066947", \ "0.013200,0.015203,0.017142,0.020554,0.026799,0.039353,0.067723"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029025,0.033594,0.037529,0.043999,0.054841,0.074132,0.110997", \ "0.030618,0.035187,0.039120,0.045593,0.056437,0.075726,0.112594", \ "0.036949,0.041505,0.045428,0.051902,0.062752,0.082048,0.118921", \ "0.049363,0.053967,0.057902,0.064385,0.075248,0.094548,0.131411", \ "0.063349,0.068532,0.072938,0.080005,0.091407,0.110963,0.147784", \ "0.077756,0.083455,0.088340,0.096106,0.108329,0.128544,0.165613", \ "0.093093,0.099266,0.104594,0.113067,0.126185,0.147251,0.184650"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.150298,0.156690,0.162462,0.172589,0.191303,0.227754,0.300395", \ "0.151597,0.157990,0.163754,0.173890,0.192597,0.229039,0.301691", \ "0.154675,0.161068,0.166827,0.176969,0.195671,0.232122,0.304770", \ "0.159741,0.166142,0.171906,0.182046,0.200744,0.237200,0.309853", \ "0.167019,0.173524,0.179271,0.189363,0.208052,0.244547,0.317143", \ "0.175592,0.182016,0.187747,0.197880,0.216551,0.252997,0.325642", \ "0.184788,0.191364,0.197112,0.207376,0.226070,0.262545,0.335186"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005665,0.007559,0.009461,0.013067,0.020181,0.034945,0.066094", \ "0.005666,0.007561,0.009464,0.013070,0.020170,0.034949,0.066091", \ "0.005662,0.007563,0.009469,0.013077,0.020170,0.034939,0.066082", \ "0.006346,0.008048,0.009825,0.013312,0.020306,0.035000,0.066106", \ "0.008395,0.010097,0.011783,0.015002,0.021478,0.035504,0.066197", \ "0.010521,0.012298,0.014014,0.017165,0.023349,0.036751,0.066668", \ "0.012758,0.014591,0.016372,0.019570,0.025571,0.038301,0.067333"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007184,0.010830,0.014611,0.022316,0.038590,0.072610,0.141597", \ "0.007185,0.010828,0.014613,0.022315,0.038588,0.072611,0.141615", \ "0.007188,0.010826,0.014611,0.022311,0.038585,0.072620,0.141595", \ "0.007188,0.010826,0.014612,0.022317,0.038588,0.072616,0.141621", \ "0.007184,0.010828,0.014612,0.022317,0.038580,0.072603,0.141605", \ "0.007178,0.010819,0.014607,0.022309,0.038575,0.072630,0.141601", \ "0.007372,0.011048,0.014833,0.022504,0.038707,0.072630,0.141665"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029349,0.034038,0.038096,0.044783,0.055983,0.075801,0.112926", \ "0.030940,0.035630,0.039690,0.046376,0.057576,0.077399,0.114520", \ "0.037271,0.041943,0.045995,0.052680,0.063890,0.083715,0.120842", \ "0.049764,0.054476,0.058528,0.065224,0.076448,0.096282,0.133404", \ "0.063937,0.069260,0.073814,0.081126,0.092909,0.112992,0.150073", \ "0.078557,0.084430,0.089495,0.097586,0.110274,0.131131,0.168498", \ "0.094105,0.100477,0.106014,0.114894,0.128636,0.150465,0.188229"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005827,0.007816,0.009813,0.013546,0.020880,0.035633,0.066349", \ "0.005830,0.007816,0.009813,0.013547,0.020880,0.035633,0.066337", \ "0.005823,0.007821,0.009819,0.013550,0.020882,0.035633,0.066345", \ "0.006503,0.008291,0.010163,0.013781,0.021007,0.035676,0.066368", \ "0.008635,0.010440,0.012228,0.015559,0.022225,0.036175,0.066472", \ "0.010856,0.012772,0.014617,0.017930,0.024311,0.037575,0.066945", \ "0.013200,0.015203,0.017142,0.020554,0.026799,0.039353,0.067731"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.172731,0.180049,0.186488,0.197119,0.215934,0.252253,0.324689", \ "0.174236,0.181551,0.187989,0.198622,0.217434,0.253746,0.326187", \ "0.180180,0.187497,0.193941,0.204570,0.223386,0.259699,0.332153", \ "0.190017,0.197339,0.203781,0.214415,0.233229,0.269552,0.342000", \ "0.204925,0.212236,0.218677,0.229298,0.248093,0.284406,0.356837", \ "0.226551,0.233852,0.240287,0.250903,0.269684,0.305961,0.378369", \ "0.254601,0.261953,0.268429,0.279079,0.297884,0.334122,0.406490"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.009998,0.013614,0.017047,0.023894,0.039285,0.072881,0.141544", \ "0.009999,0.013616,0.017046,0.023894,0.039287,0.072879,0.141549", \ "0.010000,0.013617,0.017044,0.023893,0.039289,0.072892,0.141540", \ "0.009997,0.013614,0.017044,0.023889,0.039286,0.072890,0.141555", \ "0.010000,0.013614,0.017039,0.023892,0.039290,0.072885,0.141547", \ "0.010007,0.013626,0.017051,0.023898,0.039289,0.072884,0.141545", \ "0.010201,0.013803,0.017220,0.024006,0.039331,0.072899,0.141555"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.172771,0.180086,0.186522,0.197150,0.215960,0.252273,0.324696", \ "0.174280,0.181590,0.188032,0.198664,0.217465,0.253772,0.326201", \ "0.180226,0.187542,0.193982,0.204621,0.223427,0.259727,0.332171", \ "0.190022,0.197338,0.203782,0.214421,0.233235,0.269551,0.341987", \ "0.204901,0.212210,0.218648,0.229295,0.248070,0.284379,0.356798", \ "0.226501,0.233817,0.240249,0.250869,0.269622,0.305898,0.378300", \ "0.254543,0.261911,0.268397,0.279010,0.297785,0.334020,0.406366"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.010005,0.013621,0.017052,0.023899,0.039290,0.072881,0.141553", \ "0.010005,0.013622,0.017053,0.023899,0.039289,0.072895,0.141543", \ "0.010005,0.013623,0.017049,0.023899,0.039291,0.072896,0.141540", \ "0.010004,0.013620,0.017059,0.023893,0.039287,0.072888,0.141555", \ "0.010002,0.013620,0.017052,0.023893,0.039291,0.072879,0.141548", \ "0.010011,0.013629,0.017057,0.023901,0.039290,0.072880,0.141544", \ "0.010204,0.013809,0.017227,0.024011,0.039332,0.072897,0.141556"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.165209,0.171635,0.177440,0.187601,0.206295,0.242806,0.315418", \ "0.166632,0.173055,0.178852,0.189001,0.207706,0.244218,0.316823", \ "0.172836,0.179271,0.185058,0.195218,0.213901,0.250412,0.323028", \ "0.181952,0.188379,0.194171,0.204322,0.223011,0.259523,0.332135", \ "0.191994,0.198437,0.204222,0.214365,0.233074,0.269576,0.342185", \ "0.203323,0.209753,0.215542,0.225671,0.244406,0.280929,0.353521", \ "0.216280,0.222700,0.228487,0.238606,0.257360,0.293879,0.366469"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007232,0.010882,0.014661,0.022354,0.038618,0.072607,0.141439", \ "0.007223,0.010871,0.014652,0.022352,0.038615,0.072608,0.141437", \ "0.007212,0.010862,0.014647,0.022344,0.038609,0.072614,0.141442", \ "0.007213,0.010862,0.014644,0.022342,0.038605,0.072603,0.141447", \ "0.007213,0.010859,0.014643,0.022341,0.038606,0.072604,0.141445", \ "0.007209,0.010859,0.014643,0.022341,0.038602,0.072592,0.141433", \ "0.007212,0.010858,0.014639,0.022329,0.038596,0.072571,0.141433"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.165315,0.171738,0.177541,0.187704,0.206388,0.242882,0.315478", \ "0.166735,0.173156,0.178954,0.189102,0.207788,0.244284,0.316887", \ "0.172937,0.179368,0.185156,0.195311,0.213987,0.250487,0.323086", \ "0.182046,0.188474,0.194263,0.204404,0.223095,0.259593,0.332192", \ "0.192087,0.198524,0.204312,0.214445,0.233150,0.269647,0.342239", \ "0.203401,0.209829,0.215618,0.225744,0.244475,0.280987,0.353566", \ "0.216347,0.222773,0.228554,0.238671,0.257420,0.293934,0.366513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007235,0.010884,0.014664,0.022355,0.038617,0.072607,0.141443", \ "0.007223,0.010871,0.014656,0.022353,0.038614,0.072621,0.141435", \ "0.007214,0.010866,0.014647,0.022345,0.038610,0.072616,0.141442", \ "0.007212,0.010859,0.014645,0.022343,0.038602,0.072607,0.141448", \ "0.007213,0.010862,0.014645,0.022343,0.038607,0.072609,0.141446", \ "0.007211,0.010859,0.014645,0.022343,0.038605,0.072588,0.141432", \ "0.007212,0.010859,0.014640,0.022328,0.038598,0.072574,0.141433"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.893920,7.907707,8.005418,8.035806,8.082411,8.136988,8.093054", \ "7.858755,7.937866,7.963798,8.027995,8.074619,8.126317,7.988757", \ "7.874771,7.953662,7.979939,8.031907,8.058742,8.037695,8.139900", \ "7.900536,7.979696,8.057476,8.107405,8.084625,8.203792,8.097364", \ "8.114733,8.127762,8.231199,8.240903,8.217368,8.337736,8.382026", \ "8.378717,8.474455,8.531595,8.524424,8.501835,8.482119,8.629495", \ "8.851445,8.916879,9.008445,9.027628,8.995202,9.114224,8.955572"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.889408,7.942736,8.014775,8.212429,8.381021,8.332548,8.436508", \ "7.880123,7.927254,7.993803,8.224056,8.328347,8.389275,8.232927", \ "7.875467,7.919835,8.007892,8.202115,8.374379,8.381459,8.341190", \ "7.931796,7.996555,8.065928,8.268092,8.389291,8.491914,8.396743", \ "8.097584,8.148379,8.227923,8.439587,8.549682,8.590248,8.603589", \ "8.417108,8.469617,8.532803,8.737727,8.852830,8.960978,8.814942", \ "8.905995,8.936440,8.995803,9.211193,9.351303,9.450442,9.410732"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.499457,5.805303,6.100896,6.415208,6.628942,6.697337,6.728244", \ "5.463300,5.768740,6.052483,6.358858,6.598775,6.664936,6.695475", \ "5.465728,5.754845,6.039201,6.338126,6.578121,6.663375,6.695597", \ "5.804490,6.046850,6.258585,6.535764,6.732103,6.823269,6.864311", \ "6.345258,6.489707,6.645273,6.984750,7.207394,7.276005,7.308521", \ "7.347391,7.332120,7.349871,7.562347,7.854639,8.040127,8.046727", \ "8.743858,8.593011,8.545422,8.624431,8.824545,8.992772,9.103071"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.394646,4.844672,5.109222,5.228763,5.422135,4.982622,5.002034", \ "4.372635,4.849741,4.985203,5.254814,5.286130,5.511861,5.550620", \ "4.316244,4.799788,4.924216,5.119167,5.307561,5.222365,4.944061", \ "4.455119,4.892801,5.034685,5.171676,5.176538,5.394465,4.873546", \ "4.844676,5.231378,5.305439,5.376483,5.509896,5.042245,5.308134", \ "5.551998,5.883943,5.962471,6.110397,5.993638,5.808104,5.519530", \ "6.508043,6.700270,6.913824,6.975768,6.871517,6.914605,6.781511"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.188540,6.307992,6.529827,6.730942,6.945152,6.861424,7.038640", \ "6.147779,6.341941,6.527002,6.720461,6.874064,6.993968,6.936243", \ "6.121647,6.301751,6.488306,6.737189,6.873597,6.725248,6.858462", \ "6.355157,6.501598,6.635309,6.841575,6.982250,6.853618,6.819537", \ "6.870683,6.928161,7.002345,7.089655,7.233404,7.234649,7.055459", \ "7.529431,7.528502,7.504111,7.651388,7.704839,7.544300,7.517063", \ "8.393315,8.309652,8.276321,8.235022,8.206824,8.187739,8.138107"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.544132,5.825296,6.108429,6.436228,6.648231,6.716696,6.747597", \ "5.485854,5.790993,6.074435,6.380333,6.619994,6.685979,6.716292", \ "5.469939,5.786834,6.058322,6.356961,6.599469,6.684797,6.717020", \ "5.827238,6.068799,6.288263,6.557474,6.753386,6.844431,6.881519", \ "6.377100,6.512234,6.697497,7.004598,7.228615,7.310533,7.329768", \ "7.367475,7.353940,7.402739,7.602281,7.884403,8.061332,8.067877", \ "8.746259,8.654711,8.570907,8.648765,8.845844,9.026610,9.126881"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.373484,4.890167,5.100781,5.221348,5.415325,4.973864,5.002589", \ "4.363498,4.841074,4.976919,5.247194,5.279234,5.505020,5.543578", \ "4.364067,4.783542,4.915670,5.111466,5.299820,5.121033,4.936178", \ "4.445795,4.845444,5.026420,5.164440,5.168662,5.386651,5.480294", \ "4.842628,5.228981,5.297167,5.369110,5.502754,5.030711,5.301250", \ "5.543000,5.874643,5.953554,6.102814,5.985846,5.804379,5.509230", \ "6.505488,6.692801,6.905316,7.114860,6.865430,6.905015,6.772764"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.284874,6.404062,6.623296,6.827047,6.976811,6.957743,7.133149", \ "6.242057,6.435825,6.621366,6.815009,6.955659,7.087858,6.957322", \ "6.242059,6.376786,6.596173,6.830675,6.961210,6.819092,6.835395", \ "6.448397,6.594854,6.675295,6.939872,7.076352,7.091092,7.184538", \ "6.962807,7.030793,7.095067,7.182548,7.327462,7.327803,7.146926", \ "7.620554,7.584874,7.594494,7.737552,7.798212,7.636247,7.607198", \ "8.483518,8.399050,8.366111,8.357619,8.388510,8.280027,8.228995"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.840232,6.183578,6.522969,6.935891,7.343848,7.836180,10.074880", \ "5.799079,6.149579,6.480065,6.901483,7.309056,7.788369,10.082910", \ "5.800782,6.125236,6.464962,6.867068,7.282204,7.775617,10.076300", \ "6.151650,6.443046,6.710006,7.080290,7.463054,7.975525,10.312220", \ "6.731501,6.913861,7.112912,7.546744,7.958703,8.435378,10.848380", \ "7.764167,7.781535,7.850513,8.165949,8.621271,9.197238,11.499980", \ "9.248788,9.152064,9.105823,9.240130,9.618259,10.142140,12.227520"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.203170,8.649249,8.869134,9.027373,8.851537,8.943251,8.951602", \ "8.100677,8.658254,8.766227,8.916205,9.069725,8.699103,8.862699", \ "8.138131,8.523751,8.838219,8.887934,8.876350,8.763036,9.022227", \ "8.156987,8.634982,8.822688,9.006693,8.831687,8.719327,9.054795", \ "8.091195,8.587029,8.866013,8.929855,8.920694,8.858004,9.225560", \ "8.216465,8.700196,8.849896,9.039453,9.035223,8.924584,8.801270", \ "8.367854,8.811246,8.954952,9.113431,8.931461,8.814178,8.885181"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.059420,6.198101,6.276222,6.412956,6.929205,8.870645,9.338287", \ "6.077017,6.196347,6.231613,6.399841,6.998366,8.971459,9.203919", \ "6.037669,6.143033,6.243856,6.416842,7.025642,8.844432,9.040113", \ "6.297260,6.348201,6.376952,6.511249,7.153688,8.915530,9.128303", \ "6.842275,6.763549,6.812274,6.819195,7.457631,9.264829,9.387976", \ "7.471974,7.403515,7.327503,7.379913,7.787947,9.716210,9.885279", \ "8.318792,8.162958,8.044109,7.977658,8.246509,10.240290,10.602920"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.840245,6.183583,6.522954,6.937238,7.343084,7.835871,10.074380", \ "5.799095,6.149541,6.479952,6.902848,7.309012,7.788070,10.082350", \ "5.800778,6.125222,6.464940,6.867011,7.282104,7.775326,10.076040", \ "6.151620,6.443006,6.709948,7.081668,7.459286,7.974983,10.306530", \ "6.731427,6.913753,7.112799,7.546591,7.958472,8.434921,10.848490", \ "7.764019,7.781359,7.894184,8.167469,8.622025,9.194515,11.499530", \ "9.248281,9.151714,9.105565,9.239124,9.617965,10.118320,12.228200"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.204568,8.654361,8.870467,8.870015,8.856761,8.946686,8.952973", \ "8.102279,8.623933,8.767814,8.921475,9.074856,8.627882,8.847377", \ "8.139492,8.525477,8.805805,8.892834,8.881192,8.987127,9.023976", \ "8.158892,8.545828,8.824705,9.012197,8.837782,8.724193,9.046338", \ "8.090969,8.589048,8.867870,8.935420,8.926427,8.810604,9.228061", \ "8.214577,8.702212,8.851756,9.045197,9.061872,8.929625,8.805042", \ "8.332221,8.814566,8.956971,9.119762,8.938424,8.820447,8.889104"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.059895,6.197673,6.276526,6.413277,6.930869,8.932324,9.334364", \ "6.077292,6.196629,6.231912,6.400170,6.937756,8.970554,9.183051", \ "6.037955,6.143328,6.244152,6.417168,7.029570,8.895724,9.036310", \ "6.298039,6.348500,6.377250,6.511596,7.155331,8.881888,9.124587", \ "6.842618,6.763838,6.812562,6.819540,7.459223,9.320327,9.384324", \ "7.431716,7.399554,7.327737,7.380183,7.800485,9.670233,9.881918", \ "8.318914,8.238970,8.044293,7.977839,8.247530,10.240000,10.599750"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.017039,8.108903,8.215113,8.269786,8.366682,8.325828,8.047478", \ "7.975475,8.098181,8.153603,8.291224,8.337229,8.154835,8.191459", \ "7.988952,8.081229,8.198564,8.224870,8.255496,8.216518,8.109447", \ "8.304076,8.306714,8.391834,8.453440,8.389227,8.349636,8.466178", \ "8.977355,8.884598,8.911818,8.928045,8.920531,8.720917,8.625554", \ "9.941141,9.791055,9.752904,9.658002,9.537877,9.606864,9.532722", \ "11.302440,11.067300,10.944930,10.840950,10.735160,10.628260,10.480150"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.346088,7.450799,7.540058,7.596164,7.694831,7.655129,7.378923", \ "7.324224,7.443986,7.499548,7.587287,7.685602,7.503684,7.543565", \ "7.330745,7.419618,7.537424,7.564245,7.595736,7.559484,7.453353", \ "7.562323,7.571046,7.650870,7.702926,7.648898,7.610380,7.728811", \ "8.065281,7.972895,8.001380,8.017217,8.011557,7.860643,7.719060", \ "8.750897,8.602997,8.564916,8.471526,8.355758,8.426755,8.225316", \ "9.720511,9.493073,9.402249,9.263215,9.160833,9.054651,8.910808"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.672472,8.833288,8.961661,9.047942,9.074120,8.897199,9.167804", \ "8.617590,8.780590,8.925347,9.021016,9.047442,9.018293,8.977500", \ "8.658800,8.811475,8.862866,8.987439,8.945340,9.061151,8.876454", \ "8.928887,9.063212,9.137869,9.183033,9.128731,9.241926,9.282971", \ "9.590151,9.574585,9.629782,9.630830,9.656184,9.645347,9.420873", \ "10.561050,10.464630,10.363200,10.376110,10.254970,10.324880,10.181100", \ "11.745370,11.602370,11.521150,11.426210,11.225730,11.320720,11.162140"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.669019,8.853478,8.959624,9.047425,9.100941,9.189828,9.176619", \ "8.614040,8.784654,8.902371,9.020141,9.049427,9.022740,8.986114", \ "8.655782,8.804837,8.927831,8.986743,9.088290,9.066193,8.884905", \ "8.925534,9.011737,9.141429,9.182281,9.271490,9.246129,9.291423", \ "9.587084,9.609318,9.605581,9.630497,9.691156,9.649398,9.429257", \ "10.558810,10.463120,10.428770,10.376170,10.340580,10.330150,10.214220", \ "11.743380,11.603320,11.520150,11.429510,11.368880,11.296680,11.170210"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.103941,0.107200,0.110307,0.115897,0.125925,0.144584,0.181156", \ "0.105433,0.108692,0.111799,0.117389,0.127413,0.146073,0.182651", \ "0.110418,0.113675,0.116782,0.122373,0.132397,0.151058,0.187642", \ "0.115729,0.118986,0.122094,0.127683,0.137708,0.156369,0.192945", \ "0.119568,0.122822,0.125924,0.131519,0.141539,0.160208,0.196789", \ "0.121916,0.125169,0.128275,0.133871,0.143875,0.162541,0.199128", \ "0.122399,0.125649,0.128752,0.134344,0.144366,0.163037,0.199627"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.111190,0.116419,0.121189,0.130060,0.147828,0.183824,0.256238", \ "0.112674,0.117901,0.122673,0.131537,0.149310,0.185303,0.257717", \ "0.117672,0.122901,0.127673,0.136543,0.154313,0.190308,0.262720", \ "0.123135,0.128361,0.133136,0.142002,0.159780,0.195777,0.268188", \ "0.127336,0.132565,0.137344,0.146209,0.163980,0.199969,0.272384", \ "0.130067,0.135295,0.140062,0.148935,0.166704,0.202697,0.275112", \ "0.130816,0.136068,0.140810,0.149689,0.167468,0.203440,0.275872"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00555723,0.00740394,0.00917283,0.0125877,0.0196080,0.0345496,0.0659713", \ "0.00555507,0.00740757,0.00917202,0.0125853,0.0196110,0.0345496,0.0659845", \ "0.00555173,0.00740800,0.00917422,0.0125858,0.0196055,0.0345539,0.0659795", \ "0.00555550,0.00740622,0.00917108,0.0125891,0.0196074,0.0345536,0.0659726", \ "0.00555521,0.00740706,0.00917140,0.0125907,0.0196094,0.0345522,0.0659726", \ "0.00556025,0.00740801,0.00917517,0.0125869,0.0196155,0.0345431,0.0659728", \ "0.00556071,0.00741125,0.00917810,0.0125908,0.0196101,0.0345501,0.0659964"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00631192,0.00989181,0.0137329,0.0215841,0.0381533,0.0723876,0.141352", \ "0.00631382,0.00989033,0.0137385,0.0215841,0.0381511,0.0723877,0.141347", \ "0.00631223,0.00989150,0.0137348,0.0215864,0.0381536,0.0723844,0.141352", \ "0.00631087,0.00989070,0.0137337,0.0215871,0.0381510,0.0723842,0.141350", \ "0.00631208,0.00989088,0.0137325,0.0215841,0.0381479,0.0723840,0.141351", \ "0.00631173,0.00989183,0.0137352,0.0215859,0.0381491,0.0723855,0.141349", \ "0.00631114,0.00989069,0.0137383,0.0215858,0.0381483,0.0723860,0.141345"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.059883,0.065152,0.069939,0.078818,0.096591,0.132594,0.205011", \ "0.061473,0.066743,0.071531,0.080411,0.098180,0.134184,0.206607", \ "0.067770,0.073039,0.077829,0.086709,0.104478,0.140479,0.212902", \ "0.080407,0.085638,0.090398,0.099234,0.116967,0.152943,0.225349", \ "0.097636,0.102638,0.107174,0.115711,0.133166,0.168943,0.241248", \ "0.115933,0.120797,0.125096,0.133226,0.150292,0.185794,0.257930", \ "0.135203,0.140011,0.144115,0.151843,0.168486,0.203691,0.275641"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006346,0.009919,0.013761,0.021603,0.038165,0.072393,0.141348", \ "0.006347,0.009924,0.013761,0.021603,0.038166,0.072392,0.141344", \ "0.006347,0.009924,0.013761,0.021603,0.038169,0.072393,0.141348", \ "0.006374,0.009938,0.013770,0.021612,0.038173,0.072392,0.141350", \ "0.006556,0.010095,0.013902,0.021688,0.038200,0.072401,0.141350", \ "0.006920,0.010419,0.014152,0.021837,0.038268,0.072414,0.141344", \ "0.007337,0.010816,0.014483,0.022024,0.038349,0.072436,0.141354"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.059885,0.065154,0.069943,0.078821,0.096594,0.132596,0.205015", \ "0.061476,0.066745,0.071534,0.080412,0.098186,0.134190,0.206608", \ "0.067769,0.073038,0.077827,0.086705,0.104479,0.140483,0.212903", \ "0.080404,0.085641,0.090399,0.099235,0.116967,0.152943,0.225350", \ "0.097636,0.102640,0.107177,0.115714,0.133177,0.168950,0.241253", \ "0.115929,0.120807,0.125099,0.133229,0.150305,0.185808,0.257944", \ "0.135190,0.140003,0.144109,0.151840,0.168491,0.203696,0.275641"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006345,0.009920,0.013761,0.021603,0.038165,0.072391,0.141347", \ "0.006347,0.009921,0.013762,0.021601,0.038166,0.072392,0.141344", \ "0.006352,0.009920,0.013761,0.021603,0.038168,0.072392,0.141348", \ "0.006374,0.009937,0.013773,0.021612,0.038172,0.072397,0.141346", \ "0.006557,0.010095,0.013902,0.021688,0.038203,0.072401,0.141350", \ "0.006920,0.010415,0.014153,0.021840,0.038268,0.072413,0.141345", \ "0.007337,0.010820,0.014483,0.022021,0.038349,0.072436,0.141354"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.059844,0.065094,0.069876,0.078761,0.096537,0.132537,0.204956", \ "0.061435,0.066684,0.071467,0.080353,0.098130,0.134133,0.206549", \ "0.067722,0.072973,0.077758,0.086641,0.104419,0.140419,0.212834", \ "0.080352,0.085571,0.090326,0.099172,0.116910,0.152884,0.225288", \ "0.097548,0.102527,0.107060,0.115601,0.133064,0.168849,0.241149", \ "0.115766,0.120602,0.124888,0.133031,0.150089,0.185615,0.257757", \ "0.134929,0.139713,0.143804,0.151565,0.168192,0.203409,0.275369"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006319,0.009898,0.013742,0.021590,0.038150,0.072385,0.141353", \ "0.006320,0.009902,0.013744,0.021587,0.038150,0.072383,0.141348", \ "0.006320,0.009896,0.013742,0.021589,0.038155,0.072383,0.141354", \ "0.006339,0.009914,0.013756,0.021597,0.038153,0.072381,0.141354", \ "0.006523,0.010068,0.013878,0.021674,0.038183,0.072390,0.141353", \ "0.006878,0.010375,0.014119,0.021814,0.038244,0.072409,0.141354", \ "0.007292,0.010771,0.014442,0.021991,0.038319,0.072439,0.141362"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.059844,0.065094,0.069876,0.078761,0.096537,0.132538,0.204956", \ "0.061435,0.066684,0.071467,0.080353,0.098130,0.134133,0.206549", \ "0.067722,0.072973,0.077758,0.086641,0.104419,0.140419,0.212834", \ "0.080352,0.085571,0.090326,0.099172,0.116910,0.152884,0.225288", \ "0.097548,0.102527,0.107060,0.115601,0.133064,0.168849,0.241149", \ "0.115766,0.120602,0.124888,0.133030,0.150089,0.185615,0.257757", \ "0.134929,0.139713,0.143804,0.151565,0.168192,0.203408,0.275369"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006319,0.009899,0.013742,0.021590,0.038150,0.072384,0.141353", \ "0.006320,0.009902,0.013744,0.021587,0.038150,0.072382,0.141349", \ "0.006320,0.009896,0.013742,0.021589,0.038155,0.072382,0.141354", \ "0.006339,0.009914,0.013756,0.021597,0.038153,0.072382,0.141354", \ "0.006523,0.010068,0.013878,0.021674,0.038182,0.072391,0.141353", \ "0.006878,0.010374,0.014119,0.021814,0.038244,0.072409,0.141353", \ "0.007292,0.010770,0.014442,0.021991,0.038319,0.072439,0.141362"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025024,0.029230,0.032881,0.038956,0.049345,0.068281,0.105056", \ "0.026602,0.030807,0.034459,0.040535,0.050924,0.069866,0.106635", \ "0.032989,0.037173,0.040816,0.046892,0.057290,0.076233,0.113012", \ "0.044793,0.049141,0.052882,0.059026,0.069462,0.088403,0.125152", \ "0.057462,0.062345,0.066520,0.073260,0.084244,0.103457,0.140158", \ "0.070749,0.076108,0.080722,0.088102,0.099807,0.119520,0.156374", \ "0.085112,0.090889,0.095910,0.103955,0.116510,0.136919,0.173949"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.026498,0.032347,0.037765,0.047565,0.066093,0.102515,0.175122", \ "0.027779,0.033625,0.039043,0.048844,0.067374,0.103802,0.176409", \ "0.031469,0.037299,0.042701,0.052481,0.070995,0.107418,0.180037", \ "0.037124,0.043093,0.048559,0.058365,0.076844,0.113244,0.185844", \ "0.042806,0.049066,0.054688,0.064594,0.083095,0.119463,0.192005", \ "0.047386,0.054109,0.060067,0.070253,0.088834,0.125115,0.197618", \ "0.050373,0.057570,0.064059,0.074768,0.093677,0.130068,0.202502"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005017,0.006837,0.008704,0.012283,0.019470,0.034529,0.065965", \ "0.005016,0.006838,0.008702,0.012283,0.019473,0.034527,0.065970", \ "0.005020,0.006853,0.008720,0.012295,0.019480,0.034532,0.065971", \ "0.006063,0.007678,0.009349,0.012689,0.019678,0.034592,0.065979", \ "0.008057,0.009649,0.011269,0.014407,0.020906,0.035138,0.066057", \ "0.010139,0.011765,0.013411,0.016469,0.022591,0.036181,0.066489", \ "0.012363,0.014014,0.015709,0.018795,0.024691,0.037560,0.067073"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005913,0.009538,0.013426,0.021404,0.038113,0.072394,0.141463", \ "0.005912,0.009542,0.013424,0.021401,0.038102,0.072386,0.141439", \ "0.005908,0.009543,0.013431,0.021402,0.038105,0.072402,0.141456", \ "0.006353,0.009935,0.013743,0.021588,0.038155,0.072405,0.141447", \ "0.007241,0.010740,0.014420,0.022050,0.038402,0.072500,0.141443", \ "0.008600,0.012109,0.015627,0.022887,0.038816,0.072656,0.141526", \ "0.010268,0.013886,0.017414,0.024328,0.039705,0.073089,0.141642"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025008,0.029222,0.032875,0.038956,0.049350,0.068293,0.105099", \ "0.026588,0.030800,0.034453,0.040534,0.050929,0.069875,0.106678", \ "0.032975,0.037164,0.040809,0.046889,0.057290,0.076240,0.113052", \ "0.044778,0.049133,0.052875,0.059027,0.069466,0.088417,0.125218", \ "0.057438,0.062328,0.066512,0.073248,0.084242,0.103468,0.140217", \ "0.070733,0.076104,0.080725,0.088100,0.099803,0.119528,0.156427", \ "0.085094,0.090898,0.095917,0.103954,0.116514,0.136944,0.174021"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005025,0.006846,0.008708,0.012287,0.019475,0.034535,0.066139", \ "0.005026,0.006848,0.008711,0.012285,0.019476,0.034531,0.066141", \ "0.005030,0.006859,0.008724,0.012299,0.019480,0.034538,0.066142", \ "0.006075,0.007685,0.009351,0.012691,0.019678,0.034603,0.066170", \ "0.008077,0.009661,0.011280,0.014413,0.020908,0.035138,0.066251", \ "0.010163,0.011783,0.013421,0.016471,0.022592,0.036189,0.066641", \ "0.012384,0.014034,0.015723,0.018807,0.024697,0.037559,0.067197"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025007,0.029214,0.032864,0.038940,0.049328,0.068267,0.105038", \ "0.026587,0.030793,0.034443,0.040519,0.050909,0.069851,0.106619", \ "0.032974,0.037159,0.040802,0.046879,0.057277,0.076224,0.113002", \ "0.044781,0.049132,0.052871,0.059014,0.069445,0.088389,0.125140", \ "0.057443,0.062324,0.066503,0.073234,0.084224,0.103437,0.140132", \ "0.070717,0.076068,0.080681,0.088067,0.099767,0.119483,0.156330", \ "0.085067,0.090845,0.095867,0.103898,0.116463,0.136883,0.173911"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.026488,0.032338,0.037757,0.047557,0.066089,0.102510,0.175109", \ "0.027769,0.033615,0.039032,0.048833,0.067366,0.103793,0.176391", \ "0.031453,0.037282,0.042680,0.052461,0.070979,0.107396,0.180018", \ "0.037090,0.043062,0.048526,0.058332,0.076814,0.113212,0.185812", \ "0.042768,0.049026,0.054652,0.064558,0.083057,0.119422,0.191970", \ "0.047339,0.054062,0.060023,0.070207,0.088786,0.125070,0.197574", \ "0.050326,0.057523,0.064008,0.074730,0.093624,0.130019,0.202449"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005017,0.006836,0.008703,0.012281,0.019477,0.034530,0.065969", \ "0.005016,0.006837,0.008704,0.012282,0.019474,0.034525,0.065974", \ "0.005022,0.006852,0.008719,0.012291,0.019474,0.034528,0.065969", \ "0.006067,0.007676,0.009349,0.012688,0.019679,0.034594,0.065974", \ "0.008059,0.009645,0.011269,0.014407,0.020910,0.035137,0.066067", \ "0.010139,0.011766,0.013410,0.016464,0.022597,0.036189,0.066496", \ "0.012365,0.014013,0.015710,0.018795,0.024684,0.037561,0.067071"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005914,0.009538,0.013426,0.021403,0.038106,0.072393,0.141450", \ "0.005911,0.009540,0.013424,0.021400,0.038103,0.072381,0.141440", \ "0.005912,0.009542,0.013427,0.021404,0.038102,0.072410,0.141465", \ "0.006351,0.009935,0.013744,0.021589,0.038154,0.072409,0.141451", \ "0.007239,0.010739,0.014414,0.022049,0.038407,0.072501,0.141439", \ "0.008598,0.012106,0.015629,0.022889,0.038822,0.072656,0.141520", \ "0.010264,0.013885,0.017413,0.024325,0.039704,0.073088,0.141649"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024994,0.029205,0.032857,0.038933,0.049325,0.068265,0.105069", \ "0.026574,0.030784,0.034435,0.040514,0.050904,0.069854,0.106654", \ "0.032964,0.037152,0.040795,0.046873,0.057273,0.076222,0.113032", \ "0.044768,0.049124,0.052862,0.059008,0.069443,0.088387,0.125181", \ "0.057424,0.062312,0.066493,0.073237,0.084228,0.103437,0.140174", \ "0.070713,0.076073,0.080690,0.088082,0.099781,0.119487,0.156374", \ "0.085054,0.090842,0.095870,0.103920,0.116481,0.136905,0.173951"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005025,0.006845,0.008707,0.012289,0.019477,0.034536,0.066139", \ "0.005027,0.006846,0.008711,0.012287,0.019477,0.034538,0.066142", \ "0.005031,0.006860,0.008723,0.012299,0.019478,0.034535,0.066145", \ "0.006074,0.007683,0.009351,0.012693,0.019681,0.034608,0.066170", \ "0.008076,0.009660,0.011282,0.014419,0.020911,0.035145,0.066252", \ "0.010162,0.011783,0.013424,0.016473,0.022596,0.036202,0.066643", \ "0.012388,0.014037,0.015726,0.018809,0.024696,0.037569,0.067196"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025024,0.029231,0.032881,0.038953,0.049343,0.068280,0.105049", \ "0.026602,0.030808,0.034458,0.040532,0.050923,0.069865,0.106634", \ "0.032990,0.037173,0.040815,0.046892,0.057289,0.076232,0.113011", \ "0.044795,0.049144,0.052882,0.059026,0.069458,0.088405,0.125152", \ "0.057468,0.062350,0.066522,0.073259,0.084241,0.103459,0.140154", \ "0.070750,0.076105,0.080719,0.088105,0.099802,0.119518,0.156366", \ "0.085107,0.090875,0.095893,0.103939,0.116503,0.136913,0.173950"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.026149,0.031986,0.037397,0.047190,0.065711,0.102139,0.174754", \ "0.027437,0.033268,0.038680,0.048475,0.066994,0.103429,0.176049", \ "0.031194,0.037008,0.042402,0.052175,0.070682,0.107112,0.179735", \ "0.036963,0.042921,0.048381,0.058181,0.076654,0.113058,0.185674", \ "0.042695,0.048950,0.054573,0.064471,0.082961,0.119330,0.191881", \ "0.047254,0.053987,0.059950,0.070137,0.088707,0.124987,0.197483", \ "0.050225,0.057443,0.063921,0.074652,0.093553,0.129939,0.202387"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005015,0.006838,0.008700,0.012283,0.019475,0.034529,0.065955", \ "0.005015,0.006837,0.008703,0.012284,0.019470,0.034521,0.065966", \ "0.005018,0.006852,0.008720,0.012292,0.019474,0.034531,0.065961", \ "0.006060,0.007672,0.009347,0.012688,0.019677,0.034595,0.065965", \ "0.008056,0.009640,0.011267,0.014404,0.020905,0.035136,0.066049", \ "0.010135,0.011761,0.013405,0.016460,0.022597,0.036185,0.066491", \ "0.012360,0.014012,0.015703,0.018794,0.024680,0.037559,0.067068"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005855,0.009493,0.013384,0.021370,0.038088,0.072445,0.141484", \ "0.005854,0.009491,0.013385,0.021367,0.038078,0.072445,0.141491", \ "0.005855,0.009499,0.013392,0.021371,0.038082,0.072438,0.141476", \ "0.006314,0.009904,0.013716,0.021568,0.038128,0.072418,0.141473", \ "0.007234,0.010731,0.014404,0.022030,0.038390,0.072499,0.141493", \ "0.008607,0.012115,0.015632,0.022881,0.038805,0.072682,0.141531", \ "0.010282,0.013897,0.017428,0.024327,0.039702,0.073112,0.141681"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025013,0.029223,0.032877,0.038958,0.049352,0.068290,0.105049", \ "0.026591,0.030802,0.034455,0.040537,0.050933,0.069877,0.106630", \ "0.032978,0.037166,0.040812,0.046890,0.057291,0.076240,0.113001", \ "0.044781,0.049139,0.052876,0.059029,0.069468,0.088410,0.125156", \ "0.057443,0.062332,0.066508,0.073250,0.084237,0.103461,0.140147", \ "0.070723,0.076091,0.080704,0.088080,0.099791,0.119493,0.156344", \ "0.085080,0.090871,0.095893,0.103927,0.116489,0.136859,0.173903"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005023,0.006841,0.008706,0.012286,0.019475,0.034515,0.066015", \ "0.005026,0.006844,0.008708,0.012284,0.019475,0.034512,0.066016", \ "0.005029,0.006858,0.008723,0.012297,0.019485,0.034513,0.066018", \ "0.006073,0.007680,0.009347,0.012689,0.019677,0.034590,0.066065", \ "0.008068,0.009653,0.011281,0.014412,0.020902,0.035123,0.066220", \ "0.010157,0.011778,0.013418,0.016469,0.022589,0.036161,0.066753", \ "0.012377,0.014027,0.015717,0.018804,0.024689,0.037515,0.067439"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025024,0.029231,0.032881,0.038953,0.049343,0.068280,0.105049", \ "0.026602,0.030808,0.034458,0.040532,0.050923,0.069865,0.106634", \ "0.032990,0.037173,0.040815,0.046892,0.057289,0.076232,0.113011", \ "0.044795,0.049144,0.052882,0.059026,0.069458,0.088405,0.125152", \ "0.057468,0.062350,0.066522,0.073259,0.084241,0.103459,0.140154", \ "0.070750,0.076105,0.080719,0.088105,0.099802,0.119518,0.156366", \ "0.085107,0.090875,0.095893,0.103939,0.116503,0.136913,0.173950"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.026149,0.031986,0.037397,0.047190,0.065711,0.102139,0.174754", \ "0.027437,0.033268,0.038680,0.048475,0.066994,0.103429,0.176049", \ "0.031194,0.037008,0.042402,0.052175,0.070682,0.107112,0.179735", \ "0.036963,0.042921,0.048381,0.058181,0.076654,0.113058,0.185674", \ "0.042695,0.048950,0.054573,0.064471,0.082961,0.119330,0.191881", \ "0.047254,0.053987,0.059950,0.070137,0.088707,0.124987,0.197483", \ "0.050225,0.057443,0.063921,0.074652,0.093553,0.129939,0.202387"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005015,0.006838,0.008700,0.012283,0.019475,0.034529,0.065955", \ "0.005015,0.006837,0.008703,0.012284,0.019470,0.034521,0.065966", \ "0.005018,0.006852,0.008720,0.012292,0.019474,0.034531,0.065961", \ "0.006060,0.007672,0.009347,0.012688,0.019677,0.034595,0.065965", \ "0.008056,0.009640,0.011267,0.014404,0.020905,0.035136,0.066049", \ "0.010135,0.011761,0.013405,0.016460,0.022597,0.036185,0.066491", \ "0.012360,0.014012,0.015703,0.018794,0.024680,0.037559,0.067068"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005855,0.009493,0.013384,0.021370,0.038088,0.072445,0.141484", \ "0.005854,0.009491,0.013385,0.021367,0.038078,0.072445,0.141491", \ "0.005855,0.009499,0.013392,0.021371,0.038082,0.072438,0.141476", \ "0.006314,0.009904,0.013716,0.021568,0.038128,0.072418,0.141473", \ "0.007234,0.010731,0.014404,0.022030,0.038390,0.072499,0.141493", \ "0.008607,0.012115,0.015632,0.022881,0.038805,0.072682,0.141531", \ "0.010282,0.013897,0.017428,0.024327,0.039702,0.073112,0.141681"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025013,0.029223,0.032877,0.038958,0.049352,0.068290,0.105049", \ "0.026591,0.030802,0.034455,0.040537,0.050933,0.069874,0.106630", \ "0.032978,0.037166,0.040812,0.046890,0.057291,0.076240,0.113001", \ "0.044781,0.049139,0.052876,0.059029,0.069468,0.088410,0.125156", \ "0.057443,0.062332,0.066508,0.073250,0.084237,0.103461,0.140147", \ "0.070723,0.076091,0.080704,0.088080,0.099791,0.119493,0.156344", \ "0.085080,0.090871,0.095893,0.103927,0.116489,0.136859,0.173903"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005023,0.006841,0.008706,0.012286,0.019475,0.034520,0.066014", \ "0.005026,0.006844,0.008708,0.012284,0.019475,0.034513,0.066016", \ "0.005029,0.006858,0.008723,0.012297,0.019485,0.034513,0.066017", \ "0.006073,0.007680,0.009347,0.012689,0.019677,0.034590,0.066064", \ "0.008068,0.009653,0.011281,0.014412,0.020902,0.035123,0.066219", \ "0.010157,0.011778,0.013418,0.016469,0.022589,0.036161,0.066752", \ "0.012377,0.014027,0.015717,0.018804,0.024689,0.037514,0.067438"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.889408,7.942736,8.014775,8.212429,8.381021,8.332548,8.436508", \ "7.880123,7.927254,7.993803,8.224056,8.328347,8.389275,8.232927", \ "7.875467,7.919835,8.007892,8.202115,8.374379,8.381459,8.341190", \ "7.931796,7.996555,8.065928,8.268092,8.389291,8.491914,8.396743", \ "8.097584,8.148379,8.227923,8.439587,8.549682,8.590248,8.603589", \ "8.417108,8.469617,8.532803,8.737727,8.852830,8.960978,8.814942", \ "8.905995,8.936440,8.995803,9.211193,9.351303,9.450442,9.410732"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.893920,7.907707,8.005418,8.035806,8.082411,8.136988,8.093054", \ "7.858755,7.937866,7.963798,8.027995,8.074619,8.126317,7.988757", \ "7.874771,7.953662,7.979939,8.031907,8.058742,8.037695,8.139900", \ "7.900536,7.979696,8.057476,8.107405,8.084625,8.203792,8.097364", \ "8.114733,8.127762,8.231199,8.240903,8.217368,8.337736,8.382026", \ "8.378717,8.474455,8.531595,8.524424,8.501835,8.482119,8.629495", \ "8.851445,8.916879,9.008445,9.027628,8.995202,9.114224,8.955572"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.188540,6.307992,6.529827,6.730942,6.945152,6.861424,7.038640", \ "6.147779,6.341941,6.527002,6.720461,6.874064,6.993968,6.936243", \ "6.121647,6.301751,6.488306,6.737189,6.873597,6.725248,6.858462", \ "6.355157,6.501598,6.635309,6.841575,6.982250,6.853618,6.819537", \ "6.870683,6.928161,7.002345,7.089655,7.233404,7.234649,7.055459", \ "7.529431,7.528502,7.504111,7.651388,7.704839,7.544300,7.517063", \ "8.393315,8.309652,8.276321,8.235022,8.206824,8.187739,8.138107"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.284874,6.404062,6.623296,6.827047,6.976811,6.957743,7.133149", \ "6.242057,6.435825,6.621366,6.815009,6.955659,7.087858,6.957322", \ "6.242059,6.376786,6.596173,6.830675,6.961210,6.819092,6.835395", \ "6.448397,6.594854,6.675295,6.939872,7.076352,7.091092,7.184538", \ "6.962807,7.030793,7.095067,7.182548,7.327462,7.327803,7.146926", \ "7.620554,7.584874,7.594494,7.737552,7.798212,7.636247,7.607198", \ "8.483518,8.399050,8.366111,8.357619,8.388510,8.280027,8.228995"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.059420,6.198101,6.276222,6.412956,6.929205,8.870645,9.338287", \ "6.077017,6.196347,6.231613,6.399841,6.998366,8.971459,9.203919", \ "6.037669,6.143033,6.243856,6.416842,7.025642,8.844432,9.040113", \ "6.297260,6.348201,6.376952,6.511249,7.153688,8.915530,9.128303", \ "6.842275,6.763549,6.812274,6.819195,7.457631,9.264829,9.387976", \ "7.471974,7.403515,7.327503,7.379913,7.787947,9.716210,9.885279", \ "8.318792,8.162958,8.044109,7.977658,8.246509,10.240290,10.602920"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.059895,6.197673,6.276526,6.413277,6.930869,8.932324,9.334364", \ "6.077292,6.196629,6.231912,6.400170,6.937756,8.970554,9.183051", \ "6.037955,6.143328,6.244152,6.417168,7.029570,8.895724,9.036310", \ "6.298039,6.348500,6.377250,6.511596,7.155331,8.881888,9.124587", \ "6.842618,6.763838,6.812562,6.819540,7.459223,9.320327,9.384324", \ "7.431716,7.399554,7.327737,7.380183,7.800485,9.670233,9.881918", \ "8.318914,8.238970,8.044293,7.977839,8.247530,10.240000,10.599750"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.129870,7.526383,7.799915,8.146654,8.471205,8.861134,9.384345", \ "7.044862,7.438073,7.694796,8.077567,8.390873,8.761454,9.296451", \ "7.098466,7.442040,7.699726,8.058896,8.386455,8.785876,9.324925", \ "7.627517,7.910634,8.158260,8.483025,8.798495,9.162662,9.710012", \ "8.423764,8.616739,8.831589,9.198278,9.634816,9.997184,10.502330", \ "9.991301,9.963973,10.076370,10.333740,10.766530,11.317400,11.822010", \ "12.092190,12.002180,11.997840,12.175360,12.532910,12.990570,13.753190"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.723507,5.271807,5.629010,6.074239,6.331719,6.408277,6.312987", \ "4.580876,5.102773,5.586485,6.058298,6.152572,6.231860,6.153890", \ "4.601593,5.167760,5.553008,5.851457,6.103757,6.183246,6.184027", \ "4.842198,5.416245,5.745521,6.118500,6.338830,6.089997,6.210009", \ "5.607850,6.067419,6.436760,6.641365,6.671546,6.741014,6.376245", \ "6.867338,7.406033,7.671579,7.855301,7.805593,7.477566,8.028336", \ "8.558379,8.980076,9.445025,9.722951,9.931398,9.724030,9.677273"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.017039,8.108903,8.215113,8.269786,8.366682,8.325828,8.047478", \ "7.975475,8.098181,8.153603,8.291224,8.337229,8.154835,8.191459", \ "7.988952,8.081229,8.198564,8.224870,8.255496,8.216518,8.109447", \ "8.304076,8.306714,8.391834,8.453440,8.389227,8.349636,8.466178", \ "8.977355,8.884598,8.911818,8.928045,8.920531,8.720917,8.625554", \ "9.941141,9.791055,9.752904,9.658002,9.537877,9.606864,9.532722", \ "11.302440,11.067300,10.944930,10.840950,10.735160,10.628260,10.480150"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.891893,6.251876,6.521427,6.872345,7.220300,7.594677,8.128263", \ "5.824584,6.204795,6.476533,6.824298,7.169216,7.538576,8.074537", \ "5.839329,6.200783,6.458766,6.831966,7.152730,7.530455,8.088067", \ "6.261592,6.508972,6.747327,7.056224,7.371483,7.751024,8.286738", \ "6.749513,6.846903,7.077329,7.457433,7.871183,8.233416,8.748105", \ "7.747566,7.690676,7.780723,8.006931,8.448402,8.998854,9.525240", \ "9.181961,9.025522,8.986572,9.110771,9.450388,9.883300,10.638090"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.905436,4.470701,4.847643,5.243898,5.508979,5.595342,5.529603", \ "3.812900,4.323784,4.809977,5.255254,5.355891,5.443008,5.553986", \ "3.836249,4.395119,4.724129,5.199681,5.313161,5.403951,5.434809", \ "4.015969,4.516135,4.832853,5.201387,5.433231,5.192208,5.352663", \ "4.432067,4.885741,5.243427,5.452921,5.531135,5.536954,5.217491", \ "5.236640,5.719942,5.962684,6.266526,6.041495,5.731765,6.328318", \ "6.339097,6.629424,7.023474,7.344418,7.405095,7.221435,7.200529"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.346088,7.450799,7.540058,7.596164,7.694831,7.655129,7.378923", \ "7.324224,7.443986,7.499548,7.587287,7.685602,7.503684,7.543565", \ "7.330745,7.419618,7.537424,7.564245,7.595736,7.559484,7.453353", \ "7.562323,7.571046,7.650870,7.702926,7.648898,7.610380,7.728811", \ "8.065281,7.972895,8.001380,8.017217,8.011557,7.860643,7.719060", \ "8.750897,8.602997,8.564916,8.471526,8.355758,8.426755,8.225316", \ "9.720511,9.493073,9.402249,9.263215,9.160833,9.054651,8.910808"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.860485,7.204602,7.432377,7.709327,7.899018,7.961722,7.987563", \ "6.777066,7.130801,7.367229,7.623203,7.795797,7.883348,7.898970", \ "6.835909,7.131806,7.346447,7.621812,7.812834,7.896728,7.927304", \ "7.348120,7.596160,7.790636,8.028436,8.172899,8.262193,8.298110", \ "8.127947,8.257250,8.446736,8.729076,9.012207,9.077442,9.097672", \ "9.624719,9.585911,9.642312,9.827859,10.134050,10.402420,10.422220", \ "11.713030,11.586370,11.514950,11.629210,11.871670,12.069750,12.314450"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.080302,4.374916,4.542683,4.656832,4.754913,4.837529,4.772167", \ "3.966257,4.294974,4.386688,4.481094,4.614945,4.658557,4.614219", \ "3.969660,4.250014,4.408379,4.425958,4.518329,4.599194,4.632841", \ "4.180656,4.429620,4.613415,4.662547,4.736024,4.484363,4.650738", \ "4.927498,5.181587,5.304348,5.244258,5.054921,5.115851,4.924831", \ "6.122909,6.386226,6.536137,6.557473,6.378554,5.819683,6.419615", \ "7.677295,7.966660,8.227907,8.228777,8.239353,8.062016,8.018735"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.672472,8.833288,8.961661,9.047942,9.074120,8.897199,9.167804", \ "8.617590,8.780590,8.925347,9.021016,9.047442,9.018293,8.977500", \ "8.658800,8.811475,8.862866,8.987439,8.945340,9.061151,8.876454", \ "8.928887,9.063212,9.137869,9.183033,9.128731,9.241926,9.282971", \ "9.590151,9.574585,9.629782,9.630830,9.656184,9.645347,9.420873", \ "10.561050,10.464630,10.363200,10.376110,10.254970,10.324880,10.181100", \ "11.745370,11.602370,11.521150,11.426210,11.225730,11.320720,11.162140"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.860464,7.204563,7.432341,7.709326,7.898649,7.961693,7.987494", \ "6.777039,7.130764,7.367174,7.623169,7.795762,7.883488,7.898929", \ "6.835907,7.131772,7.346409,7.621777,7.812812,7.896701,7.927276", \ "7.348092,7.596129,7.790602,8.028402,8.172863,8.262160,8.298071", \ "8.127919,8.257222,8.446706,8.729068,9.012174,9.077409,9.097628", \ "9.624687,9.585876,9.642277,9.827823,10.134020,10.401370,10.422180", \ "11.713000,11.586340,11.514910,11.629180,11.871640,12.069710,12.314410"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.080257,4.374976,4.542725,4.656879,4.754960,4.837574,4.772199", \ "3.966270,4.295035,4.386727,4.481130,4.615516,4.658601,4.614249", \ "3.969680,4.250056,4.408420,4.426003,4.518374,4.599239,4.632875", \ "4.180240,4.429655,4.613452,4.662591,4.736068,4.484397,4.650765", \ "4.927513,5.181622,5.304383,5.244263,5.054957,5.115886,4.925239", \ "6.122918,6.386295,6.536165,6.557511,6.378580,5.819703,6.419642", \ "7.677298,7.966678,8.227930,8.228806,8.239384,8.062037,8.018754"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.669019,8.853478,8.959624,9.047425,9.100941,9.189828,9.176619", \ "8.614040,8.784654,8.902371,9.020141,9.049427,9.022740,8.986114", \ "8.655782,8.804837,8.927831,8.986743,9.088290,9.066193,8.884905", \ "8.925534,9.011737,9.141429,9.182281,9.271490,9.246129,9.291423", \ "9.587084,9.609318,9.605581,9.630497,9.691156,9.649398,9.429257", \ "10.558810,10.463120,10.428770,10.376170,10.340580,10.330150,10.214220", \ "11.743380,11.603320,11.520150,11.429510,11.368880,11.296680,11.170210"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFR_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X1 *******************************************************************************************/ cell (DFFR_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; clear : "!RN"; } area : 5.320000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 86.212780; leakage_power () { when : "!CK & !D & !RN & !Q & QN"; value : 70.503620; } leakage_power () { when : "!CK & !D & RN & !Q & QN"; value : 83.973769; } leakage_power () { when : "!CK & !D & RN & Q & !QN"; value : 89.737208; } leakage_power () { when : "!CK & D & !RN & !Q & QN"; value : 78.798621; } leakage_power () { when : "!CK & D & RN & !Q & QN"; value : 94.261959; } leakage_power () { when : "!CK & D & RN & Q & !QN"; value : 94.889058; } leakage_power () { when : "CK & !D & !RN & !Q & QN"; value : 74.504034; } leakage_power () { when : "CK & !D & RN & !Q & QN"; value : 88.490072; } leakage_power () { when : "CK & !D & RN & Q & !QN"; value : 80.707440; } leakage_power () { when : "CK & D & !RN & !Q & QN"; value : 88.446358; } leakage_power () { when : "CK & D & RN & !Q & QN"; value : 102.430086; } leakage_power () { when : "CK & D & RN & Q & !QN"; value : 87.811130; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.128277; fall_capacitance : 1.052731; rise_capacitance : 1.128277; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.002998,0.012260,0.010995", \ "0.002353,0.008978,0.004969", \ "0.140348,0.149555,0.140015"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.003333,0.014899,0.018563", \ "0.017216,0.029476,0.033434", \ "0.098213,0.112808,0.120649"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.037177,0.023389,0.017624", \ "0.054782,0.040803,0.034907", \ "0.100788,0.086198,0.078379"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.030655,0.026795,0.042314", \ "0.042968,0.037066,0.050103", \ "0.058648,0.049447,0.059012"); } } internal_power () { when : "!CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.426082,4.404821,4.375396,4.399264,4.578059,4.964269,5.581499"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.153078,3.117501,3.085345,3.116799,3.290618,3.668625,4.273526"); } } internal_power () { when : "!CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.438582,4.417098,4.387915,4.412690,4.588604,4.974355,5.587242"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.250026,3.214461,3.182258,3.212031,3.384885,3.761201,4.363620"); } } internal_power () { when : "!CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.382289,4.360932,4.332145,4.355952,4.534974,4.922183,5.541690"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.210017,3.174602,3.143022,3.172291,3.337347,3.708897,4.308315"); } } internal_power () { when : "CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.736377,0.708612,0.698951,0.693498,0.689854,0.687217,0.685410"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629155,-0.633178,-0.636109,-0.638046,-0.640189,-0.641067,-0.642774"); } } internal_power () { when : "CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.733806,0.709452,0.698573,0.692063,0.690399,0.688169,0.685312"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629625,-0.634011,-0.636570,-0.638447,-0.640309,-0.641298,-0.643026"); } } internal_power () { when : "CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650084,0.658123,0.659562,0.659000,0.658497,0.658249,0.658059"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433656,-0.496755,-0.551545,-0.573371,-0.584097,-0.592712,-0.597971"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.778528; fall_capacitance : 1.744622; rise_capacitance : 1.778528; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.054032,-0.068732,-0.078101", \ "-0.050666,-0.065733,-0.074452", \ "-0.013549,-0.031209,-0.041963"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.183159,0.192733,0.202594", \ "0.237590,0.246884,0.257157", \ "0.429882,0.439073,0.449101"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.152874,0.180774,0.308412"); } } internal_power () { when : "!CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.679608,0.663723,0.657357,0.654354,0.652319,0.650662,0.649344"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611930,-0.613907,-0.616264,-0.616955,-0.617322,-0.616636,-0.617013"); } } internal_power () { when : "!CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.689855,0.670580,0.662264,0.659074,0.657080,0.655495,0.654317"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.502763,-0.510601,-0.522870,-0.530487,-0.534574,-0.536232,-0.537936"); } } internal_power () { when : "CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706475,0.675352,0.665875,0.660849,0.658647,0.655426,0.653839"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608684,-0.612536,-0.614246,-0.615095,-0.616108,-0.616196,-0.616454"); } } internal_power () { when : "CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.704389,0.674596,0.665205,0.660060,0.657875,0.654662,0.653054"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609162,-0.614514,-0.616716,-0.617172,-0.618236,-0.618351,-0.618661"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.976605; fall_capacitance : 0.880697; rise_capacitance : 0.976605; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.052759,0.068327,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.060389,0.060646,0.198733"); } } internal_power () { when : "!D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.984901,3.953839,3.967500,4.147951,4.515931,5.103223,5.956715"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.162471,3.142087,3.133096,3.257155,3.595260,4.206068,5.109967"); } } internal_power () { when : "!D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.983703,3.952806,3.966906,4.147133,4.514655,5.102295,5.955511"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.168090,3.147974,3.138230,3.262621,3.599744,4.210951,5.113966"); } } internal_power () { when : "!D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.421681,7.391936,7.401655,7.580775,7.951857,8.537557,9.387421"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.683016,6.651641,6.664515,6.854891,7.248203,7.869759,8.770360"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.556230,15.536440,15.522080,15.638030,15.975180,16.605310,17.565330"); } } internal_power () { when : "D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.812774,6.777704,6.789009,6.981288,7.373958,7.994090,8.890556"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.768211,3.739273,3.751946,3.931238,4.298980,4.879852,5.728202"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.341413,3.322871,3.317502,3.441473,3.772136,4.375458,5.267063"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0819959,0.0853045,0.0886975,0.0945528,0.104788,0.123605,0.160289", \ "0.0834772,0.0867853,0.0901772,0.0960322,0.106267,0.125085,0.161772", \ "0.0886043,0.0919137,0.0953050,0.101162,0.111399,0.130211,0.166905", \ "0.0943735,0.0976819,0.101066,0.106934,0.117157,0.135983,0.172678", \ "0.0988393,0.102153,0.105536,0.111398,0.121622,0.140446,0.177145", \ "0.101732,0.105038,0.108432,0.114286,0.124518,0.143323,0.180009", \ "0.102601,0.105907,0.109295,0.115152,0.125383,0.144190,0.180885"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0960203,0.100580,0.105100,0.113487,0.130756,0.166440,0.238753", \ "0.0975093,0.102070,0.106590,0.114974,0.132242,0.167928,0.240243", \ "0.102588,0.107144,0.111662,0.120050,0.137313,0.172997,0.245310", \ "0.108155,0.112713,0.117228,0.125610,0.142873,0.178560,0.250874", \ "0.112276,0.116832,0.121346,0.129729,0.146976,0.182660,0.254975", \ "0.114929,0.119485,0.124004,0.132377,0.149612,0.185286,0.257612", \ "0.115958,0.120504,0.125017,0.133387,0.150630,0.186287,0.258596"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00539799,0.00706043,0.00888107,0.0123654,0.0194310,0.0343687,0.0657818", \ "0.00540182,0.00705600,0.00887672,0.0123670,0.0194305,0.0343672,0.0657760", \ "0.00540154,0.00705581,0.00887796,0.0123636,0.0194291,0.0343665,0.0657865", \ "0.00539827,0.00706065,0.00887930,0.0123691,0.0194320,0.0343694,0.0657836", \ "0.00539954,0.00705910,0.00888384,0.0123654,0.0194301,0.0343680,0.0657910", \ "0.00540371,0.00705998,0.00888400,0.0123664,0.0194366,0.0343721,0.0657734", \ "0.00540611,0.00706421,0.00888415,0.0123688,0.0194301,0.0343670,0.0658026"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00728504,0.0103817,0.0140438,0.0216257,0.0380450,0.0722948,0.141354", \ "0.00728507,0.0103830,0.0140423,0.0216250,0.0380480,0.0722940,0.141351", \ "0.00728695,0.0103827,0.0140392,0.0216230,0.0380462,0.0722932,0.141355", \ "0.00728778,0.0103830,0.0140419,0.0216275,0.0380506,0.0722930,0.141356", \ "0.00729113,0.0103956,0.0140509,0.0216292,0.0380522,0.0722942,0.141358", \ "0.00729859,0.0103949,0.0140518,0.0216284,0.0380507,0.0722939,0.141353", \ "0.00730765,0.0104074,0.0140571,0.0216337,0.0380498,0.0722957,0.141357"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.025617,0.029452,0.033222,0.039471,0.050106,0.069367,0.106396", \ "0.027194,0.031025,0.034798,0.041044,0.051685,0.070946,0.107975", \ "0.033579,0.037392,0.041153,0.047405,0.058053,0.077322,0.114356", \ "0.045442,0.049427,0.053299,0.059635,0.070329,0.089605,0.126610", \ "0.058258,0.062757,0.067117,0.074102,0.085428,0.105012,0.141905", \ "0.071728,0.076692,0.081520,0.089203,0.101347,0.121485,0.158406", \ "0.086343,0.091722,0.096988,0.105339,0.118357,0.139211,0.176056"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005081,0.006772,0.008692,0.012356,0.019659,0.034725,0.065864", \ "0.005082,0.006773,0.008694,0.012358,0.019658,0.034719,0.065848", \ "0.005088,0.006789,0.008712,0.012368,0.019662,0.034720,0.065847", \ "0.006053,0.007566,0.009302,0.012741,0.019854,0.034770,0.065854", \ "0.007920,0.009470,0.011184,0.014462,0.021093,0.035246,0.065865", \ "0.009873,0.011479,0.013244,0.016481,0.022817,0.036178,0.066050", \ "0.011935,0.013582,0.015402,0.018688,0.024824,0.037265,0.066229"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.025619,0.029454,0.033226,0.039472,0.050104,0.069371,0.106400", \ "0.027195,0.031026,0.034799,0.041046,0.051683,0.070946,0.107977", \ "0.033575,0.037394,0.041159,0.047405,0.058054,0.077326,0.114358", \ "0.045448,0.049427,0.053298,0.059631,0.070326,0.089600,0.126606", \ "0.058252,0.062761,0.067112,0.074102,0.085428,0.105016,0.141906", \ "0.071729,0.076694,0.081521,0.089204,0.101349,0.121486,0.158399", \ "0.086333,0.091723,0.096990,0.105350,0.118369,0.139202,0.176056"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005077,0.006773,0.008693,0.012356,0.019657,0.034722,0.065860", \ "0.005081,0.006773,0.008695,0.012357,0.019660,0.034719,0.065847", \ "0.005088,0.006791,0.008710,0.012368,0.019667,0.034722,0.065852", \ "0.006049,0.007569,0.009305,0.012741,0.019854,0.034774,0.065840", \ "0.007921,0.009466,0.011187,0.014462,0.021093,0.035245,0.065865", \ "0.009875,0.011478,0.013244,0.016482,0.022817,0.036177,0.066049", \ "0.011934,0.013582,0.015402,0.018689,0.024828,0.037266,0.066230"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.025627,0.029424,0.033159,0.039336,0.049838,0.068866,0.105709", \ "0.027202,0.030999,0.034732,0.040912,0.051412,0.070443,0.107280", \ "0.033581,0.037361,0.041083,0.047269,0.057778,0.076814,0.113656", \ "0.045456,0.049393,0.053229,0.059495,0.070052,0.089091,0.125918", \ "0.058245,0.062693,0.066999,0.073899,0.085055,0.104420,0.141222", \ "0.071688,0.076583,0.081349,0.088927,0.100858,0.120788,0.157798", \ "0.086205,0.091534,0.096727,0.105011,0.117831,0.138545,0.175796"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005024,0.006696,0.008595,0.012198,0.019386,0.034411,0.065855", \ "0.005025,0.006701,0.008594,0.012200,0.019391,0.034408,0.065852", \ "0.005031,0.006712,0.008612,0.012208,0.019389,0.034407,0.065877", \ "0.005969,0.007476,0.009195,0.012585,0.019585,0.034473,0.065882", \ "0.007793,0.009323,0.011026,0.014251,0.020786,0.035014,0.065962", \ "0.009698,0.011294,0.013036,0.016211,0.022436,0.036055,0.066383", \ "0.011714,0.013372,0.015184,0.018418,0.024464,0.037408,0.066918"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.025627,0.029425,0.033159,0.039336,0.049838,0.068869,0.105704", \ "0.027202,0.030999,0.034732,0.040912,0.051412,0.070443,0.107280", \ "0.033581,0.037361,0.041083,0.047269,0.057778,0.076814,0.113656", \ "0.045456,0.049393,0.053229,0.059495,0.070052,0.089091,0.125918", \ "0.058245,0.062693,0.066999,0.073899,0.085055,0.104420,0.141222", \ "0.071688,0.076583,0.081349,0.088927,0.100858,0.120788,0.157798", \ "0.086205,0.091534,0.096727,0.105011,0.117831,0.138545,0.175796"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005024,0.006697,0.008595,0.012198,0.019386,0.034406,0.065866", \ "0.005025,0.006701,0.008594,0.012201,0.019391,0.034408,0.065853", \ "0.005031,0.006712,0.008612,0.012208,0.019389,0.034407,0.065878", \ "0.005969,0.007476,0.009195,0.012585,0.019585,0.034473,0.065882", \ "0.007793,0.009323,0.011026,0.014251,0.020786,0.035014,0.065962", \ "0.009698,0.011294,0.013036,0.016211,0.022436,0.036055,0.066384", \ "0.011714,0.013372,0.015184,0.018418,0.024464,0.037408,0.066918"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.449899,4.535040,4.592769,4.743330,4.803776,4.844767,4.884056", \ "4.448591,4.525618,4.577319,4.736094,4.796092,4.836992,4.827396", \ "4.445086,4.527875,4.573031,4.724666,4.788804,4.767709,4.727602", \ "4.512728,4.583592,4.641380,4.783582,4.852241,4.867927,4.932738", \ "4.670926,4.747380,4.823213,4.952541,5.051608,5.021889,5.113542", \ "4.995637,5.080352,5.137639,5.269756,5.356758,5.397589,5.384687", \ "5.442585,5.530692,5.592499,5.731945,5.799135,5.817632,5.827227"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.980881,5.006862,4.981286,4.998683,5.039493,5.055918,4.937424", \ "4.972218,4.996569,4.970857,4.976732,5.018682,5.051666,4.995022", \ "4.967168,4.985540,4.979591,5.000056,5.030450,5.025525,4.968229", \ "5.029449,5.029007,5.051985,5.039382,5.080594,5.096587,5.097271", \ "5.180373,5.209476,5.182034,5.202552,5.244500,5.229333,5.274221", \ "5.520349,5.534373,5.502854,5.528094,5.525495,5.518771,5.521976", \ "5.995798,5.992758,5.999875,6.011989,6.016541,5.910813,6.030793"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.574934,3.602036,3.656874,3.706405,3.681726,3.709261,3.653668", \ "3.578891,3.579116,3.633872,3.664797,3.664677,3.631205,3.675896", \ "3.564413,3.604172,3.638558,3.679383,3.672864,3.650236,3.618835", \ "3.691320,3.681934,3.720840,3.754918,3.710702,3.758467,3.689753", \ "3.955314,3.889998,3.907907,3.912858,3.870874,3.907581,3.890441", \ "4.313889,4.228820,4.192913,4.192485,4.139888,4.132789,4.040078", \ "4.745281,4.625388,4.568048,4.528839,4.515701,4.478642,4.443247"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.659056,3.686571,3.741937,3.791364,3.748764,3.794085,3.682482", \ "3.661149,3.661687,3.716393,3.747922,3.782478,3.714279,3.758833", \ "3.645901,3.668291,3.720581,3.761828,3.755615,3.732566,3.701142", \ "3.772563,3.762929,3.802028,3.803200,3.792817,3.840349,3.771510", \ "4.035672,3.970618,3.988727,3.994181,3.951501,3.988421,3.971190", \ "4.393479,4.308484,4.273507,4.273662,4.220733,4.216861,4.120560", \ "4.824506,4.704858,4.648054,4.630447,4.595610,4.559766,4.523884"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.652696,5.775821,5.859897,5.947112,6.042088,6.064234,6.066020", \ "5.632033,5.728183,5.840636,5.937664,5.973297,6.075191,5.973730", \ "5.648828,5.748126,5.839678,5.925820,5.998911,5.956971,6.091904", \ "5.753180,5.826627,5.904438,5.976581,6.044422,6.145856,6.070735", \ "6.032827,6.066208,6.116770,6.151539,6.234136,6.299691,6.273073", \ "6.419014,6.435779,6.431524,6.463818,6.466388,6.551160,6.428093", \ "7.014050,7.001876,6.983729,6.958798,7.009867,7.000860,6.884509"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.656386,5.759427,5.857945,5.949867,6.036132,6.011501,6.062914", \ "5.629847,5.753007,5.838083,5.903284,5.974918,6.074693,5.970625", \ "5.652117,5.751236,5.806559,5.928258,6.000765,5.956621,6.088692", \ "5.756614,5.845965,5.907396,5.979042,6.045927,6.145234,6.067718", \ "6.035784,6.069105,6.083093,6.166689,6.206275,6.299127,6.270009", \ "6.416995,6.430990,6.434024,6.465945,6.467816,6.550711,6.425297", \ "7.011618,6.978752,6.969144,6.955190,7.001323,7.000596,6.881944"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0600695,0.0650473,0.0701035,0.0784343,0.0919867,0.114486,0.153404", \ "0.0615584,0.0665355,0.0715929,0.0799223,0.0934732,0.115974,0.154891", \ "0.0666183,0.0715972,0.0766577,0.0849921,0.0985451,0.121050,0.159973", \ "0.0721804,0.0771592,0.0822154,0.0905505,0.104113,0.126627,0.165554", \ "0.0762802,0.0812587,0.0863157,0.0946564,0.108215,0.130738,0.169677", \ "0.0789303,0.0839058,0.0889644,0.0972984,0.110849,0.133378,0.172322", \ "0.0798968,0.0848676,0.0899299,0.0982804,0.111874,0.134416,0.173376"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0609489,0.0661658,0.0717313,0.0817702,0.100583,0.137082,0.209530", \ "0.0624255,0.0676455,0.0732149,0.0832470,0.102066,0.138560,0.211007", \ "0.0675556,0.0727727,0.0783407,0.0883795,0.107194,0.143685,0.216138", \ "0.0733184,0.0785406,0.0841023,0.0941459,0.112956,0.149455,0.221910", \ "0.0777836,0.0830103,0.0885693,0.0986131,0.117415,0.153915,0.226368", \ "0.0806721,0.0858914,0.0914643,0.101500,0.120312,0.156804,0.229250", \ "0.0815334,0.0867590,0.0923240,0.102382,0.121180,0.157674,0.230123"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00994325,0.0119607,0.0142300,0.0183495,0.0259992,0.0402441,0.0689944", \ "0.00994260,0.0119642,0.0142304,0.0183502,0.0260007,0.0402449,0.0690009", \ "0.00995718,0.0119755,0.0142455,0.0183658,0.0260110,0.0402548,0.0690148", \ "0.00996796,0.0119876,0.0142553,0.0183764,0.0260211,0.0402613,0.0689985", \ "0.00999103,0.0120121,0.0142853,0.0184049,0.0260513,0.0402835,0.0690093", \ "0.0100233,0.0120516,0.0143245,0.0184387,0.0260751,0.0403054,0.0690171", \ "0.0101489,0.0121690,0.0144317,0.0185368,0.0261508,0.0403482,0.0690517"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00730665,0.0105626,0.0144850,0.0224524,0.0389234,0.0727285,0.141342", \ "0.00730763,0.0105628,0.0144840,0.0224517,0.0389242,0.0727271,0.141346", \ "0.00730882,0.0105634,0.0144818,0.0224528,0.0389251,0.0727302,0.141339", \ "0.00731055,0.0105647,0.0144855,0.0224541,0.0389251,0.0727269,0.141345", \ "0.00730782,0.0105702,0.0144865,0.0224543,0.0389231,0.0727294,0.141341", \ "0.00731691,0.0105768,0.0144955,0.0224617,0.0389246,0.0727307,0.141348", \ "0.00733073,0.0105896,0.0145059,0.0224680,0.0389322,0.0727326,0.141350"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086310,0.092183,0.098526,0.109708,0.129491,0.166373,0.238743", \ "0.087884,0.093757,0.100099,0.111281,0.131067,0.167949,0.240314", \ "0.094205,0.100079,0.106424,0.117607,0.137391,0.174273,0.246638", \ "0.106570,0.112393,0.118678,0.129781,0.149484,0.186322,0.258658", \ "0.122127,0.127777,0.133844,0.144641,0.164089,0.200757,0.272991", \ "0.138742,0.144296,0.150194,0.160661,0.179755,0.216156,0.288249", \ "0.156610,0.162125,0.167914,0.178053,0.196722,0.232852,0.304744"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.010744,0.014453,0.018616,0.026417,0.041964,0.074470,0.142377", \ "0.010746,0.014449,0.018617,0.026419,0.041963,0.074470,0.142380", \ "0.010745,0.014452,0.018616,0.026418,0.041955,0.074465,0.142388", \ "0.010747,0.014451,0.018620,0.026420,0.041964,0.074483,0.142383", \ "0.010768,0.014472,0.018639,0.026436,0.041971,0.074479,0.142379", \ "0.010808,0.014507,0.018670,0.026456,0.041989,0.074478,0.142380", \ "0.010867,0.014565,0.018733,0.026524,0.042016,0.074474,0.142382"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.086294,0.092166,0.098510,0.109687,0.129460,0.166337,0.238679", \ "0.087868,0.093740,0.100081,0.111259,0.131034,0.167904,0.240246", \ "0.094185,0.100065,0.106410,0.117586,0.137360,0.174233,0.246567", \ "0.106561,0.112380,0.118659,0.129759,0.149455,0.186279,0.258588", \ "0.122116,0.127766,0.133831,0.144627,0.164068,0.200722,0.272932", \ "0.138737,0.144291,0.150186,0.160649,0.179740,0.216126,0.288185", \ "0.156601,0.162120,0.167908,0.178056,0.196725,0.232811,0.304692"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.010742,0.014449,0.018611,0.026408,0.041949,0.074440,0.142334", \ "0.010744,0.014446,0.018610,0.026410,0.041947,0.074443,0.142332", \ "0.010743,0.014450,0.018614,0.026410,0.041940,0.074439,0.142340", \ "0.010745,0.014451,0.018616,0.026413,0.041949,0.074455,0.142338", \ "0.010766,0.014469,0.018632,0.026428,0.041957,0.074451,0.142333", \ "0.010806,0.014504,0.018666,0.026449,0.041975,0.074452,0.142339", \ "0.010862,0.014562,0.018729,0.026517,0.042002,0.074448,0.142338"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202892,0.208622,0.214465,0.224601,0.243193,0.279564,0.351833", \ "0.204448,0.210148,0.215990,0.226133,0.244733,0.281091,0.353357", \ "0.210491,0.216204,0.222046,0.232198,0.250804,0.287157,0.359441", \ "0.220417,0.226122,0.231975,0.242125,0.260729,0.297102,0.369398", \ "0.235182,0.240874,0.246719,0.256865,0.275438,0.311799,0.384081", \ "0.256461,0.262194,0.268030,0.278158,0.296682,0.333039,0.405295", \ "0.285044,0.290742,0.296590,0.306698,0.325305,0.361589,0.433816"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008994,0.012089,0.015679,0.023061,0.039023,0.072864,0.141460", \ "0.008985,0.012089,0.015682,0.023058,0.039024,0.072859,0.141458", \ "0.008993,0.012093,0.015679,0.023061,0.039019,0.072862,0.141450", \ "0.008987,0.012083,0.015675,0.023060,0.039017,0.072854,0.141457", \ "0.008991,0.012084,0.015676,0.023059,0.039013,0.072852,0.141459", \ "0.008988,0.012092,0.015680,0.023062,0.039013,0.072841,0.141447", \ "0.009028,0.012125,0.015707,0.023078,0.039021,0.072847,0.141466"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.202737,0.208467,0.214321,0.224451,0.243062,0.279448,0.351753", \ "0.204297,0.209995,0.215843,0.225990,0.244601,0.280976,0.353268", \ "0.210345,0.216058,0.221902,0.232060,0.250674,0.287044,0.359353", \ "0.220276,0.225984,0.231838,0.241992,0.260604,0.296994,0.369314", \ "0.235052,0.240743,0.246591,0.256740,0.275321,0.311696,0.384001", \ "0.256338,0.262072,0.267909,0.278041,0.296574,0.332941,0.405218", \ "0.284924,0.290635,0.296471,0.306582,0.325214,0.361492,0.433747"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008991,0.012082,0.015674,0.023057,0.039022,0.072867,0.141461", \ "0.008986,0.012079,0.015677,0.023056,0.039023,0.072861,0.141456", \ "0.008986,0.012088,0.015677,0.023057,0.039016,0.072864,0.141452", \ "0.008983,0.012079,0.015671,0.023059,0.039021,0.072855,0.141457", \ "0.008988,0.012080,0.015675,0.023057,0.039014,0.072853,0.141459", \ "0.008989,0.012086,0.015678,0.023060,0.039017,0.072842,0.141448", \ "0.009029,0.012123,0.015708,0.023078,0.039022,0.072844,0.141455"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.980878,5.008809,4.985129,5.005890,4.986452,5.056170,4.997731", \ "4.972217,4.998536,4.993447,4.984055,5.033133,5.048785,5.054382", \ "4.966114,4.969422,4.983311,4.970119,4.983895,4.916531,5.027937", \ "5.028424,5.031074,5.044517,5.046609,5.022915,5.098259,4.988030", \ "5.209084,5.211292,5.185976,5.209796,5.223781,5.258786,5.277709", \ "5.520337,5.536333,5.506697,5.529916,5.540029,5.573569,5.581307", \ "5.994755,5.996829,6.003634,5.982333,6.021072,5.941396,5.921345"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.464774,4.535024,4.594501,4.742847,4.818451,4.873936,4.821535", \ "4.448636,4.525537,4.594423,4.723750,4.831013,4.769172,4.886495", \ "4.441837,4.527405,4.574863,4.719426,4.800533,4.791632,4.828435", \ "4.508721,4.589853,4.640516,4.771937,4.857779,4.897457,4.785974", \ "4.670870,4.747589,4.824892,4.959848,5.039409,5.051638,5.088339", \ "4.996772,5.080929,5.139324,5.271610,5.349002,5.400411,5.364628", \ "5.443439,5.531394,5.598128,5.732609,5.802124,5.846844,5.832390"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.572812,3.603815,3.660120,3.679744,3.686281,3.595540,3.657639", \ "3.577023,3.580810,3.636922,3.671866,3.674433,3.661032,3.566071", \ "3.562415,3.588174,3.641838,3.686197,3.687376,3.679725,3.678490", \ "3.691324,3.683659,3.693619,3.728436,3.725417,3.687327,3.749290", \ "3.953421,3.891899,3.880769,3.919747,3.900016,3.911487,3.781095", \ "4.311959,4.230950,4.196561,4.192044,4.159742,4.063290,4.099899", \ "4.743312,4.627636,4.572044,4.536196,4.530227,4.454494,4.333580"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.656904,3.688221,3.745134,3.764662,3.774961,3.680544,3.742637", \ "3.659287,3.663370,3.719710,3.755032,3.754242,3.744133,3.649027", \ "3.643907,3.686342,3.723830,3.768613,3.770101,3.762071,3.760829", \ "3.772558,3.764775,3.774837,3.810288,3.807508,3.764344,3.830967", \ "4.033786,3.972550,3.964578,4.001042,3.980630,3.994599,3.861988", \ "4.391544,4.310488,4.277160,4.272747,4.239058,4.144199,4.180312", \ "4.822518,4.707108,4.652057,4.628849,4.610131,4.534780,4.414366"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.649631,5.757581,5.854436,5.954028,5.997006,6.089108,5.956576", \ "5.632027,5.730005,5.827555,5.911860,5.988091,6.075495,6.034120", \ "5.645990,5.749651,5.806752,5.895652,6.013352,5.987630,5.983534", \ "5.750134,5.828210,5.914965,5.983801,6.084893,6.030995,6.129708", \ "6.029978,6.068070,6.120355,6.156370,6.219629,6.184669,6.261039", \ "6.419040,6.411258,6.435375,6.471241,6.481538,6.580625,6.488189", \ "7.014050,7.005611,6.984623,6.960713,6.941488,7.037110,6.944791"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.653365,5.760972,5.859843,5.919935,6.011529,6.041770,5.953393", \ "5.629835,5.733437,5.830726,5.910446,5.989633,6.089526,6.031002", \ "5.649338,5.752886,5.810044,5.898306,6.014707,5.987329,5.980475", \ "5.753633,5.831583,5.913745,5.986205,6.060250,6.030749,6.126672", \ "6.032983,6.071031,6.086943,6.158656,6.220985,6.184389,6.210070", \ "6.417011,6.436498,6.441319,6.473314,6.482827,6.580127,6.485364", \ "7.011610,6.981160,6.973248,6.962891,6.943261,7.029292,6.942407"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFR_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and drive strength X2 *******************************************************************************************/ cell (DFFR_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; clear : "!RN"; } area : 5.852000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 125.988288; leakage_power () { when : "!CK & !D & !RN & !Q & QN"; value : 100.984510; } leakage_power () { when : "!CK & !D & RN & !Q & QN"; value : 125.252160; } leakage_power () { when : "!CK & !D & RN & Q & !QN"; value : 137.318500; } leakage_power () { when : "!CK & D & !RN & !Q & QN"; value : 109.280842; } leakage_power () { when : "!CK & D & RN & !Q & QN"; value : 135.542352; } leakage_power () { when : "!CK & D & RN & Q & !QN"; value : 142.473122; } leakage_power () { when : "CK & !D & !RN & !Q & QN"; value : 104.979534; } leakage_power () { when : "CK & !D & RN & !Q & QN"; value : 129.759564; } leakage_power () { when : "CK & !D & RN & Q & !QN"; value : 128.271924; } leakage_power () { when : "CK & D & !RN & !Q & QN"; value : 118.921759; } leakage_power () { when : "CK & D & RN & !Q & QN"; value : 143.699589; } leakage_power () { when : "CK & D & RN & Q & !QN"; value : 135.375603; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.128370; fall_capacitance : 1.050912; rise_capacitance : 1.128370; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.002011,0.011153,0.009744", \ "0.003328,0.008825,0.004224", \ "0.142010,0.150590,0.140035"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.003081,0.014449,0.017601", \ "0.016661,0.028209,0.032114", \ "0.096892,0.111418,0.118663"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.038219,0.024546,0.018853", \ "0.055779,0.042019,0.036473", \ "0.102116,0.087596,0.080374"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.029909,0.026212,0.042003", \ "0.042007,0.036522,0.049667", \ "0.056993,0.048419,0.058999"); } } internal_power () { when : "!CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.390422,4.368883,4.339526,4.363835,4.542167,4.933185,5.552974"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.078868,3.043193,3.011646,3.043717,3.218518,3.599173,4.206004"); } } internal_power () { when : "!CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.405645,4.382246,4.352789,4.376564,4.553043,4.940622,5.558480"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.176268,3.140259,3.108270,3.139616,3.313592,3.690882,4.295833"); } } internal_power () { when : "!CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.348292,4.324962,4.297201,4.319793,4.499521,4.890955,5.512301"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.133519,3.098128,3.066648,3.099547,3.269117,3.642142,4.239237"); } } internal_power () { when : "CK & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.736635,0.709951,0.698905,0.693337,0.688895,0.687181,0.685328"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629389,-0.632952,-0.635977,-0.638024,-0.640288,-0.640959,-0.642675"); } } internal_power () { when : "CK & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734739,0.709557,0.698665,0.692112,0.690455,0.688341,0.685465"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629525,-0.633724,-0.636350,-0.638187,-0.640233,-0.640963,-0.642916"); } } internal_power () { when : "CK & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650770,0.658228,0.659692,0.659095,0.658476,0.658354,0.658084"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433699,-0.497311,-0.550833,-0.573155,-0.583737,-0.592379,-0.597589"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.475099; fall_capacitance : 2.422923; rise_capacitance : 2.475099; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.053421,-0.069039,-0.080916", \ "-0.062944,-0.077460,-0.086402", \ "-0.050459,-0.067058,-0.075918"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.166064,0.174317,0.185077", \ "0.221015,0.229602,0.240176", \ "0.412991,0.421463,0.431803"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.162336,0.185075,0.305269"); } } internal_power () { when : "!CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.267744,1.228162,1.204990,1.197409,1.192882,1.188890,1.186375"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.115340,-1.117410,-1.119600,-1.121340,-1.122850,-1.122040,-1.122460"); } } internal_power () { when : "!CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.278161,1.235093,1.209987,1.202237,1.197735,1.193826,1.191501"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.005680,-1.013820,-1.027060,-1.034640,-1.039240,-1.040500,-1.041450"); } } internal_power () { when : "CK & !D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.293156,1.238728,1.214523,1.203377,1.199432,1.195221,1.190761"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.112280,-1.115170,-1.119090,-1.120630,-1.121020,-1.120670,-1.122810"); } } internal_power () { when : "CK & D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.292124,1.237918,1.213779,1.202597,1.198660,1.194444,1.189989"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.115810,-1.117280,-1.121160,-1.122740,-1.123100,-1.122790,-1.124970"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.965663; fall_capacitance : 0.868585; rise_capacitance : 0.965663; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.053980,0.068327,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.089997,0.089219,0.198733"); } } internal_power () { when : "!D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.958094,3.926867,3.941373,4.122955,4.491574,5.080652,5.937177"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.056989,3.036821,3.027428,3.151551,3.493097,4.105816,5.011174"); } } internal_power () { when : "!D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.956901,3.925907,3.940375,4.121840,4.490196,5.079708,5.935562"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.062346,3.041894,3.033009,3.158789,3.498224,4.109966,5.016379"); } } internal_power () { when : "!D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.358088,7.322855,7.337712,7.518999,7.882376,8.470253,9.320489"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.582555,6.551378,6.564691,6.755804,7.151661,7.774349,8.680360"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("20.082740,20.045330,19.699780,19.631660,19.977070,20.754050,22.022150"); } } internal_power () { when : "D & RN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.712471,6.678790,6.689543,6.883240,7.277561,7.899691,8.800010"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.756123,3.722344,3.729255,3.914152,4.276254,4.859709,5.709995"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.237196,3.218553,3.213531,3.338420,3.671128,4.274970,5.175941"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0922473,0.0950896,0.0979867,0.103371,0.113184,0.131697,0.168241", \ "0.0937234,0.0965735,0.0994655,0.104849,0.114664,0.133180,0.169721", \ "0.0988443,0.101691,0.104585,0.109968,0.119780,0.138294,0.174836", \ "0.104578,0.107423,0.110326,0.115700,0.125518,0.144041,0.180563", \ "0.109026,0.111876,0.114765,0.120154,0.129955,0.148475,0.185022", \ "0.111895,0.114744,0.117630,0.123020,0.132823,0.151340,0.187879", \ "0.112738,0.115587,0.118487,0.123877,0.133680,0.152187,0.188732"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.125381,0.129805,0.133271,0.140026,0.155753,0.190429,0.262120", \ "0.126881,0.131302,0.134773,0.141524,0.157244,0.191923,0.263612", \ "0.131988,0.136412,0.139876,0.146632,0.162353,0.197025,0.268718", \ "0.137509,0.141931,0.145395,0.152149,0.167873,0.202549,0.274239", \ "0.141549,0.145965,0.149429,0.156182,0.171891,0.206563,0.278266", \ "0.144048,0.148465,0.151929,0.158678,0.174380,0.209045,0.280760", \ "0.145012,0.149425,0.152887,0.159638,0.175329,0.209984,0.281690"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00553748,0.00732169,0.00898564,0.0122967,0.0192722,0.0342713,0.0657597", \ "0.00553958,0.00731887,0.00898613,0.0122945,0.0192734,0.0342715,0.0657732", \ "0.00553474,0.00731973,0.00898739,0.0122986,0.0192729,0.0342647,0.0657612", \ "0.00553911,0.00732127,0.00898803,0.0122968,0.0192677,0.0342706,0.0657607", \ "0.00554369,0.00732022,0.00898417,0.0122952,0.0192721,0.0342682,0.0657626", \ "0.00554232,0.00732529,0.00898436,0.0122956,0.0192706,0.0342594,0.0657696", \ "0.00554437,0.00732604,0.00898618,0.0123015,0.0192698,0.0342648,0.0657777"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00791201,0.0115015,0.0149850,0.0220375,0.0381843,0.0723614,0.141243", \ "0.00791176,0.0114985,0.0149852,0.0220373,0.0381851,0.0723614,0.141250", \ "0.00791408,0.0115032,0.0149895,0.0220358,0.0381844,0.0723602,0.141244", \ "0.00791276,0.0115038,0.0149887,0.0220379,0.0381857,0.0723626,0.141246", \ "0.00791344,0.0115058,0.0149880,0.0220405,0.0381869,0.0723601,0.141242", \ "0.00792240,0.0115129,0.0149980,0.0220399,0.0381881,0.0723610,0.141246", \ "0.00792557,0.0115175,0.0150012,0.0220473,0.0381895,0.0723609,0.141246"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022872,0.026949,0.030484,0.036389,0.046636,0.065571,0.102595", \ "0.024438,0.028513,0.032045,0.037958,0.048203,0.067143,0.104166", \ "0.030863,0.034913,0.038439,0.044349,0.054606,0.073551,0.110578", \ "0.042116,0.046441,0.050137,0.056199,0.066516,0.085458,0.122456", \ "0.053999,0.058891,0.063034,0.069697,0.080588,0.099834,0.136758", \ "0.066685,0.072079,0.076675,0.083981,0.095581,0.115266,0.152308", \ "0.080568,0.086424,0.091437,0.099422,0.111845,0.132144,0.169248"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004515,0.006336,0.008193,0.011800,0.019119,0.034452,0.066039", \ "0.004518,0.006335,0.008193,0.011803,0.019116,0.034453,0.066045", \ "0.004531,0.006365,0.008217,0.011816,0.019130,0.034453,0.066035", \ "0.005707,0.007324,0.008993,0.012310,0.019357,0.034515,0.066038", \ "0.007516,0.009147,0.010778,0.013928,0.020532,0.035031,0.066086", \ "0.009417,0.011113,0.012760,0.015831,0.022061,0.035919,0.066390", \ "0.011484,0.013211,0.014909,0.017986,0.023955,0.037037,0.066689"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022871,0.026953,0.030484,0.036396,0.046633,0.065575,0.102599", \ "0.024439,0.028515,0.032046,0.037959,0.048203,0.067140,0.104163", \ "0.030864,0.034914,0.038440,0.044353,0.054607,0.073552,0.110580", \ "0.042117,0.046441,0.050137,0.056200,0.066517,0.085459,0.122457", \ "0.054000,0.058890,0.063035,0.069693,0.080589,0.099835,0.136759", \ "0.066681,0.072080,0.076676,0.083984,0.095585,0.115275,0.152308", \ "0.080575,0.086430,0.091445,0.099417,0.111848,0.132155,0.169246"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004515,0.006337,0.008193,0.011798,0.019117,0.034452,0.066044", \ "0.004518,0.006334,0.008194,0.011803,0.019117,0.034456,0.066049", \ "0.004531,0.006360,0.008218,0.011816,0.019130,0.034453,0.066037", \ "0.005707,0.007324,0.008993,0.012310,0.019357,0.034515,0.066038", \ "0.007515,0.009151,0.010778,0.013928,0.020532,0.035031,0.066086", \ "0.009420,0.011113,0.012760,0.015830,0.022060,0.035913,0.066390", \ "0.011486,0.013210,0.014908,0.017988,0.023953,0.037042,0.066688"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022895,0.026949,0.030461,0.036336,0.046507,0.065294,0.102031", \ "0.024464,0.028516,0.032025,0.037902,0.048075,0.066865,0.103602", \ "0.030891,0.034914,0.038416,0.044298,0.054477,0.073272,0.110015", \ "0.042164,0.046449,0.050118,0.056144,0.066387,0.085179,0.121896", \ "0.054059,0.058899,0.063007,0.069605,0.080404,0.099485,0.136161", \ "0.066732,0.072057,0.076605,0.083846,0.095322,0.114841,0.151661", \ "0.080571,0.086352,0.091317,0.099231,0.111547,0.131718,0.168682"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004485,0.006291,0.008143,0.011716,0.018970,0.034183,0.065740", \ "0.004487,0.006293,0.008138,0.011720,0.018969,0.034185,0.065755", \ "0.004500,0.006314,0.008159,0.011732,0.018973,0.034177,0.065747", \ "0.005645,0.007257,0.008921,0.012221,0.019207,0.034254,0.065768", \ "0.007428,0.009043,0.010666,0.013797,0.020348,0.034768,0.065853", \ "0.009280,0.010965,0.012613,0.015665,0.021844,0.035638,0.066234", \ "0.011300,0.013023,0.014736,0.017819,0.023741,0.036832,0.066688"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022895,0.026950,0.030461,0.036336,0.046507,0.065294,0.102031", \ "0.024464,0.028516,0.032025,0.037902,0.048075,0.066865,0.103601", \ "0.030891,0.034914,0.038416,0.044298,0.054477,0.073272,0.110015", \ "0.042164,0.046449,0.050118,0.056144,0.066387,0.085179,0.121896", \ "0.054059,0.058899,0.063007,0.069605,0.080404,0.099485,0.136161", \ "0.066732,0.072060,0.076605,0.083846,0.095322,0.114841,0.151661", \ "0.080571,0.086352,0.091317,0.099240,0.111547,0.131718,0.168682"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004485,0.006289,0.008143,0.011716,0.018970,0.034183,0.065740", \ "0.004487,0.006293,0.008138,0.011720,0.018969,0.034185,0.065754", \ "0.004500,0.006314,0.008159,0.011732,0.018973,0.034177,0.065748", \ "0.005645,0.007257,0.008921,0.012221,0.019207,0.034254,0.065768", \ "0.007428,0.009043,0.010666,0.013797,0.020348,0.034768,0.065853", \ "0.009280,0.010967,0.012613,0.015665,0.021844,0.035638,0.066237", \ "0.011300,0.013023,0.014736,0.017818,0.023741,0.036832,0.066689"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.604299,6.628848,6.691186,7.003198,7.080820,7.071858,7.015316", \ "6.608792,6.625713,6.657234,7.006693,7.062389,7.065698,6.909594", \ "6.569051,6.625760,6.659424,6.991528,7.044653,7.168542,7.056105", \ "6.648358,6.687275,6.752681,7.072404,7.118572,7.146542,6.992147", \ "6.824595,6.858647,6.884491,7.237264,7.369710,7.317574,7.260171", \ "7.133349,7.176865,7.209453,7.520790,7.659072,7.620669,7.694286", \ "7.591091,7.638939,7.670390,8.017998,8.139646,8.088829,8.154384"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.493571,8.322112,8.082812,7.799215,7.704289,7.532075,7.623183", \ "8.482691,8.271999,8.070625,7.839545,7.670249,7.691639,7.597129", \ "8.505847,8.297224,8.093462,7.818877,7.722149,7.551910,7.656067", \ "8.575829,8.373600,8.114774,7.904953,7.746029,7.624496,7.521835", \ "8.708227,8.489948,8.288263,8.008158,7.913002,7.788253,7.762956", \ "9.053576,8.826169,8.621142,8.347054,8.176495,8.095900,7.908728", \ "9.560205,9.348781,9.067457,8.845127,8.662870,8.539325,8.616031"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.793217,6.596974,6.633267,6.670073,6.691208,6.586670,6.337572", \ "6.791154,6.601531,6.586932,6.597328,6.646246,6.438984,6.491418", \ "6.790002,6.565713,6.596239,6.595662,6.619311,6.622216,6.382332", \ "7.060042,6.790093,6.742640,6.789847,6.674834,6.574080,6.577883", \ "7.568126,7.211375,7.125414,7.087170,7.031343,6.784958,6.979248", \ "8.322879,7.891378,7.722946,7.663193,7.590010,7.276310,7.464646", \ "9.235686,8.732019,8.555927,8.426004,8.311627,8.164259,8.019154"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.887761,6.680984,6.717337,6.754474,6.776928,6.672950,6.425304", \ "6.834370,6.683327,6.669002,6.680084,6.630129,6.603347,6.578354", \ "6.869724,6.646683,6.681986,6.677349,6.701943,6.683894,6.466999", \ "7.139822,6.870158,6.823113,6.806703,6.756827,6.656847,6.661633", \ "7.647940,7.291110,7.205020,7.167309,7.112400,6.866899,7.061707", \ "8.401745,7.970704,7.802399,7.741890,7.668656,7.356701,7.546266", \ "9.294594,8.808823,8.633500,8.506029,8.401359,8.242890,8.101723"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.316836,8.391982,8.517240,8.612173,8.728460,8.737902,8.584061", \ "8.258802,8.340954,8.465918,8.605396,8.578148,8.642461,8.397262", \ "8.303257,8.378512,8.498018,8.622883,8.672493,8.689807,8.643579", \ "8.553481,8.553863,8.641928,8.737971,8.847078,8.858948,8.614802", \ "9.111008,9.026094,9.066007,9.125691,9.143636,8.999349,9.030695", \ "9.879770,9.698262,9.680197,9.680390,9.675187,9.650977,9.673763", \ "10.984530,10.766060,10.664370,10.635930,10.571920,10.386530,10.510380"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.311381,8.423150,8.525084,8.619659,8.734193,8.742209,8.583562", \ "8.265384,8.349144,8.474011,8.612515,8.584380,8.595009,8.398730", \ "8.272869,8.385715,8.505378,8.629735,8.678243,8.690948,8.643647", \ "8.557899,8.561334,8.649315,8.744778,8.709038,8.862137,8.614875", \ "9.084693,9.032849,9.072602,9.131826,9.147855,9.002539,9.030619", \ "9.876145,9.705400,9.686751,9.686501,9.672697,9.653872,9.673569", \ "10.987980,10.750910,10.670250,10.642930,10.577370,10.395510,10.510250"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0823276,0.0892089,0.0953779,0.105539,0.121883,0.147799,0.189710", \ "0.0838272,0.0907041,0.0968795,0.107035,0.123378,0.149294,0.191206", \ "0.0889294,0.0958060,0.101978,0.112140,0.128484,0.154405,0.196317", \ "0.0944380,0.101317,0.107487,0.117652,0.134000,0.159933,0.201849", \ "0.0984526,0.105334,0.111504,0.121676,0.138017,0.163944,0.205866", \ "0.100936,0.107821,0.113992,0.124159,0.140506,0.166438,0.208386", \ "0.101852,0.108742,0.114921,0.125094,0.141443,0.167387,0.209332"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0692510,0.0762661,0.0826987,0.0938675,0.113733,0.150683,0.223209", \ "0.0707323,0.0777494,0.0841797,0.0953457,0.115215,0.152165,0.224685", \ "0.0758480,0.0828661,0.0892981,0.100466,0.120328,0.157284,0.229798", \ "0.0815791,0.0885961,0.0950374,0.106197,0.126067,0.163024,0.235535", \ "0.0860337,0.0930473,0.0994773,0.110649,0.130503,0.167463,0.239982", \ "0.0888902,0.0959136,0.102342,0.113518,0.133374,0.170333,0.242849", \ "0.0897295,0.0967557,0.103198,0.114378,0.134235,0.171180,0.243704"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0152312,0.0177218,0.0202479,0.0248503,0.0330564,0.0469324,0.0741939", \ "0.0152321,0.0177226,0.0202496,0.0248494,0.0330579,0.0469323,0.0741919", \ "0.0152428,0.0177311,0.0202568,0.0248561,0.0330645,0.0469377,0.0741886", \ "0.0152552,0.0177385,0.0202686,0.0248663,0.0330707,0.0469393,0.0742074", \ "0.0152760,0.0177634,0.0202973,0.0248884,0.0330843,0.0469516,0.0742080", \ "0.0152981,0.0177758,0.0203108,0.0249050,0.0331073,0.0469690,0.0742225", \ "0.0153636,0.0178429,0.0203650,0.0249646,0.0331533,0.0469990,0.0742238"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00821207,0.0123478,0.0165155,0.0245190,0.0404004,0.0732971,0.141564", \ "0.00821640,0.0123482,0.0165177,0.0245185,0.0404007,0.0732972,0.141565", \ "0.00821153,0.0123463,0.0165181,0.0245187,0.0403993,0.0732961,0.141569", \ "0.00821398,0.0123494,0.0165175,0.0245214,0.0403989,0.0732970,0.141567", \ "0.00821939,0.0123510,0.0165190,0.0245237,0.0404028,0.0732989,0.141568", \ "0.00822455,0.0123596,0.0165263,0.0245287,0.0404055,0.0732995,0.141570", \ "0.00823936,0.0123682,0.0165357,0.0245345,0.0404107,0.0733009,0.141573"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.109943,0.117573,0.125013,0.138021,0.160225,0.199081,0.272303", \ "0.111502,0.119135,0.126572,0.139587,0.161788,0.200651,0.273872", \ "0.117835,0.125473,0.132911,0.145920,0.168118,0.206977,0.280193", \ "0.129847,0.137372,0.144708,0.157601,0.179690,0.218482,0.291673", \ "0.144355,0.151680,0.158777,0.171354,0.193168,0.231783,0.304863", \ "0.159952,0.167189,0.174107,0.186347,0.207817,0.246171,0.319083", \ "0.176961,0.184180,0.190976,0.202904,0.223946,0.261957,0.334687"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014242,0.018339,0.022889,0.031209,0.046767,0.078141,0.144309", \ "0.014241,0.018342,0.022888,0.031207,0.046763,0.078148,0.144312", \ "0.014246,0.018340,0.022889,0.031206,0.046770,0.078134,0.144308", \ "0.014249,0.018343,0.022890,0.031210,0.046765,0.078148,0.144318", \ "0.014251,0.018348,0.022895,0.031217,0.046778,0.078150,0.144307", \ "0.014245,0.018355,0.022911,0.031239,0.046790,0.078151,0.144314", \ "0.014280,0.018376,0.022926,0.031245,0.046784,0.078146,0.144316"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.109925,0.117558,0.124993,0.138006,0.160191,0.199044,0.272242", \ "0.111486,0.119118,0.126553,0.139563,0.161755,0.200606,0.273803", \ "0.117818,0.125456,0.132892,0.145898,0.168089,0.206937,0.280129", \ "0.129835,0.137359,0.144693,0.157583,0.179667,0.218443,0.291614", \ "0.144344,0.151673,0.158768,0.171338,0.193151,0.231754,0.304811", \ "0.159945,0.167184,0.174101,0.186342,0.207808,0.246150,0.319038", \ "0.176965,0.184183,0.190978,0.202892,0.223934,0.261950,0.334645"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014245,0.018335,0.022884,0.031200,0.046754,0.078114,0.144259", \ "0.014240,0.018337,0.022882,0.031200,0.046749,0.078110,0.144267", \ "0.014243,0.018335,0.022885,0.031199,0.046757,0.078107,0.144271", \ "0.014246,0.018339,0.022886,0.031203,0.046751,0.078122,0.144272", \ "0.014246,0.018347,0.022891,0.031210,0.046765,0.078125,0.144262", \ "0.014242,0.018352,0.022907,0.031232,0.046778,0.078124,0.144273", \ "0.014276,0.018373,0.022922,0.031240,0.046778,0.078124,0.144271"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.214269,0.221459,0.227972,0.239029,0.258469,0.295170,0.367439", \ "0.215797,0.222986,0.229499,0.240557,0.259996,0.296689,0.368968", \ "0.221842,0.229038,0.235555,0.246616,0.266055,0.302755,0.375031", \ "0.231762,0.238957,0.245469,0.256541,0.275985,0.312686,0.384979", \ "0.246506,0.253697,0.260200,0.271262,0.290687,0.327379,0.399660", \ "0.267795,0.274987,0.281493,0.292538,0.311913,0.348589,0.420846", \ "0.296298,0.303492,0.309996,0.321029,0.340454,0.377097,0.449319"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009478,0.013341,0.017237,0.024716,0.040216,0.073300,0.141656", \ "0.009484,0.013342,0.017238,0.024713,0.040210,0.073315,0.141649", \ "0.009480,0.013341,0.017241,0.024713,0.040219,0.073304,0.141655", \ "0.009480,0.013345,0.017236,0.024717,0.040212,0.073297,0.141643", \ "0.009480,0.013345,0.017240,0.024716,0.040218,0.073311,0.141645", \ "0.009481,0.013347,0.017242,0.024719,0.040216,0.073309,0.141662", \ "0.009517,0.013376,0.017263,0.024737,0.040219,0.073302,0.141674"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.214109,0.221301,0.227816,0.238879,0.258329,0.295051,0.367345", \ "0.215637,0.222829,0.229345,0.240408,0.259858,0.296568,0.368871", \ "0.221688,0.228885,0.235408,0.246470,0.265919,0.302638,0.374938", \ "0.231616,0.238810,0.245326,0.256402,0.275857,0.312577,0.384890", \ "0.246369,0.253559,0.260066,0.271131,0.290564,0.327275,0.399574", \ "0.267667,0.274858,0.281367,0.292413,0.311798,0.348487,0.420762", \ "0.296173,0.303368,0.309874,0.320902,0.340342,0.376996,0.449238"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009475,0.013341,0.017233,0.024713,0.040212,0.073296,0.141656", \ "0.009476,0.013339,0.017233,0.024714,0.040206,0.073315,0.141657", \ "0.009475,0.013339,0.017236,0.024711,0.040216,0.073301,0.141656", \ "0.009475,0.013343,0.017231,0.024715,0.040206,0.073292,0.141643", \ "0.009475,0.013336,0.017238,0.024712,0.040216,0.073311,0.141646", \ "0.009481,0.013343,0.017238,0.024718,0.040216,0.073308,0.141662", \ "0.009512,0.013369,0.017262,0.024734,0.040220,0.073307,0.141674"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.493080,8.319715,8.087119,7.806783,7.719099,7.558926,7.641547", \ "8.482699,8.312024,8.074962,7.846891,7.685206,7.521337,7.627258", \ "8.505364,8.299613,8.097698,7.826247,7.678587,7.547234,7.378573", \ "8.575357,8.371868,8.157034,7.848646,7.760888,7.654534,7.581999", \ "8.708223,8.492420,8.292483,8.015662,7.927842,7.785403,7.787352", \ "9.053578,8.828581,8.625418,8.354379,8.191598,8.114267,7.969431", \ "9.559744,9.351104,9.080839,8.777180,8.677789,8.568196,8.530274"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.602136,6.638191,6.693149,7.009375,7.083269,7.081049,7.075396", \ "6.609126,6.631681,6.657471,7.010564,7.140856,7.081255,6.970322", \ "6.568798,6.627113,6.661462,6.984973,7.066894,7.082920,7.115835", \ "6.659981,6.687044,6.737337,7.059482,7.129032,7.161885,7.052776", \ "6.824743,6.851945,6.901134,7.241179,7.353008,7.310562,7.320140", \ "7.133360,7.169706,7.202057,7.545825,7.651699,7.650345,7.705630", \ "7.591100,7.635340,7.669817,8.022572,8.044135,8.140699,8.213386"); } } internal_power () { related_pin : "RN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.792427,6.598749,6.636730,6.675570,6.705563,6.616355,6.398108", \ "6.790431,6.603307,6.590454,6.602884,6.561225,6.469274,6.550599", \ "6.790007,6.567688,6.599692,6.601376,6.633875,6.548081,6.442293", \ "7.059323,6.792022,6.746157,6.731324,6.689904,6.604556,6.637465", \ "7.567366,7.213495,7.129112,7.092828,7.072769,6.815684,6.796336", \ "8.322134,7.893619,7.706747,7.668780,7.603791,7.307186,7.186900", \ "9.235688,8.734496,8.559957,8.459539,8.297083,8.193654,8.078881"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.887753,6.682775,6.720742,6.760043,6.791082,6.702253,6.485740", \ "6.871873,6.685075,6.672546,6.685655,6.645298,6.681524,6.637510", \ "6.869729,6.648485,6.680724,6.683078,6.716492,6.631545,6.526965", \ "7.139101,6.872083,6.826623,6.812438,6.771876,6.687091,6.721155", \ "7.647179,7.293122,7.208721,7.172958,7.127226,6.897593,6.879170", \ "8.401002,7.972944,7.786374,7.747478,7.681253,7.387559,7.268648", \ "9.293796,8.811302,8.637528,8.511621,8.417810,8.272895,8.161452"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.317044,8.393701,8.520734,8.617815,8.742710,8.767036,8.643906", \ "8.257702,8.342730,8.469473,8.610655,8.593191,8.619261,8.458854", \ "8.302236,8.380190,8.501402,8.628220,8.686966,8.716862,8.702338", \ "8.553471,8.568802,8.645613,8.743471,8.718310,8.888934,8.709320", \ "9.109969,9.028449,9.069695,9.131126,9.157305,9.029495,9.089889", \ "9.879781,9.700502,9.684245,9.686104,9.703466,9.713231,9.579863", \ "10.984530,10.747240,10.668630,10.641610,10.586690,10.417140,10.231690"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.310306,8.401740,8.528492,8.625247,8.605631,8.772990,8.644070", \ "8.265172,8.350884,8.477568,8.617856,8.648728,8.623039,8.459978", \ "8.272881,8.387357,8.508694,8.564489,8.692658,8.720126,8.702378", \ "8.556831,8.563210,8.652936,8.750355,8.724260,8.795183,8.690802", \ "9.084689,9.034819,9.076274,9.137223,9.162414,9.031619,9.089759", \ "9.876145,9.707812,9.690774,9.692199,9.698081,9.681778,9.590121", \ "10.987980,10.753410,10.675010,10.648540,10.591470,10.424480,10.231770"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFS_X1 Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X1 *******************************************************************************************/ cell (DFFS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; } area : 5.320000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 84.395957; leakage_power () { when : "!CK & !D & !SN & Q & !QN"; value : 74.146820; } leakage_power () { when : "!CK & !D & SN & !Q & QN"; value : 86.089278; } leakage_power () { when : "!CK & !D & SN & Q & !QN"; value : 89.733809; } leakage_power () { when : "!CK & D & !SN & Q & !QN"; value : 78.958121; } leakage_power () { when : "!CK & D & SN & !Q & QN"; value : 94.440676; } leakage_power () { when : "!CK & D & SN & Q & !QN"; value : 92.948339; } leakage_power () { when : "CK & !D & !SN & Q & !QN"; value : 65.122464; } leakage_power () { when : "CK & !D & SN & !Q & QN"; value : 90.427491; } leakage_power () { when : "CK & !D & SN & Q & !QN"; value : 78.592822; } leakage_power () { when : "CK & D & !SN & Q & !QN"; value : 72.227342; } leakage_power () { when : "CK & D & SN & !Q & QN"; value : 104.367505; } leakage_power () { when : "CK & D & SN & Q & !QN"; value : 85.696820; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.163714; fall_capacitance : 1.094666; rise_capacitance : 1.163714; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.002208,0.012253,0.013229", \ "0.004786,0.011793,0.008717", \ "0.143822,0.154449,0.146903"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.004395,0.017586,0.023046", \ "0.017828,0.030941,0.037017", \ "0.098524,0.114568,0.124358"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.036614,0.021305,0.013741", \ "0.054303,0.039126,0.031152", \ "0.100476,0.084437,0.074669"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.028504,0.023511,0.035571", \ "0.040532,0.033537,0.043405", \ "0.055172,0.044551,0.052121"); } } internal_power () { when : "!CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.340280,3.320402,3.290940,3.306021,3.445733,3.779839,4.332428"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.815081,1.775983,1.743756,1.757489,1.899931,2.222140,2.758755"); } } internal_power () { when : "!CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.573275,4.551735,4.522437,4.546356,4.711279,5.088576,5.691688"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.897658,2.861903,2.827689,2.853468,3.020915,3.391583,3.990040"); } } internal_power () { when : "!CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.515580,4.494945,4.464799,4.487575,4.656998,5.036348,5.641272"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.861220,2.826104,2.791992,2.815881,2.976733,3.343272,3.935019"); } } internal_power () { when : "CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649867,0.657510,0.659183,0.658695,0.658585,0.658108,0.657916"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.434275,-0.498872,-0.553378,-0.573728,-0.586021,-0.593483,-0.599054"); } } internal_power () { when : "CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734587,0.710087,0.697901,0.692524,0.690828,0.688665,0.686518"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628816,-0.632755,-0.635200,-0.636953,-0.638603,-0.639392,-0.640476"); } } internal_power () { when : "CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649845,0.657593,0.659202,0.658734,0.658558,0.658152,0.657950"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433925,-0.498429,-0.552288,-0.573280,-0.585626,-0.592671,-0.598578"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.355893; fall_capacitance : 1.328339; rise_capacitance : 1.355893; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.042432,-0.057989,-0.067778", \ "-0.039616,-0.055240,-0.065018", \ "-0.047957,-0.062341,-0.071754"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.125769,0.139327,0.146603", \ "0.180500,0.194113,0.201182", \ "0.372640,0.386242,0.393364"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.183397,0.214877,0.345495"); } } internal_power () { when : "!CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.835718,1.794378,1.823019,2.003970,2.353683,2.891329,3.641905"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.558231,0.522470,0.485463,0.538462,0.763720,1.213447,1.891456"); } } internal_power () { when : "!CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.708829,0.675716,0.664105,0.661253,0.659183,0.655400,0.654237"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609364,-0.611605,-0.613334,-0.614691,-0.616570,-0.615363,-0.616443"); } } internal_power () { when : "CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.683062,0.664536,0.658185,0.654416,0.652401,0.650316,0.648838"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.612574,-0.616052,-0.617712,-0.618101,-0.618226,-0.617699,-0.618481"); } } internal_power () { when : "CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.683061,0.664540,0.658183,0.654418,0.652394,0.650307,0.648836"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.612572,-0.616060,-0.617729,-0.618115,-0.618231,-0.617714,-0.618485"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.965214; fall_capacitance : 0.877773; rise_capacitance : 0.965214; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.053980,0.071399,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.053369,0.057267,0.198733"); } } internal_power () { when : "!D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.875082,6.845727,6.851317,7.038276,7.421833,8.023543,8.881747"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.071700,15.054070,15.067110,15.178220,15.469010,16.041250,16.958770"); } } internal_power () { when : "!D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.903735,4.873003,4.877103,5.050455,5.415370,5.994839,6.834796"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.438542,2.423027,2.418164,2.534798,2.846811,3.424396,4.302924"); } } internal_power () { when : "!D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.109837,8.075737,8.080778,8.263587,8.646654,9.244626,10.098570"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.685620,4.653573,4.657543,4.831487,5.198005,5.778165,6.612570"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.611032,2.595074,2.592395,2.707611,3.016086,3.590343,4.463799"); } } internal_power () { when : "D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.716234,7.681276,7.683929,7.864597,8.257942,8.878371,9.764930"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.688746,4.658446,4.660297,4.831562,5.201610,5.779748,6.612004"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.619408,2.603396,2.600668,2.715705,3.024563,3.598692,4.472255"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.653700; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0788474,0.0820298,0.0853166,0.0910199,0.101069,0.119777,0.156534", \ "0.0803317,0.0835158,0.0868052,0.0925050,0.102560,0.121265,0.158018", \ "0.0857071,0.0888919,0.0921817,0.0978838,0.107936,0.126642,0.163402", \ "0.0924851,0.0956703,0.0989616,0.104664,0.114722,0.133426,0.170180", \ "0.0978933,0.101070,0.104357,0.110038,0.120094,0.138808,0.175563", \ "0.101508,0.104695,0.107985,0.113677,0.123730,0.142429,0.179179", \ "0.102990,0.106169,0.109452,0.115154,0.125221,0.143903,0.180659"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.0849651,0.0884698,0.0922488,0.100282,0.117660,0.153611,0.226147", \ "0.0864538,0.0899602,0.0937381,0.101776,0.119151,0.155099,0.227637", \ "0.0917211,0.0952255,0.0990040,0.107040,0.124415,0.160364,0.232907", \ "0.0982734,0.101778,0.105557,0.113589,0.130964,0.166912,0.239457", \ "0.103390,0.106897,0.110677,0.118702,0.136066,0.172009,0.244549", \ "0.106994,0.110500,0.114277,0.122311,0.139666,0.175611,0.248148", \ "0.108978,0.112483,0.116260,0.124271,0.141606,0.177539,0.250058"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.00518473,0.00680980,0.00858329,0.0120280,0.0191376,0.0342666,0.0659160", \ "0.00518814,0.00680546,0.00858591,0.0120284,0.0191378,0.0342657,0.0659182", \ "0.00518959,0.00680817,0.00858150,0.0120332,0.0191425,0.0342626,0.0659237", \ "0.00518702,0.00681111,0.00858721,0.0120333,0.0191424,0.0342611,0.0659076", \ "0.00518316,0.00680680,0.00858410,0.0120326,0.0191406,0.0342622,0.0659065", \ "0.00518835,0.00681145,0.00858377,0.0120341,0.0191430,0.0342650,0.0658932", \ "0.00519550,0.00681644,0.00858664,0.0120331,0.0191410,0.0342667,0.0659169"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.00632139,0.00905387,0.0124469,0.0203511,0.0374106,0.0719636,0.141162", \ "0.00632104,0.00905427,0.0124460,0.0203493,0.0374103,0.0719596,0.141156", \ "0.00632626,0.00905111,0.0124492,0.0203517,0.0374105,0.0719588,0.141155", \ "0.00632984,0.00905377,0.0124497,0.0203502,0.0374112,0.0719639,0.141157", \ "0.00633178,0.00906605,0.0124536,0.0203509,0.0374082,0.0719629,0.141155", \ "0.00633515,0.00906756,0.0124562,0.0203513,0.0374097,0.0719590,0.141163", \ "0.00635837,0.00908597,0.0124695,0.0203554,0.0374102,0.0719624,0.141155"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.212784,0.215611,0.218822,0.225101,0.239328,0.272631,0.343516", \ "0.214313,0.217133,0.220350,0.226630,0.240846,0.274154,0.345036", \ "0.220387,0.223213,0.226428,0.232704,0.246924,0.280214,0.351105", \ "0.230228,0.233035,0.236263,0.242536,0.256750,0.290045,0.360941", \ "0.244767,0.247581,0.250803,0.257101,0.271286,0.304570,0.375465", \ "0.265794,0.268610,0.271851,0.278219,0.292421,0.325712,0.396613", \ "0.294703,0.297491,0.300760,0.307084,0.321294,0.354523,0.425345"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.011411,0.014697,0.017728,0.023259,0.038203,0.072206,0.141265", \ "0.011413,0.014696,0.017726,0.023259,0.038203,0.072204,0.141269", \ "0.011411,0.014696,0.017727,0.023262,0.038203,0.072209,0.141273", \ "0.011412,0.014696,0.017727,0.023263,0.038207,0.072202,0.141263", \ "0.011412,0.014700,0.017724,0.023265,0.038205,0.072200,0.141273", \ "0.011412,0.014696,0.017727,0.023260,0.038202,0.072203,0.141265", \ "0.011448,0.014722,0.017755,0.023284,0.038209,0.072202,0.141268"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.212897,0.215707,0.218920,0.225203,0.239411,0.272701,0.343577", \ "0.214410,0.217238,0.220449,0.226748,0.240934,0.274224,0.345119", \ "0.220494,0.223302,0.226522,0.232801,0.247012,0.280290,0.351176", \ "0.230380,0.233191,0.236405,0.242688,0.256895,0.290168,0.361068", \ "0.245096,0.247891,0.251126,0.257401,0.271486,0.304772,0.375656", \ "0.266118,0.269007,0.272232,0.278589,0.292596,0.325935,0.396819", \ "0.294739,0.297532,0.300858,0.307356,0.321407,0.354676,0.425531"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.011417,0.014701,0.017732,0.023264,0.038206,0.072203,0.141266", \ "0.011418,0.014703,0.017732,0.023266,0.038208,0.072201,0.141269", \ "0.011415,0.014701,0.017734,0.023266,0.038205,0.072200,0.141267", \ "0.011417,0.014702,0.017731,0.023266,0.038205,0.072212,0.141264", \ "0.011415,0.014701,0.017733,0.023265,0.038208,0.072202,0.141268", \ "0.011413,0.014701,0.017732,0.023266,0.038204,0.072211,0.141267", \ "0.011452,0.014726,0.017755,0.023284,0.038213,0.072203,0.141273"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.143747,0.147285,0.151082,0.159125,0.176503,0.212492,0.285116", \ "0.145213,0.148742,0.152536,0.160579,0.177967,0.213948,0.286566", \ "0.151571,0.155092,0.158881,0.166919,0.184312,0.220308,0.292924", \ "0.161034,0.164554,0.168343,0.176378,0.193767,0.229756,0.302374", \ "0.171389,0.174907,0.178700,0.186743,0.204129,0.240115,0.312738", \ "0.183030,0.186545,0.190339,0.198380,0.215746,0.251739,0.324352", \ "0.196141,0.199652,0.203440,0.211452,0.228788,0.264806,0.337411"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006374,0.009102,0.012478,0.020352,0.037417,0.071969,0.141160", \ "0.006361,0.009089,0.012462,0.020350,0.037415,0.071971,0.141165", \ "0.006352,0.009077,0.012459,0.020347,0.037414,0.071972,0.141162", \ "0.006350,0.009077,0.012450,0.020344,0.037415,0.071963,0.141164", \ "0.006350,0.009072,0.012453,0.020343,0.037413,0.071970,0.141160", \ "0.006351,0.009071,0.012450,0.020342,0.037416,0.071967,0.141158", \ "0.006346,0.009065,0.012443,0.020338,0.037409,0.071965,0.141162"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.143820,0.147354,0.151164,0.159190,0.176562,0.212545,0.285146", \ "0.145283,0.148808,0.152599,0.160637,0.178019,0.213999,0.286606", \ "0.151639,0.155162,0.158951,0.166983,0.184367,0.220350,0.292961", \ "0.161099,0.164617,0.168406,0.176437,0.193821,0.229802,0.302411", \ "0.171454,0.174970,0.178760,0.186803,0.204177,0.240157,0.312769", \ "0.183083,0.186599,0.190391,0.198431,0.215803,0.251784,0.324378", \ "0.196188,0.199701,0.203485,0.211496,0.228830,0.264837,0.337441"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("0.006376,0.009105,0.012472,0.020352,0.037418,0.071969,0.141161", \ "0.006364,0.009092,0.012463,0.020348,0.037415,0.071972,0.141165", \ "0.006356,0.009078,0.012460,0.020346,0.037413,0.071969,0.141162", \ "0.006352,0.009076,0.012452,0.020344,0.037415,0.071968,0.141164", \ "0.006352,0.009074,0.012452,0.020344,0.037411,0.071965,0.141160", \ "0.006352,0.009073,0.012455,0.020343,0.037415,0.071969,0.141163", \ "0.006349,0.009068,0.012443,0.020339,0.037409,0.071965,0.141162"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.022446,4.108403,4.166117,4.333826,4.378189,4.434774,4.410236", \ "4.022272,4.106984,4.168600,4.327522,4.373155,4.314979,4.363907", \ "4.016587,4.095576,4.163625,4.321181,4.396694,4.421383,4.440959", \ "4.079906,4.157658,4.226078,4.373869,4.456706,4.415373,4.434716", \ "4.233771,4.315090,4.363446,4.537543,4.606578,4.630861,4.551620", \ "4.535493,4.616338,4.676354,4.828211,4.903226,4.928666,4.950747", \ "4.977807,5.058246,5.128891,5.264784,5.330697,5.354904,5.337618"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("4.450731,4.459653,4.468538,4.498755,4.543990,4.590604,4.563303", \ "4.443293,4.450428,4.464630,4.492928,4.522814,4.487258,4.556831", \ "4.445784,4.455009,4.456326,4.494052,4.538541,4.529383,4.554528", \ "4.505079,4.514624,4.514399,4.525439,4.607122,4.572275,4.619500", \ "4.643283,4.641573,4.657929,4.709122,4.718393,4.755232,4.700536", \ "4.964337,4.960850,4.956843,4.980760,5.014293,5.051831,5.070435", \ "5.439070,5.404749,5.401011,5.461640,5.512302,5.502647,5.537385"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("6.110261,5.958014,5.762313,5.487878,5.206863,5.005594,4.875117", \ "6.077271,5.934790,5.729017,5.467695,5.184903,4.948402,4.856826", \ "6.070954,5.945356,5.769011,5.487472,5.208085,5.021183,4.889043", \ "6.186897,6.025626,5.839149,5.569193,5.253839,5.046363,4.928776", \ "6.344871,6.201701,5.996187,5.723201,5.411752,5.284507,5.122260", \ "6.633194,6.497209,6.330738,6.047345,5.755300,5.547353,5.448579", \ "7.186057,6.991953,6.831267,6.559532,6.250268,6.045472,5.885651"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("5.531736,5.339634,5.184117,4.914708,4.636195,4.430907,4.332662", \ "5.505447,5.362308,5.156951,4.897602,4.604296,4.375534,4.300261", \ "5.479135,5.348774,5.177644,4.894346,4.619604,4.398119,4.310276", \ "5.502298,5.359935,5.154115,4.891342,4.611959,4.415984,4.306219", \ "5.477930,5.359741,5.176484,4.902628,4.610776,4.423849,4.284084", \ "5.539980,5.373666,5.188552,4.927436,4.637978,4.453515,4.168527", \ "5.688105,5.493996,5.331036,5.053638,4.750351,4.548315,4.315990"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("5.310481,5.283959,5.285089,5.328827,5.399249,5.384494,5.337698", \ "5.288872,5.279940,5.252826,5.302020,5.370750,5.355598,5.408374", \ "5.297656,5.258616,5.272161,5.318744,5.389812,5.389795,5.357704", \ "5.375129,5.371069,5.370187,5.407291,5.428552,5.418146,5.365172", \ "5.533389,5.527352,5.499740,5.570123,5.553802,5.634258,5.550984", \ "5.765000,5.736808,5.773092,5.808164,5.838496,5.803248,5.903923", \ "6.072926,6.070649,6.074868,6.127655,6.182938,6.117726,6.173456"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.895430,3.790860,7.581710,15.163400,30.326900,60.653700"); values ("5.315852,5.314345,5.288249,5.323505,5.385418,5.352389,5.349547", \ "5.292869,5.282607,5.255887,5.332722,5.381286,5.387465,5.420251", \ "5.287461,5.269257,5.275118,5.322969,5.387349,5.375384,5.369499", \ "5.380390,5.336499,5.372864,5.411415,5.434491,5.426618,5.376633", \ "5.536850,5.529333,5.533141,5.573666,5.632443,5.551852,5.562135", \ "5.766429,5.738703,5.753831,5.821213,5.876277,5.906967,5.847523", \ "6.083770,6.058719,6.077552,6.129335,6.187381,6.121203,6.239038"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0607926,0.0658335,0.0709456,0.0793713,0.0929680,0.114967,0.153513", \ "0.0622813,0.0673238,0.0724338,0.0808635,0.0944580,0.116456,0.155004", \ "0.0675435,0.0725858,0.0776971,0.0861284,0.0997260,0.121728,0.160275", \ "0.0740937,0.0791359,0.0842457,0.0926749,0.106277,0.128284,0.166839", \ "0.0792020,0.0842419,0.0893520,0.0977825,0.111384,0.133398,0.171956", \ "0.0827955,0.0878312,0.0929376,0.101373,0.114975,0.137000,0.175550", \ "0.0847166,0.0897578,0.0948679,0.103303,0.116920,0.138970,0.177540"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0596983,0.0648930,0.0704436,0.0804743,0.0992692,0.135731,0.208154", \ "0.0611816,0.0663797,0.0719322,0.0819613,0.100760,0.137217,0.209639", \ "0.0665585,0.0717558,0.0773069,0.0873393,0.106137,0.142596,0.215021", \ "0.0733313,0.0785343,0.0840858,0.0941194,0.112923,0.149382,0.221808", \ "0.0787402,0.0839331,0.0894826,0.0994943,0.118295,0.154761,0.227186", \ "0.0823478,0.0875517,0.0931063,0.103130,0.121932,0.158385,0.230805", \ "0.0838181,0.0890211,0.0945664,0.104607,0.123420,0.159858,0.232283"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00998028,0.0120464,0.0143445,0.0185101,0.0257493,0.0394972,0.0686423", \ "0.00997968,0.0120473,0.0143463,0.0185119,0.0257487,0.0394972,0.0686325", \ "0.00998398,0.0120507,0.0143505,0.0185164,0.0257530,0.0394970,0.0686331", \ "0.00998931,0.0120569,0.0143582,0.0185247,0.0257591,0.0395025,0.0686360", \ "0.0100131,0.0120857,0.0143886,0.0185563,0.0257855,0.0395148,0.0686311", \ "0.0100605,0.0121293,0.0144304,0.0185903,0.0258105,0.0395345,0.0686385", \ "0.0102143,0.0122729,0.0145642,0.0187026,0.0258968,0.0395851,0.0686674"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00719333,0.0104648,0.0144008,0.0223869,0.0388462,0.0726502,0.141269", \ "0.00720127,0.0104653,0.0143995,0.0223857,0.0388409,0.0726511,0.141267", \ "0.00719873,0.0104657,0.0143984,0.0223877,0.0388446,0.0726484,0.141265", \ "0.00719761,0.0104643,0.0144030,0.0223882,0.0388431,0.0726497,0.141267", \ "0.00720168,0.0104709,0.0144059,0.0223886,0.0388422,0.0726530,0.141269", \ "0.00721178,0.0104824,0.0144108,0.0223971,0.0388482,0.0726533,0.141266", \ "0.00722961,0.0104928,0.0144254,0.0224025,0.0388503,0.0726552,0.141270"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.170422,0.178398,0.186831,0.200704,0.221827,0.252447,0.299617", \ "0.171952,0.179919,0.188359,0.202233,0.223345,0.253961,0.301139", \ "0.178029,0.186001,0.194436,0.208305,0.229420,0.260026,0.307211", \ "0.187873,0.195821,0.204271,0.218138,0.239250,0.269866,0.317045", \ "0.202408,0.210367,0.218812,0.232704,0.253787,0.284388,0.331579", \ "0.223435,0.231399,0.239859,0.253813,0.274897,0.305485,0.352678", \ "0.252410,0.260281,0.268691,0.282502,0.303543,0.334087,0.381224"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.032884,0.035441,0.038165,0.042368,0.048419,0.059556,0.085045", \ "0.032885,0.035441,0.038165,0.042369,0.048418,0.059553,0.085033", \ "0.032876,0.035441,0.038164,0.042369,0.048418,0.059542,0.085045", \ "0.032887,0.035439,0.038165,0.042366,0.048415,0.059543,0.085031", \ "0.032886,0.035442,0.038163,0.042367,0.048410,0.059536,0.085041", \ "0.032885,0.035437,0.038168,0.042376,0.048432,0.059562,0.085048", \ "0.033482,0.035939,0.038589,0.042723,0.048731,0.059779,0.085171"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.170523,0.178481,0.186918,0.200801,0.221914,0.252532,0.299698", \ "0.172030,0.180012,0.188447,0.202343,0.223438,0.254048,0.301246", \ "0.178112,0.186078,0.194520,0.208398,0.229518,0.260116,0.307308", \ "0.188002,0.195966,0.204405,0.218285,0.239402,0.269993,0.317195", \ "0.202725,0.210668,0.219125,0.232998,0.253997,0.284612,0.331808", \ "0.223744,0.231786,0.240232,0.254178,0.275077,0.305718,0.352917", \ "0.252435,0.260313,0.268783,0.282770,0.303660,0.334227,0.381409"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.032908,0.035463,0.038189,0.042393,0.048442,0.059568,0.085097", \ "0.032913,0.035462,0.038187,0.042395,0.048442,0.059562,0.085084", \ "0.032912,0.035460,0.038189,0.042393,0.048440,0.059568,0.085097", \ "0.032911,0.035464,0.038186,0.042391,0.048435,0.059566,0.085078", \ "0.032908,0.035462,0.038185,0.042391,0.048435,0.059560,0.085085", \ "0.032898,0.035455,0.038185,0.042395,0.048451,0.059586,0.085096", \ "0.033499,0.035956,0.038604,0.042740,0.048748,0.059807,0.085217"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.119598,0.124649,0.129773,0.138275,0.152104,0.174397,0.213209", \ "0.121095,0.126147,0.131266,0.139746,0.153538,0.175799,0.214591", \ "0.127468,0.132516,0.137633,0.146099,0.159866,0.182116,0.220892", \ "0.136935,0.141983,0.147102,0.155562,0.169314,0.191553,0.230325", \ "0.147291,0.152340,0.157461,0.165927,0.179671,0.201900,0.240677", \ "0.158943,0.163986,0.169110,0.177575,0.191292,0.213520,0.252287", \ "0.172047,0.177090,0.182210,0.190636,0.204282,0.226463,0.265161"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.010162,0.012219,0.014531,0.018804,0.026189,0.039868,0.068858", \ "0.010152,0.012210,0.014512,0.018750,0.026120,0.039829,0.068841", \ "0.010159,0.012206,0.014504,0.018719,0.026074,0.039801,0.068827", \ "0.010157,0.012208,0.014499,0.018712,0.026059,0.039782,0.068830", \ "0.010152,0.012210,0.014499,0.018706,0.026050,0.039782,0.068828", \ "0.010154,0.012203,0.014495,0.018703,0.026043,0.039780,0.068814", \ "0.010157,0.012207,0.014491,0.018666,0.025946,0.039681,0.068760"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.119665,0.124714,0.129853,0.138339,0.152166,0.174458,0.213247", \ "0.121159,0.126206,0.131327,0.139805,0.153594,0.175856,0.214638", \ "0.127532,0.132581,0.137700,0.146163,0.159922,0.182163,0.220935", \ "0.136995,0.142042,0.147162,0.155621,0.169372,0.191603,0.230369", \ "0.147356,0.152401,0.157522,0.165986,0.179725,0.201947,0.240714", \ "0.158994,0.164036,0.169160,0.177624,0.191352,0.213567,0.252321", \ "0.172095,0.177136,0.182253,0.190681,0.204325,0.226502,0.265196"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.010166,0.012227,0.014536,0.018810,0.026194,0.039865,0.068860", \ "0.010159,0.012217,0.014517,0.018756,0.026126,0.039834,0.068844", \ "0.010163,0.012215,0.014507,0.018724,0.026081,0.039800,0.068830", \ "0.010159,0.012213,0.014504,0.018717,0.026064,0.039793,0.068832", \ "0.010155,0.012214,0.014501,0.018710,0.026054,0.039783,0.068831", \ "0.010153,0.012210,0.014503,0.018707,0.026047,0.039776,0.068816", \ "0.010158,0.012211,0.014495,0.018670,0.025950,0.039683,0.068762"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.450740,4.462146,4.466571,4.507823,4.562124,4.545721,4.573051", \ "4.443282,4.452898,4.457037,4.486151,4.541155,4.525004,4.582604", \ "4.444252,4.457492,4.462426,4.502980,4.501044,4.548358,4.562120", \ "4.503584,4.484542,4.516578,4.534633,4.585532,4.609447,4.643007", \ "4.643296,4.665086,4.651437,4.696564,4.736842,4.663229,4.775185", \ "4.964344,4.963396,4.969651,4.986480,5.045372,5.036479,4.976084", \ "5.437567,5.406528,5.405911,5.466644,5.470642,5.502847,5.443487"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.022407,4.107268,4.178612,4.308466,4.387412,4.441136,4.393834", \ "4.016922,4.101650,4.170867,4.321177,4.370997,4.342650,4.428307", \ "4.011256,4.096476,4.165980,4.323548,4.377874,4.430147,4.331560", \ "4.074690,4.158572,4.228356,4.377758,4.408507,4.443145,4.462103", \ "4.233770,4.316476,4.364158,4.513767,4.606098,4.536809,4.647975", \ "4.535485,4.619398,4.671670,4.832950,4.857486,4.883007,4.856670", \ "4.973372,5.059213,5.131280,5.267603,5.335982,5.374030,5.380145"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.105811,5.922330,5.768963,5.497307,5.210448,5.043945,4.905983", \ "6.072834,5.938293,5.735724,5.477253,5.195260,4.955148,4.888051", \ "6.066256,5.954677,5.775560,5.492179,5.158285,4.986723,4.877869", \ "6.182534,6.031348,5.845806,5.575306,5.274702,5.033883,4.971141", \ "6.340355,6.205259,6.002968,5.735199,5.467148,5.256520,5.104010", \ "6.628618,6.501806,6.337303,6.034083,5.722397,5.571227,5.375108", \ "7.181703,7.029891,6.837981,6.562623,6.216680,6.046093,5.905453"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.527210,5.372889,5.190622,4.926377,4.634343,4.469189,4.186248", \ "5.500968,5.365790,5.163680,4.905884,4.624947,4.389810,4.263924", \ "5.476323,5.349400,5.184242,4.904490,4.572602,4.416318,4.207765", \ "5.497868,5.363457,5.160809,4.904443,4.618207,4.437055,4.290523", \ "5.475119,5.337955,5.183158,4.905770,4.566167,4.442072,4.168561", \ "5.535574,5.374647,5.195232,4.901015,4.593045,4.435425,4.245434", \ "5.683741,5.502801,5.337782,5.065664,4.736234,4.552507,4.334535"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.310396,5.276086,5.289923,5.344925,5.396999,5.369910,5.412618", \ "5.288861,5.282245,5.257731,5.311142,5.379134,5.387899,5.314062", \ "5.297513,5.260549,5.276952,5.327758,5.321983,5.403318,5.431984", \ "5.375135,5.337061,5.363723,5.377822,5.446600,5.455187,5.440657", \ "5.533381,5.529717,5.504632,5.540782,5.577069,5.605703,5.625973", \ "5.760471,5.750389,5.756092,5.788265,5.856400,5.859873,5.910988", \ "6.077803,6.059308,6.039471,6.128776,6.127419,6.134486,6.078274"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.315754,5.275368,5.293138,5.333693,5.403365,5.358897,5.424498", \ "5.292860,5.284962,5.260824,5.315919,5.385258,5.396765,5.325815", \ "5.282771,5.269331,5.279993,5.332025,5.328146,5.412055,5.443808", \ "5.380391,5.356812,5.374822,5.381785,5.452581,5.463691,5.452152", \ "5.536845,5.531663,5.507028,5.544054,5.577914,5.589490,5.637152", \ "5.761844,5.741395,5.758778,5.791882,5.861786,5.852265,5.921861", \ "6.079508,6.061291,6.041934,6.132250,6.132442,6.141925,6.088668"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFFS_X2 Cell Description : Pos.edge D-Flip-Flop with active low set, and drive strength X2 *******************************************************************************************/ cell (DFFS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; preset : "!SN"; } area : 5.586000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 121.107028; leakage_power () { when : "!CK & !D & !SN & Q & !QN"; value : 112.295700; } leakage_power () { when : "!CK & !D & SN & !Q & QN"; value : 119.940535; } leakage_power () { when : "!CK & !D & SN & Q & !QN"; value : 127.889289; } leakage_power () { when : "!CK & D & !SN & Q & !QN"; value : 117.108651; } leakage_power () { when : "!CK & D & SN & !Q & QN"; value : 128.295013; } leakage_power () { when : "!CK & D & SN & Q & !QN"; value : 131.106228; } leakage_power () { when : "CK & !D & !SN & Q & !QN"; value : 103.261004; } leakage_power () { when : "CK & !D & SN & !Q & QN"; value : 124.257870; } leakage_power () { when : "CK & !D & SN & Q & !QN"; value : 116.731362; } leakage_power () { when : "CK & D & !SN & Q & !QN"; value : 110.365882; } leakage_power () { when : "CK & D & SN & !Q & QN"; value : 138.197554; } leakage_power () { when : "CK & D & SN & Q & !QN"; value : 123.835250; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.163041; fall_capacitance : 1.095208; rise_capacitance : 1.163041; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.001602,0.011403,0.013183", \ "0.005004,0.011834,0.008735", \ "0.144668,0.154628,0.147580"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.004665,0.017482,0.023248", \ "0.017698,0.031302,0.037230", \ "0.096258,0.112671,0.122404"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.038319,0.022810,0.014751", \ "0.055991,0.040507,0.032565", \ "0.102966,0.086554,0.076824"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.028345,0.023285,0.034969", \ "0.040299,0.033470,0.042945", \ "0.054404,0.044445,0.051495"); } } internal_power () { when : "!CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.431061,3.409985,3.381019,3.394978,3.533514,3.865670,4.415322"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.815458,1.779798,1.745153,1.760857,1.895197,2.217710,2.751016"); } } internal_power () { when : "!CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.667537,4.646368,4.616949,4.638615,4.806805,5.179312,5.778206"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.863300,2.827912,2.793984,2.819975,2.986476,3.354660,3.951051"); } } internal_power () { when : "!CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.609701,4.588584,4.559329,4.581515,4.747760,5.127155,5.731327"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.822880,2.787989,2.757474,2.782683,2.945892,3.309584,3.895915"); } } internal_power () { when : "CK & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649973,0.657591,0.659299,0.658792,0.658792,0.658313,0.658050"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.434003,-0.498542,-0.552994,-0.573344,-0.585836,-0.593099,-0.598925"); } } internal_power () { when : "CK & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.733731,0.710093,0.697986,0.692634,0.691029,0.688869,0.686785"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628000,-0.632426,-0.634868,-0.636589,-0.638420,-0.639044,-0.640134"); } } internal_power () { when : "CK & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649968,0.657664,0.659319,0.658847,0.658756,0.658358,0.658085"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433650,-0.498119,-0.551923,-0.573500,-0.585440,-0.592295,-0.598457"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.333554; fall_capacitance : 1.321686; rise_capacitance : 1.333554; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.037548,-0.053078,-0.063712", \ "-0.033785,-0.049377,-0.059672", \ "-0.043577,-0.059197,-0.069191"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.094327,0.108326,0.117200", \ "0.148886,0.163252,0.171937", \ "0.341360,0.355739,0.363894"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.283817,0.315035,0.451087"); } } internal_power () { when : "!CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.798552,1.760849,1.791377,1.970043,2.320596,2.864151,3.611112"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.558595,0.524093,0.486102,0.538432,0.768501,1.219321,1.900376"); } } internal_power () { when : "!CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.708448,0.679542,0.667439,0.657765,0.658993,0.654851,0.655857"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609266,-0.611760,-0.614615,-0.611672,-0.615844,-0.616376,-0.617064"); } } internal_power () { when : "CK & !D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.683492,0.664582,0.658320,0.654635,0.652485,0.650542,0.648966"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.612507,-0.615600,-0.617193,-0.617645,-0.617855,-0.617380,-0.618325"); } } internal_power () { when : "CK & D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.683503,0.664584,0.658317,0.654633,0.652478,0.650533,0.648964"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.612513,-0.615609,-0.617207,-0.617659,-0.617858,-0.617393,-0.618324"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.968853; fall_capacitance : 0.879785; rise_capacitance : 0.968853; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.056116,0.072628,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.081450,0.082152,0.198733"); } } internal_power () { when : "!D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.053851,7.023931,7.028837,7.214175,7.598770,8.198951,9.053533"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.253940,15.237080,15.256450,15.374460,15.665400,16.242030,17.154960"); } } internal_power () { when : "!D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.998687,4.968707,4.972607,5.142715,5.505494,6.082946,6.918661"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.440385,2.423393,2.415175,2.529505,2.841701,3.415967,4.289460"); } } internal_power () { when : "!D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.293561,8.260271,8.263842,8.443405,8.825412,9.419903,10.270010"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.783933,4.754444,4.757539,4.927371,5.294335,5.867854,6.698656"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.606926,2.591210,2.589330,2.704292,3.011150,3.579821,4.451130"); } } internal_power () { when : "D & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.892426,7.857873,7.858908,8.037640,8.430636,9.050122,9.937481"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.782005,4.752524,4.755993,4.925563,5.295982,5.870317,6.700395"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.616103,2.600517,2.598474,2.713621,3.020677,3.589003,4.460380"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0903924,0.0930543,0.0958571,0.101096,0.110741,0.129170,0.165787", \ "0.0918801,0.0945441,0.0973462,0.102586,0.112229,0.130662,0.167274", \ "0.0972708,0.0999351,0.102734,0.107973,0.117623,0.136042,0.172664", \ "0.104175,0.106839,0.109639,0.114882,0.124530,0.142955,0.179570", \ "0.109706,0.112367,0.115172,0.120394,0.130035,0.148485,0.185100", \ "0.113476,0.116132,0.118927,0.124166,0.133815,0.152245,0.188865", \ "0.115120,0.117758,0.120561,0.125806,0.135476,0.153879,0.190491"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.114176,0.117189,0.120135,0.126921,0.143184,0.178457,0.250683", \ "0.115671,0.118672,0.121620,0.128417,0.144679,0.179948,0.252179", \ "0.120975,0.123976,0.126926,0.133720,0.149981,0.185255,0.257481", \ "0.127626,0.130629,0.133575,0.140370,0.156627,0.191902,0.264132", \ "0.132812,0.135810,0.138760,0.145558,0.161808,0.197080,0.269311", \ "0.136404,0.139403,0.142351,0.149150,0.165400,0.200664,0.272892", \ "0.138464,0.141461,0.144413,0.151203,0.167428,0.202697,0.274906"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00539353,0.00707450,0.00867361,0.0119510,0.0189885,0.0341857,0.0658830", \ "0.00538848,0.00707488,0.00867379,0.0119540,0.0189886,0.0341775,0.0658738", \ "0.00538935,0.00707561,0.00867469,0.0119508,0.0189949,0.0341868,0.0658781", \ "0.00538990,0.00707475,0.00867698,0.0119563,0.0189948,0.0341854,0.0658763", \ "0.00538969,0.00708096,0.00867933,0.0119512,0.0189920,0.0341836,0.0658799", \ "0.00539308,0.00708003,0.00868013,0.0119525,0.0189907,0.0341751,0.0658741", \ "0.00539698,0.00708596,0.00868096,0.0119552,0.0189925,0.0341803,0.0658746"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00744988,0.0108525,0.0137072,0.0208648,0.0376517,0.0721644,0.141359", \ "0.00744922,0.0108511,0.0137066,0.0208634,0.0376519,0.0721613,0.141357", \ "0.00744795,0.0108529,0.0137072,0.0208655,0.0376535,0.0721631,0.141358", \ "0.00744951,0.0108548,0.0137076,0.0208647,0.0376509,0.0721636,0.141355", \ "0.00745385,0.0108577,0.0137074,0.0208658,0.0376524,0.0721611,0.141355", \ "0.00745573,0.0108642,0.0137130,0.0208674,0.0376519,0.0721608,0.141357", \ "0.00746506,0.0108705,0.0137231,0.0208720,0.0376547,0.0721650,0.141362"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.315990,0.317885,0.319955,0.324911,0.336361,0.366262,0.434620", \ "0.317542,0.319434,0.321505,0.326452,0.337905,0.367825,0.436182", \ "0.323659,0.325529,0.327620,0.332550,0.344006,0.373955,0.442300", \ "0.333565,0.335463,0.337534,0.342476,0.353950,0.383894,0.452311", \ "0.348210,0.350147,0.352207,0.357124,0.368570,0.398468,0.466857", \ "0.369233,0.371152,0.373216,0.378174,0.389557,0.419421,0.487755", \ "0.398005,0.399863,0.401931,0.406878,0.418322,0.448206,0.516518"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.016398,0.019868,0.023395,0.028857,0.040918,0.073364,0.141712", \ "0.016398,0.019867,0.023394,0.028858,0.040918,0.073351,0.141719", \ "0.016395,0.019867,0.023395,0.028857,0.040915,0.073357,0.141713", \ "0.016398,0.019867,0.023396,0.028858,0.040918,0.073360,0.141707", \ "0.016396,0.019870,0.023395,0.028856,0.040911,0.073352,0.141721", \ "0.016401,0.019869,0.023396,0.028858,0.040919,0.073352,0.141722", \ "0.016400,0.019868,0.023395,0.028860,0.040918,0.073360,0.141716"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.316105,0.318008,0.320074,0.325023,0.336465,0.366367,0.434761", \ "0.317628,0.319557,0.321616,0.326550,0.338016,0.367924,0.436271", \ "0.323730,0.325650,0.327717,0.332652,0.344129,0.374071,0.442428", \ "0.333739,0.335684,0.337732,0.342669,0.354139,0.384057,0.452436", \ "0.348680,0.350601,0.352670,0.357474,0.368983,0.398782,0.467163", \ "0.369688,0.371500,0.373667,0.378605,0.389994,0.419870,0.488196", \ "0.398201,0.400108,0.402169,0.407313,0.418167,0.448635,0.516953"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.016405,0.019873,0.023404,0.028866,0.040923,0.073354,0.141716", \ "0.016404,0.019874,0.023401,0.028864,0.040923,0.073357,0.141712", \ "0.016402,0.019873,0.023403,0.028866,0.040921,0.073358,0.141725", \ "0.016403,0.019875,0.023403,0.028865,0.040926,0.073355,0.141710", \ "0.016404,0.019873,0.023401,0.028866,0.040920,0.073361,0.141721", \ "0.016403,0.019873,0.023402,0.028864,0.040917,0.073353,0.141716", \ "0.016401,0.019872,0.023400,0.028863,0.040921,0.073365,0.141721"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.173803,0.176832,0.179818,0.186639,0.202919,0.238223,0.310530", \ "0.175264,0.178281,0.181258,0.188080,0.204362,0.239682,0.311976", \ "0.181601,0.184615,0.187586,0.194414,0.210702,0.246012,0.318318", \ "0.190990,0.194003,0.196975,0.203796,0.220083,0.255395,0.327699", \ "0.201261,0.204271,0.207243,0.214072,0.230357,0.265672,0.337967", \ "0.212843,0.215856,0.218829,0.225635,0.241917,0.277224,0.349519", \ "0.225879,0.228889,0.231854,0.238612,0.254900,0.290211,0.362512"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007490,0.010920,0.013768,0.020893,0.037667,0.072170,0.141360", \ "0.007476,0.010894,0.013747,0.020885,0.037665,0.072168,0.141368", \ "0.007471,0.010886,0.013736,0.020878,0.037662,0.072165,0.141362", \ "0.007469,0.010881,0.013730,0.020877,0.037663,0.072168,0.141360", \ "0.007466,0.010877,0.013728,0.020875,0.037660,0.072170,0.141360", \ "0.007470,0.010876,0.013725,0.020875,0.037661,0.072168,0.141363", \ "0.007462,0.010867,0.013719,0.020869,0.037656,0.072172,0.141361"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.173893,0.176920,0.179903,0.186718,0.202993,0.238288,0.310581", \ "0.175351,0.178367,0.181343,0.188161,0.204436,0.239747,0.312028", \ "0.181688,0.184700,0.187674,0.194491,0.210776,0.246071,0.318369", \ "0.191072,0.194083,0.197053,0.203874,0.220155,0.255457,0.327745", \ "0.201338,0.204348,0.207317,0.214142,0.230421,0.265730,0.338013", \ "0.212911,0.215922,0.218895,0.225701,0.241976,0.277276,0.349561", \ "0.225943,0.228947,0.231913,0.238669,0.254955,0.290262,0.362550"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007490,0.010919,0.013770,0.020895,0.037666,0.072171,0.141360", \ "0.007479,0.010899,0.013750,0.020887,0.037664,0.072166,0.141367", \ "0.007471,0.010888,0.013738,0.020879,0.037662,0.072168,0.141363", \ "0.007470,0.010881,0.013732,0.020879,0.037661,0.072165,0.141359", \ "0.007467,0.010878,0.013730,0.020876,0.037660,0.072169,0.141359", \ "0.007471,0.010880,0.013728,0.020877,0.037662,0.072169,0.141363", \ "0.007464,0.010873,0.013719,0.020870,0.037656,0.072163,0.141361"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("6.180742,6.201898,6.233448,6.611491,6.706711,6.710351,6.643625", \ "6.164931,6.211090,6.275244,6.599679,6.721055,6.660084,6.539279", \ "6.176290,6.209230,6.247427,6.628721,6.709761,6.760296,6.668240", \ "6.233336,6.249160,6.303099,6.691449,6.771816,6.822242,6.857475", \ "6.376673,6.419746,6.491506,6.818373,6.888818,6.847523,6.847102", \ "6.698539,6.695976,6.792787,7.121389,7.220504,7.197407,7.193721", \ "7.134146,7.166189,7.219615,7.570905,7.635630,7.637493,7.445226"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.037971,7.806118,7.562877,7.323221,7.239871,7.219216,7.289910", \ "8.027780,7.769433,7.555148,7.366213,7.194336,7.186435,7.128863", \ "7.983639,7.799841,7.563311,7.329534,7.308739,7.223740,7.112976", \ "8.042455,7.835412,7.617851,7.413890,7.323292,7.305107,7.140308", \ "8.221396,8.013160,7.761942,7.575506,7.504574,7.336765,7.299783", \ "8.529421,8.312145,8.031157,7.793872,7.768036,7.624929,7.684962", \ "8.994660,8.749342,8.527384,8.262501,8.227438,8.209619,8.159230"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("14.903950,14.262050,13.788130,13.006560,11.957750,10.917890,9.969805", \ "14.883570,14.255870,13.756650,13.000060,11.948830,10.819790,9.989080", \ "14.945100,14.177430,13.775770,12.970000,12.011810,10.866680,10.047790", \ "14.963120,14.334840,13.844550,13.062210,12.017290,10.951050,10.159550", \ "15.184570,14.535060,14.048440,13.271190,12.195660,11.095870,10.242010", \ "15.480230,14.820340,14.223130,13.505140,12.467460,11.371870,10.594780", \ "15.908700,15.244410,14.769900,13.999000,12.931460,11.884410,11.059090"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("14.348090,13.727650,13.221280,12.441780,11.394740,10.294440,9.418905", \ "14.389810,13.651500,13.210670,12.441130,11.394190,10.282650,9.363429", \ "14.341380,13.702800,13.210180,12.391740,11.436890,10.293120,9.480512", \ "14.378650,13.706550,13.163800,12.384070,11.447640,10.270960,9.350371", \ "14.329340,13.664300,13.206670,12.400550,11.441020,10.307240,9.466163", \ "14.393350,13.671550,13.180150,12.462500,11.382160,10.340170,9.509544", \ "14.379790,13.738930,13.288640,12.460490,11.464680,10.395610,9.557553"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.801602,8.574621,8.315096,8.103809,7.992476,8.030719,7.864466", \ "8.758604,8.532165,8.272403,8.101685,7.982510,7.899291,8.026782", \ "8.793148,8.507768,8.302640,8.077357,8.053023,8.037111,7.919418", \ "8.876064,8.561921,8.384431,8.168505,8.058737,7.997095,7.929656", \ "9.025995,8.795592,8.534867,8.321261,8.292533,8.296810,8.085072", \ "9.261110,8.976513,8.797152,8.597688,8.536329,8.509130,8.413872", \ "9.580557,9.357186,9.090156,8.912844,8.820006,8.714995,8.783231"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.809469,8.575815,8.316841,8.132863,8.089537,7.975462,7.874863", \ "8.780302,8.533309,8.273830,8.095554,8.038588,8.014506,8.037329", \ "8.793375,8.564385,8.303823,8.104651,8.067311,7.959806,7.929645", \ "8.876315,8.646435,8.405918,8.171234,8.105437,8.101316,7.891505", \ "9.026269,8.796669,8.536038,8.314694,8.267990,8.303561,8.098204", \ "9.268207,9.013404,8.798932,8.579772,8.546247,8.522653,8.423657", \ "9.583902,9.348032,9.137118,8.915415,8.825846,8.838609,8.794494"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0842524,0.0913790,0.0977507,0.108248,0.124745,0.149893,0.191329", \ "0.0857469,0.0928626,0.0992360,0.109741,0.126243,0.151382,0.192819", \ "0.0910514,0.0981660,0.104540,0.115045,0.131545,0.156692,0.198122", \ "0.0976985,0.104819,0.111187,0.121692,0.138189,0.163341,0.204779", \ "0.102872,0.109991,0.116368,0.126874,0.143365,0.168515,0.209959", \ "0.106456,0.113574,0.119948,0.130458,0.146958,0.172093,0.213549", \ "0.108488,0.115611,0.121987,0.132492,0.148980,0.174152,0.215591"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0693216,0.0763564,0.0828088,0.0940039,0.113849,0.150735,0.223218", \ "0.0708095,0.0778444,0.0842968,0.0954920,0.115337,0.152222,0.224713", \ "0.0761967,0.0832352,0.0896873,0.100881,0.120730,0.157609,0.230099", \ "0.0831002,0.0901387,0.0965904,0.107787,0.127639,0.164522,0.237013", \ "0.0886297,0.0956661,0.102120,0.113300,0.133156,0.170041,0.242536", \ "0.0923923,0.0994271,0.105875,0.117074,0.136925,0.173811,0.246298", \ "0.0940290,0.101053,0.107506,0.118712,0.138583,0.175443,0.247931"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0157258,0.0183431,0.0209645,0.0255716,0.0327863,0.0459034,0.0736511", \ "0.0157259,0.0183423,0.0209637,0.0255709,0.0327877,0.0459037,0.0736500", \ "0.0157308,0.0183458,0.0209683,0.0255735,0.0327886,0.0459039,0.0736546", \ "0.0157354,0.0183465,0.0209712,0.0255771,0.0327932,0.0458990,0.0736542", \ "0.0157582,0.0183745,0.0209887,0.0255905,0.0328026,0.0459088,0.0736577", \ "0.0157676,0.0183854,0.0210059,0.0256136,0.0328238,0.0459070,0.0736676", \ "0.0158373,0.0184442,0.0210641,0.0256649,0.0328686,0.0459511,0.0736554"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00820715,0.0123633,0.0165394,0.0245264,0.0403192,0.0732082,0.141513", \ "0.00821063,0.0123602,0.0165417,0.0245270,0.0403198,0.0732090,0.141509", \ "0.00821338,0.0123596,0.0165405,0.0245266,0.0403197,0.0732089,0.141515", \ "0.00821375,0.0123641,0.0165436,0.0245285,0.0403213,0.0732084,0.141510", \ "0.00821498,0.0123644,0.0165457,0.0245330,0.0403254,0.0732107,0.141510", \ "0.00822578,0.0123739,0.0165561,0.0245373,0.0403274,0.0732099,0.141519", \ "0.00823226,0.0123857,0.0165612,0.0245454,0.0403314,0.0732139,0.141511"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D"; sdf_cond : "(CK == 1'b0) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.262698,0.272722,0.282634,0.299240,0.324843,0.361528,0.416438", \ "0.264251,0.274271,0.284184,0.300781,0.326387,0.363084,0.418003", \ "0.270367,0.280367,0.290300,0.306879,0.332492,0.369211,0.424118", \ "0.280270,0.290303,0.300214,0.316805,0.342434,0.379160,0.434118", \ "0.294919,0.304986,0.314887,0.331454,0.357047,0.393741,0.448679", \ "0.315941,0.325992,0.335896,0.352504,0.378041,0.414697,0.469606", \ "0.344710,0.354703,0.364610,0.381205,0.406794,0.443465,0.498324"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.058836,0.060677,0.062632,0.066149,0.071170,0.080602,0.104841", \ "0.058835,0.060676,0.062633,0.066149,0.071171,0.080611,0.104828", \ "0.058864,0.060671,0.062633,0.066150,0.071172,0.080606,0.104844", \ "0.058857,0.060668,0.062631,0.066149,0.071171,0.080608,0.104844", \ "0.058847,0.060674,0.062632,0.066148,0.071175,0.080609,0.104843", \ "0.058835,0.060668,0.062632,0.066148,0.071170,0.080599,0.104825", \ "0.058843,0.060668,0.062632,0.066150,0.071179,0.080618,0.104838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D"; sdf_cond : "(CK == 1'b0) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.262798,0.272833,0.282743,0.299345,0.324949,0.361648,0.416583", \ "0.264323,0.274384,0.284287,0.300873,0.326499,0.363198,0.418102", \ "0.270420,0.280475,0.290386,0.306975,0.332610,0.369346,0.424265", \ "0.280434,0.290508,0.300400,0.316992,0.342626,0.379337,0.434267", \ "0.295377,0.305428,0.315339,0.331797,0.357466,0.394071,0.449006", \ "0.316385,0.326327,0.336335,0.352928,0.378479,0.415158,0.470060", \ "0.344894,0.354936,0.364839,0.381637,0.406639,0.443891,0.498769"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.058874,0.060710,0.062668,0.066184,0.071203,0.080641,0.104885", \ "0.058877,0.060705,0.062667,0.066182,0.071205,0.080641,0.104875", \ "0.058898,0.060708,0.062667,0.066181,0.071205,0.080637,0.104888", \ "0.058878,0.060708,0.062666,0.066181,0.071203,0.080641,0.104877", \ "0.058868,0.060704,0.062664,0.066179,0.071202,0.080638,0.104880", \ "0.058873,0.060702,0.062661,0.066177,0.071200,0.080627,0.104863", \ "0.058895,0.060695,0.062657,0.066174,0.071206,0.080649,0.104879"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D"; sdf_cond : "(CK == 1'b1) && (D == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.143897,0.151024,0.157417,0.167999,0.184741,0.210150,0.251843", \ "0.145392,0.152514,0.158896,0.169454,0.186153,0.211546,0.253211", \ "0.151746,0.158870,0.165245,0.175795,0.192468,0.217826,0.259495", \ "0.161140,0.168260,0.174642,0.185181,0.201840,0.227197,0.268851", \ "0.171414,0.178534,0.184913,0.195458,0.212111,0.237467,0.279115", \ "0.182997,0.190121,0.196501,0.207022,0.223664,0.249010,0.290659", \ "0.196042,0.203164,0.209538,0.220002,0.236618,0.261916,0.303546"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.015773,0.018415,0.021092,0.025869,0.033227,0.046269,0.073888", \ "0.015766,0.018394,0.021057,0.025799,0.033155,0.046218,0.073874", \ "0.015765,0.018387,0.021039,0.025758,0.033108,0.046190,0.073864", \ "0.015764,0.018389,0.021034,0.025744,0.033088,0.046179,0.073865", \ "0.015762,0.018386,0.021031,0.025737,0.033079,0.046173,0.073849", \ "0.015760,0.018385,0.021029,0.025731,0.033073,0.046174,0.073856", \ "0.015762,0.018380,0.021021,0.025697,0.033002,0.046105,0.073829"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D"; sdf_cond : "(CK == 1'b1) && (D == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.143979,0.151106,0.157498,0.168079,0.184820,0.210225,0.251904", \ "0.145473,0.152596,0.158977,0.169534,0.186229,0.211618,0.253270", \ "0.151826,0.158947,0.165330,0.175873,0.192544,0.217897,0.259554", \ "0.161216,0.168337,0.174716,0.185258,0.201915,0.227266,0.268908", \ "0.171484,0.178606,0.184984,0.195527,0.212177,0.237531,0.279168", \ "0.183060,0.190183,0.196564,0.207085,0.223732,0.249070,0.290710", \ "0.196100,0.203219,0.209593,0.220059,0.236677,0.261973,0.303593"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.015782,0.018423,0.021101,0.025878,0.033236,0.046271,0.073894", \ "0.015773,0.018403,0.021064,0.025807,0.033164,0.046229,0.073890", \ "0.015772,0.018398,0.021047,0.025767,0.033116,0.046199,0.073869", \ "0.015767,0.018395,0.021042,0.025752,0.033097,0.046189,0.073869", \ "0.015768,0.018393,0.021039,0.025745,0.033087,0.046187,0.073857", \ "0.015768,0.018392,0.021035,0.025738,0.033078,0.046185,0.073851", \ "0.015767,0.018387,0.021027,0.025704,0.033008,0.046113,0.073833"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.036814,7.784213,7.571647,7.339506,7.258598,7.278960,7.073055", \ "8.027773,7.800634,7.561457,7.309237,7.240056,7.246500,7.204295", \ "7.983561,7.785406,7.571912,7.345433,7.261092,7.283256,7.188024", \ "8.087692,7.850677,7.626419,7.362688,7.343840,7.197132,7.260065", \ "8.221397,8.017812,7.772195,7.570124,7.410695,7.367167,7.363743", \ "8.529413,8.277636,8.035446,7.819412,7.777293,7.651453,7.465759", \ "8.994790,8.754120,8.536008,8.277762,8.257232,8.111757,7.954445"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.180727,6.201563,6.282521,6.619876,6.735091,6.726322,6.762893", \ "6.164998,6.214552,6.285530,6.612586,6.729536,6.719382,6.659782", \ "6.176246,6.214423,6.252106,6.614411,6.687068,6.663640,6.786493", \ "6.233629,6.246581,6.331513,6.665353,6.761972,6.745259,6.724233", \ "6.398981,6.419000,6.456695,6.826155,6.916182,6.943181,6.968847", \ "6.698488,6.702484,6.756714,7.135078,7.177368,7.239765,7.312062", \ "7.134128,7.166960,7.206243,7.566696,7.663766,7.547057,7.565892"); } } internal_power () { related_pin : "SN"; when : "!CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("14.903940,14.268600,13.782240,13.026850,11.996080,10.905190,10.093500", \ "14.943420,14.261610,13.761780,12.941290,11.987050,10.876320,9.918749", \ "14.945100,14.260550,13.781330,12.990370,11.984000,10.903850,9.950814", \ "14.959400,14.347640,13.867260,13.082560,12.055790,10.980190,10.088880", \ "15.180930,14.527660,13.975990,13.291360,12.217800,11.122010,10.365150", \ "15.480240,14.828670,14.307390,13.525400,12.505990,11.398840,10.426260", \ "15.908710,15.259630,14.766930,14.004510,12.969680,11.845380,10.865460"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("14.348060,13.733250,13.232900,12.462050,11.433580,10.321100,9.542750", \ "14.386200,13.657860,13.216810,12.461330,11.432470,10.323950,9.427746", \ "14.337730,13.709340,13.215570,12.412170,11.474640,10.359510,9.511657", \ "14.375050,13.645430,13.175400,12.404450,11.466490,10.297560,9.422316", \ "14.329330,13.696860,13.211710,12.420840,11.412750,10.335470,9.512336", \ "14.389750,13.718380,13.191880,12.376740,11.413520,10.299290,9.510485", \ "14.470490,13.746290,13.294090,12.480750,11.502830,10.344430,9.529424"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.800707,8.579197,8.323923,8.117376,8.022306,8.072976,7.984262", \ "8.755179,8.536800,8.281234,8.107497,8.012170,7.920995,7.833184", \ "8.789807,8.484017,8.311097,8.062456,8.043646,7.942683,7.855334", \ "8.872731,8.598739,8.392821,8.183579,8.088448,7.949895,7.986063", \ "9.022612,8.716437,8.543620,8.299617,8.286731,8.146069,8.204826", \ "9.262235,9.002660,8.808922,8.586641,8.544720,8.405107,8.304860", \ "9.580474,9.351434,9.126782,8.871135,8.847949,8.729057,8.817889"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.809415,8.580477,8.325605,8.140208,8.026594,8.035482,7.994659", \ "8.780262,8.537914,8.282730,8.110626,8.044373,8.058128,7.865776", \ "8.789994,8.568925,8.312582,8.065077,8.072911,7.930897,7.882852", \ "8.872957,8.567294,8.394548,8.186352,8.121838,8.145303,7.996233", \ "9.022832,8.801227,8.544765,8.301909,8.210923,8.207999,8.215031", \ "9.288123,8.982110,8.807313,8.589566,8.450285,8.447119,8.463038", \ "9.583862,9.352589,9.127916,8.930267,8.855551,8.728486,8.829364"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : DFF_X1 Cell Description : Pos.edge D-Flip-Flop with drive strength X1 *******************************************************************************************/ cell (DFF_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; } area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 79.112308; leakage_power () { when : "!CK & !D & !Q & QN"; value : 73.812310; } leakage_power () { when : "!CK & !D & Q & !QN"; value : 77.650980; } leakage_power () { when : "!CK & D & !Q & QN"; value : 84.084352; } leakage_power () { when : "!CK & D & Q & !QN"; value : 82.786902; } leakage_power () { when : "CK & !D & !Q & QN"; value : 78.328514; } leakage_power () { when : "CK & !D & Q & !QN"; value : 68.431484; } leakage_power () { when : "CK & D & !Q & QN"; value : 92.268539; } leakage_power () { when : "CK & D & Q & !QN"; value : 75.535383; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.140290; fall_capacitance : 1.062342; rise_capacitance : 1.140290; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.001650,0.010970,0.010626", \ "0.004131,0.010748,0.006379", \ "0.143222,0.153005,0.144764"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.003805,0.015977,0.020421", \ "0.016803,0.029868,0.034184", \ "0.098513,0.113992,0.121949"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.036831,0.022224,0.015916", \ "0.054595,0.039810,0.033507", \ "0.100487,0.085013,0.077078"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.029299,0.024425,0.037712", \ "0.041187,0.034581,0.045743", \ "0.055773,0.045995,0.054260"); } } internal_power () { when : "!CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.282350,4.262724,4.232647,4.257524,4.440015,4.830113,5.453793"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.123160,3.087455,3.057099,3.089699,3.264636,3.641862,4.248752"); } } internal_power () { when : "!CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.225775,4.204949,4.176186,4.201258,4.386545,4.779222,5.403637"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.083037,3.048137,3.017534,3.048552,3.218148,3.591164,4.190437"); } } internal_power () { when : "CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734644,0.711876,0.699974,0.693873,0.690560,0.688463,0.686629"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629039,-0.632808,-0.635332,-0.637084,-0.638669,-0.639510,-0.640648"); } } internal_power () { when : "CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649761,0.657430,0.659383,0.658763,0.658012,0.657721,0.657131"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433510,-0.498326,-0.553300,-0.573050,-0.585298,-0.593057,-0.598187"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.949653; fall_capacitance : 0.856440; rise_capacitance : 0.949653; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.052454,0.068941,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.052454,0.055730,0.198733"); } } internal_power () { when : "!D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.497803,4.465589,4.474442,4.653631,5.024863,5.612265,6.462059"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.441622,2.424683,2.419478,2.538300,2.868236,3.458473,4.350696"); } } internal_power () { when : "!D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.580013,7.545830,7.553889,7.732922,8.104180,8.689834,9.534370"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.539890,7.504660,7.510408,7.700613,8.104432,8.735168,9.638019"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.265302,4.236623,4.243058,4.421492,4.793004,5.381130,6.226269"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.627385,2.610493,2.608469,2.730149,3.052950,3.639302,4.526135"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0766596,0.0798075,0.0830620,0.0887201,0.0987256,0.117420,0.154208", \ "0.0781451,0.0812961,0.0845506,0.0902064,0.100214,0.118901,0.155689", \ "0.0834509,0.0865996,0.0898538,0.0955104,0.105520,0.124208,0.161007", \ "0.0898134,0.0929656,0.0962190,0.101880,0.111891,0.130580,0.167368", \ "0.0948029,0.0979521,0.101199,0.106852,0.116848,0.135526,0.172330", \ "0.0980374,0.101184,0.104444,0.110089,0.120091,0.138781,0.175556", \ "0.0991226,0.102262,0.105515,0.111166,0.121175,0.139862,0.176647"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.0828120,0.0863005,0.0900538,0.0980799,0.115488,0.151502,0.224142", \ "0.0843002,0.0877892,0.0915421,0.0995682,0.116978,0.152987,0.225636", \ "0.0894747,0.0929639,0.0967178,0.104737,0.122148,0.158157,0.230802", \ "0.0956394,0.0991291,0.102879,0.110903,0.128306,0.164314,0.236967", \ "0.100388,0.103879,0.107634,0.115656,0.133046,0.169055,0.241706", \ "0.103693,0.107179,0.110951,0.118968,0.136339,0.172342,0.244989", \ "0.105393,0.108883,0.112684,0.120677,0.138011,0.173995,0.246637"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00509944,0.00667713,0.00843811,0.0118927,0.0190266,0.0342192,0.0659466", \ "0.00509868,0.00668041,0.00844158,0.0118927,0.0190271,0.0342175,0.0659329", \ "0.00509874,0.00668007,0.00844172,0.0118938,0.0190260,0.0342101,0.0659479", \ "0.00510320,0.00667800,0.00844104,0.0118947,0.0190254,0.0342112,0.0659275", \ "0.00510062,0.00667299,0.00843851,0.0118941,0.0190265,0.0342181,0.0659411", \ "0.00510293,0.00667931,0.00844589,0.0118941,0.0190310,0.0342213,0.0659159", \ "0.00510747,0.00668127,0.00844377,0.0118974,0.0190248,0.0342139,0.0659304"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00623451,0.00895183,0.0123373,0.0202600,0.0373722,0.0719784,0.141272", \ "0.00623484,0.00895495,0.0123329,0.0202604,0.0373685,0.0719779,0.141269", \ "0.00623822,0.00895003,0.0123317,0.0202595,0.0373725,0.0719774,0.141268", \ "0.00624078,0.00895914,0.0123355,0.0202606,0.0373701,0.0719776,0.141269", \ "0.00624423,0.00896103,0.0123353,0.0202645,0.0373728,0.0719740,0.141265", \ "0.00624876,0.00896943,0.0123402,0.0202634,0.0373720,0.0719776,0.141271", \ "0.00627065,0.00898833,0.0123576,0.0202666,0.0373714,0.0719777,0.141271"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("3.976646,4.055223,4.115315,4.258488,4.315315,4.372446,4.284551", \ "3.960900,4.039375,4.093985,4.247803,4.298686,4.362464,4.242090", \ "3.961073,4.034732,4.093420,4.250343,4.314382,4.307469,4.371643", \ "4.027238,4.102224,4.169560,4.322728,4.386049,4.407954,4.439979", \ "4.183792,4.263914,4.327710,4.478893,4.558656,4.584350,4.553607", \ "4.496796,4.579863,4.619351,4.782886,4.821955,4.868241,4.886405", \ "4.940885,5.010195,5.068653,5.252005,5.313253,5.302025,5.261229"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("4.357977,4.355108,4.344618,4.381384,4.417019,4.381589,4.469754", \ "4.349755,4.337047,4.334989,4.387289,4.395348,4.364141,4.471032", \ "4.354155,4.307118,4.302735,4.369440,4.413914,4.398943,4.329968", \ "4.404122,4.381596,4.379717,4.426014,4.449847,4.464205,4.516372", \ "4.577598,4.559692,4.544906,4.583244,4.628942,4.665534,4.674996", \ "4.890110,4.873307,4.869868,4.903053,4.944075,4.950879,4.978803", \ "5.343092,5.333614,5.326871,5.351517,5.389055,5.414645,5.293971"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0590814,0.0641353,0.0692419,0.0776560,0.0912053,0.113128,0.151601", \ "0.0605694,0.0656230,0.0707299,0.0791437,0.0926937,0.114615,0.153088", \ "0.0657407,0.0707927,0.0759037,0.0843106,0.0978665,0.119791,0.158265", \ "0.0719044,0.0769538,0.0820603,0.0904739,0.104026,0.125953,0.164434", \ "0.0766420,0.0816925,0.0868001,0.0952197,0.108774,0.130711,0.169201", \ "0.0799325,0.0849784,0.0901025,0.0985159,0.112059,0.134004,0.172481", \ "0.0815711,0.0866232,0.0917836,0.100197,0.113738,0.135703,0.174209"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0579281,0.0631326,0.0686824,0.0787075,0.0975014,0.133960,0.206399", \ "0.0594181,0.0646204,0.0701709,0.0801938,0.0989883,0.135442,0.207884", \ "0.0647200,0.0699237,0.0754737,0.0854982,0.104290,0.140751,0.213191", \ "0.0710810,0.0762880,0.0818388,0.0918690,0.110663,0.147123,0.219564", \ "0.0760682,0.0812757,0.0868194,0.0968453,0.115629,0.152078,0.224531", \ "0.0792933,0.0844982,0.0900503,0.100076,0.118866,0.155320,0.227752", \ "0.0803694,0.0855744,0.0911261,0.101151,0.119947,0.156400,0.228839"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00987208,0.0119379,0.0142372,0.0183892,0.0255977,0.0393357,0.0684911", \ "0.00987294,0.0119384,0.0142378,0.0183897,0.0255990,0.0393330,0.0684946", \ "0.00988089,0.0119465,0.0142442,0.0183961,0.0256002,0.0393374,0.0685005", \ "0.00988471,0.0119546,0.0142515,0.0184041,0.0256072,0.0393424,0.0684990", \ "0.00991211,0.0119842,0.0142823,0.0184347,0.0256336,0.0393498,0.0684990", \ "0.00995525,0.0120266,0.0143251,0.0184698,0.0256588,0.0393689,0.0685028", \ "0.0101103,0.0121673,0.0144543,0.0185827,0.0257443,0.0394207,0.0685402"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00708298,0.0103508,0.0142824,0.0222811,0.0387488,0.0725710,0.141217", \ "0.00708276,0.0103506,0.0142838,0.0222814,0.0387469,0.0725720,0.141210", \ "0.00707927,0.0103482,0.0142864,0.0222810,0.0387407,0.0725745,0.141219", \ "0.00708388,0.0103534,0.0142872,0.0222818,0.0387423,0.0725732,0.141210", \ "0.00708667,0.0103571,0.0142903,0.0222825,0.0387431,0.0725738,0.141211", \ "0.00710286,0.0103657,0.0142966,0.0222906,0.0387527,0.0725748,0.141215", \ "0.00711448,0.0103775,0.0143072,0.0222975,0.0387554,0.0725759,0.141223"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.357973,4.354002,4.350129,4.382491,4.438811,4.426742,4.390698", \ "4.349747,4.346172,4.343441,4.360171,4.417402,4.429651,4.462806", \ "4.352509,4.306565,4.340207,4.380166,4.388588,4.423723,4.420258", \ "4.404120,4.384692,4.397729,4.428853,4.478215,4.508593,4.507068", \ "4.577598,4.561729,4.558933,4.594070,4.650533,4.566911,4.679492", \ "4.890103,4.876413,4.869619,4.899779,4.893542,4.883107,4.955819", \ "5.341252,5.336669,5.332610,5.377127,5.413392,5.353877,5.384633"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.976661,4.057400,4.116970,4.258121,4.324128,4.274664,4.374090", \ "3.960705,4.043382,4.107914,4.249031,4.303229,4.349838,4.317820", \ "3.961932,4.037292,4.096452,4.261011,4.305124,4.324168,4.383075", \ "4.020823,4.103340,4.157233,4.310159,4.394485,4.412408,4.360981", \ "4.179444,4.264552,4.332909,4.484011,4.509648,4.576835,4.556156", \ "4.496807,4.568296,4.638686,4.775179,4.850398,4.864834,4.807024", \ "4.940842,5.010481,5.083404,5.229591,5.300427,5.300514,5.350649"); } } } } /****************************************************************************************** Module : DFF_X2 Cell Description : Pos.edge D-Flip-Flop with drive strength X2 *******************************************************************************************/ cell (DFF_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "D"; clocked_on : "CK"; } area : 5.054000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 115.103670; leakage_power () { when : "!CK & !D & !Q & QN"; value : 107.651390; } leakage_power () { when : "!CK & !D & Q & !QN"; value : 115.805800; } leakage_power () { when : "!CK & D & !Q & QN"; value : 117.925522; } leakage_power () { when : "!CK & D & Q & !QN"; value : 120.945022; } leakage_power () { when : "CK & !D & !Q & QN"; value : 112.158783; } leakage_power () { when : "CK & !D & Q & !QN"; value : 106.570024; } leakage_power () { when : "CK & D & !Q & QN"; value : 126.098907; } leakage_power () { when : "CK & D & Q & !QN"; value : 113.673912; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.127600; fall_capacitance : 1.054533; rise_capacitance : 1.127600; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.000950,0.009897,0.009901", \ "0.004801,0.011035,0.005683", \ "0.144217,0.153663,0.144977"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.003332,0.015584,0.020136", \ "0.016660,0.028833,0.033945", \ "0.095762,0.111314,0.119142"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.038855,0.024106,0.017452", \ "0.056304,0.041950,0.035480", \ "0.103246,0.087698,0.079892"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.028555,0.024110,0.037757", \ "0.040532,0.034309,0.045685", \ "0.054785,0.045344,0.054055"); } } internal_power () { when : "!CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.354644,4.333607,4.304507,4.328622,4.507989,4.894921,5.519367"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.211098,3.175770,3.145376,3.177001,3.349767,3.724420,4.327453"); } } internal_power () { when : "!CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.296028,4.275468,4.246258,4.272430,4.454525,4.846364,5.470123"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.171841,3.137371,3.105769,3.137078,3.304556,3.673507,4.269942"); } } internal_power () { when : "CK & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734258,0.711869,0.700057,0.693982,0.690695,0.688651,0.686880"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.628330,-0.631983,-0.634976,-0.636726,-0.638508,-0.639124,-0.640311"); } } internal_power () { when : "CK & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649854,0.657461,0.659489,0.658837,0.657985,0.657978,0.657217"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.433172,-0.497649,-0.552855,-0.572614,-0.584884,-0.592676,-0.597802"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.930494; fall_capacitance : 0.838034; rise_capacitance : 0.930494; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.054590,0.069863,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.080840,0.080924,0.198733"); } } internal_power () { when : "!D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.494425,4.464471,4.472171,4.650578,5.022035,5.608641,6.457527"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.458767,2.436947,2.428602,2.551393,2.883321,3.474561,4.365744"); } } internal_power () { when : "!D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.651165,7.616969,7.624798,7.799960,8.167299,8.751505,9.595718"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.624911,7.589683,7.595550,7.784958,8.188326,8.816415,9.718857"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.266637,4.240210,4.254359,4.423681,4.794472,5.378622,6.222531"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.644140,2.627376,2.625599,2.746822,3.066144,3.656160,4.542355"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0885477,0.0911875,0.0939809,0.0992152,0.108859,0.127303,0.163931", \ "0.0900304,0.0926732,0.0954683,0.100702,0.110345,0.128788,0.165420", \ "0.0953337,0.0979681,0.100767,0.105998,0.115645,0.134086,0.170724", \ "0.101696,0.104341,0.107128,0.112368,0.122012,0.140454,0.177096", \ "0.106700,0.109336,0.112129,0.117356,0.126992,0.145436,0.182090", \ "0.109978,0.112607,0.115400,0.120638,0.130277,0.148717,0.185348", \ "0.111123,0.113754,0.116539,0.121773,0.131416,0.149857,0.186489"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.112225,0.115184,0.118113,0.124902,0.141169,0.176417,0.248582", \ "0.113704,0.116669,0.119598,0.126388,0.142653,0.177903,0.250068", \ "0.118914,0.121872,0.124803,0.131590,0.147856,0.183109,0.255273", \ "0.125048,0.128011,0.130938,0.137726,0.153991,0.189240,0.261401", \ "0.129731,0.132688,0.135620,0.142402,0.158656,0.193905,0.266075", \ "0.132871,0.135829,0.138758,0.145573,0.161807,0.197055,0.269223", \ "0.134524,0.137489,0.140422,0.147201,0.163440,0.198665,0.270841"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00540608,0.00706662,0.00866014,0.0119468,0.0189992,0.0342079,0.0659226", \ "0.00541184,0.00706411,0.00866236,0.0119476,0.0189980,0.0342081,0.0659197", \ "0.00540759,0.00706406,0.00866383,0.0119472,0.0189956,0.0342044,0.0659287", \ "0.00540625,0.00706664,0.00865933,0.0119471,0.0190000,0.0342058,0.0659333", \ "0.00540865,0.00706954,0.00865944,0.0119491,0.0189954,0.0342043,0.0659187", \ "0.00540930,0.00706607,0.00866709,0.0119482,0.0189984,0.0342008,0.0659260", \ "0.00541241,0.00707029,0.00866500,0.0119479,0.0190004,0.0342050,0.0659374"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00749294,0.0108659,0.0136993,0.0208631,0.0376554,0.0721507,0.141308", \ "0.00749144,0.0108663,0.0136972,0.0208628,0.0376545,0.0721501,0.141304", \ "0.00749369,0.0108624,0.0136978,0.0208628,0.0376550,0.0721497,0.141308", \ "0.00749555,0.0108677,0.0136988,0.0208626,0.0376547,0.0721482,0.141304", \ "0.00749301,0.0108689,0.0136999,0.0208644,0.0376547,0.0721518,0.141302", \ "0.00749967,0.0108729,0.0137045,0.0208671,0.0376568,0.0721507,0.141308", \ "0.00750862,0.0108862,0.0137146,0.0208695,0.0376567,0.0721508,0.141311"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("6.195446,6.208031,6.251579,6.580829,6.630964,6.705519,6.696064", \ "6.164195,6.181305,6.266262,6.571785,6.640621,6.698362,6.719625", \ "6.171006,6.193793,6.265420,6.594726,6.697667,6.636244,6.631684", \ "6.219969,6.253871,6.279119,6.642177,6.676287,6.690451,6.746886", \ "6.408753,6.424231,6.445774,6.797247,6.881293,6.843643,6.968530", \ "6.712891,6.734793,6.789410,7.137553,7.181905,7.205623,7.168294", \ "7.143225,7.186331,7.214718,7.570291,7.599062,7.697256,7.462164"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("7.955660,7.727415,7.452089,7.218642,7.197712,7.127412,7.128063", \ "7.964683,7.720610,7.444832,7.261767,7.182349,7.094023,6.914243", \ "7.967626,7.683108,7.459488,7.245877,7.179243,7.138621,6.964429", \ "8.020615,7.782074,7.529518,7.303253,7.260597,7.259052,7.021756", \ "8.141500,7.939977,7.700586,7.469478,7.394730,7.384767,7.166356", \ "8.495347,8.237832,7.981074,7.768235,7.726130,7.579411,7.646495", \ "8.974696,8.704009,8.473983,8.189786,8.161667,8.029671,8.033791"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0824957,0.0896024,0.0959680,0.106455,0.122918,0.148010,0.189411", \ "0.0839737,0.0910877,0.0974539,0.107942,0.124402,0.149495,0.190895", \ "0.0891831,0.0962920,0.102657,0.113143,0.129606,0.154703,0.196099", \ "0.0953119,0.102427,0.108790,0.119277,0.135740,0.160830,0.202233", \ "0.0999818,0.107098,0.113465,0.123946,0.140400,0.165496,0.206902", \ "0.103117,0.110231,0.116595,0.127109,0.143552,0.168641,0.210059", \ "0.104737,0.111862,0.118232,0.128718,0.145182,0.170280,0.211710"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0675773,0.0746162,0.0810667,0.0922586,0.112103,0.148989,0.221506", \ "0.0690652,0.0761015,0.0825515,0.0937448,0.113589,0.150474,0.222992", \ "0.0743648,0.0813989,0.0878508,0.0990414,0.118887,0.155778,0.228297", \ "0.0807244,0.0877674,0.0942143,0.105406,0.125254,0.162146,0.234659", \ "0.0857253,0.0927630,0.0992122,0.110395,0.130237,0.167127,0.239661", \ "0.0889990,0.0960302,0.102480,0.113680,0.133527,0.170407,0.242922", \ "0.0901377,0.0971715,0.103619,0.114815,0.134658,0.171547,0.244070"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0156746,0.0182898,0.0209043,0.0254980,0.0326923,0.0458080,0.0736081", \ "0.0156766,0.0182906,0.0209060,0.0254982,0.0326927,0.0458126,0.0736111", \ "0.0156764,0.0182910,0.0209064,0.0255011,0.0326950,0.0458114,0.0736253", \ "0.0156895,0.0182994,0.0209109,0.0255040,0.0326979,0.0458156,0.0736102", \ "0.0157189,0.0183188,0.0209324,0.0255197,0.0327085,0.0458166,0.0736230", \ "0.0157233,0.0183338,0.0209473,0.0255425,0.0327324,0.0458178,0.0736402", \ "0.0157954,0.0184004,0.0210106,0.0255989,0.0327780,0.0458672,0.0736187"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00816755,0.0123249,0.0165002,0.0244959,0.0402998,0.0732136,0.141546", \ "0.00817005,0.0123221,0.0165043,0.0244962,0.0402997,0.0732129,0.141549", \ "0.00817054,0.0123215,0.0165038,0.0244946,0.0402975,0.0732143,0.141550", \ "0.00816954,0.0123271,0.0165037,0.0244974,0.0403017,0.0732147,0.141554", \ "0.00817418,0.0123257,0.0165039,0.0244992,0.0403038,0.0732169,0.141550", \ "0.00818843,0.0123351,0.0165168,0.0245047,0.0403047,0.0732167,0.141551", \ "0.00819194,0.0123472,0.0165233,0.0245121,0.0403117,0.0732201,0.141548"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("7.954500,7.737502,7.463419,7.234775,7.203813,7.187034,7.147684", \ "7.964670,7.728590,7.467510,7.277423,7.203516,7.199657,7.035137", \ "7.967623,7.687833,7.476539,7.248625,7.199459,7.197964,7.011450", \ "8.020622,7.786607,7.512473,7.319993,7.245789,7.114248,7.141677", \ "8.141502,7.942414,7.692054,7.485151,7.420519,7.289512,7.286571", \ "8.494210,8.246962,7.989713,7.790385,7.667218,7.639982,7.504047", \ "8.974686,8.712954,8.453841,8.210846,8.186200,8.130873,7.988962"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.190962,6.209734,6.238213,6.618134,6.638810,6.764013,6.702413", \ "6.172808,6.196849,6.270296,6.576300,6.626904,6.757018,6.649126", \ "6.170971,6.194496,6.223365,6.608711,6.628470,6.722039,6.643104", \ "6.218120,6.244152,6.290674,6.648624,6.692443,6.729184,6.864985", \ "6.404380,6.422466,6.452515,6.795112,6.909820,6.865817,6.891753", \ "6.712820,6.705710,6.793650,7.105762,7.169600,7.239957,7.287200", \ "7.150656,7.183327,7.219380,7.584089,7.640254,7.557476,7.583321"); } } } } /****************************************************************************************** Module : DLH_X1 Cell Description : High enable Latch with drive strength X1 *******************************************************************************************/ cell (DLH_X1) { drive_strength : 1; latch ("IQ" , "IQN") { data_in : "D"; enable : "G"; } area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 40.863240; leakage_power () { when : "!D & !G & !Q"; value : 38.675780; } leakage_power () { when : "!D & !G & Q"; value : 34.248720; } leakage_power () { when : "!D & G & !Q"; value : 38.907264; } leakage_power () { when : "D & !G & !Q"; value : 49.198369; } leakage_power () { when : "D & !G & Q"; value : 39.635772; } leakage_power () { when : "D & G & Q"; value : 44.513535; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.914139; fall_capacitance : 0.869621; rise_capacitance : 0.914139; timing () { related_pin : "G"; timing_type : hold_falling; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.010770,0.029841,0.063907", \ "0.019868,0.040204,0.075797", \ "0.158556,0.179772,0.217249"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.010135,0.028269,0.056277", \ "0.017113,0.032500,0.055900", \ "0.084835,0.098179,0.117303"); } } timing () { related_pin : "G"; timing_type : setup_falling; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.050880,0.037925,0.041964", \ "0.067992,0.055583,0.049823", \ "0.114224,0.100881,0.081762"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.013335,-0.006885,-0.039671", \ "0.025729,0.005084,-0.030505", \ "0.040500,0.019285,-0.018186"); } } internal_power () { when : "!G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.545027,0.528893,0.518699,0.516200,0.512886,0.512664,0.510364"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467395,-0.471692,-0.473768,-0.475246,-0.476270,-0.477314,-0.477936"); } } internal_power () { when : "!G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480735,0.488917,0.490545,0.490050,0.489382,0.489542,0.489429"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.323260,-0.371475,-0.406117,-0.408127,-0.411201,-0.415506,-0.421175"); } } } pin (G) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.985498; fall_capacitance : 0.894119; rise_capacitance : 0.985498; timing () { related_pin : "G"; timing_type : min_pulse_width; rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.040855,0.044977,0.198733"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.030247,2.998058,3.020322,3.220077,3.608813,4.226076,5.121533"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.306662,2.284466,2.286046,2.434763,2.808886,3.457555,4.399786"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.078194,3.044719,3.068486,3.268590,3.659865,4.282168,5.183454"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.153736,2.130898,2.132122,2.280739,2.657059,3.310843,4.257584"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0514993,0.0565171,0.0614694,0.0695118,0.0823656,0.103766,0.142049", \ "0.0531428,0.0581596,0.0631135,0.0711553,0.0840160,0.105406,0.143690", \ "0.0584541,0.0634698,0.0684199,0.0764587,0.0893239,0.110714,0.148998", \ "0.0676743,0.0726729,0.0776133,0.0856418,0.0985048,0.119911,0.158201", \ "0.0809230,0.0860629,0.0911501,0.0993568,0.112377,0.133870,0.172179", \ "0.0965626,0.101933,0.107278,0.115900,0.129468,0.151536,0.190168", \ "0.114902,0.120601,0.126195,0.135225,0.149374,0.172087,0.211272"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0290396,0.0343533,0.0398890,0.0498333,0.0685389,0.105121,0.177965", \ "0.0302849,0.0356007,0.0411293,0.0510749,0.0697829,0.106367,0.179213", \ "0.0340680,0.0393763,0.0449043,0.0548354,0.0735281,0.110109,0.182959", \ "0.0402276,0.0456344,0.0512074,0.0611595,0.0798272,0.116392,0.189238", \ "0.0464370,0.0520942,0.0578231,0.0678697,0.0865429,0.123070,0.195890", \ "0.0511305,0.0571998,0.0632398,0.0735096,0.0922121,0.128642,0.201382", \ "0.0536363,0.0601699,0.0666880,0.0774169,0.0962869,0.132653,0.205308"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00877098,0.0107404,0.0129304,0.0168345,0.0239951,0.0382223,0.0679889", \ "0.00877157,0.0107381,0.0129326,0.0168321,0.0239945,0.0382279,0.0679897", \ "0.00877175,0.0107365,0.0129313,0.0168372,0.0240013,0.0382224,0.0679854", \ "0.00878388,0.0107613,0.0129575,0.0168616,0.0240141,0.0382296,0.0679916", \ "0.00980285,0.0116943,0.0138049,0.0175485,0.0244578,0.0384851,0.0680714", \ "0.0111610,0.0130616,0.0151898,0.0189400,0.0257899,0.0394886,0.0685306", \ "0.0126976,0.0145954,0.0167330,0.0204838,0.0272626,0.0407571,0.0693984"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00612154,0.00940055,0.0133143,0.0213048,0.0379762,0.0722718,0.141467", \ "0.00611998,0.00940153,0.0133111,0.0213018,0.0379788,0.0722807,0.141438", \ "0.00612810,0.00941047,0.0133174,0.0213116,0.0379768,0.0722724,0.141437", \ "0.00645399,0.00969798,0.0135581,0.0214547,0.0380224,0.0722906,0.141456", \ "0.00719294,0.0104041,0.0141436,0.0218486,0.0382122,0.0723514,0.141466", \ "0.00834262,0.0115705,0.0151689,0.0225304,0.0385342,0.0724633,0.141524", \ "0.00974175,0.0131130,0.0166909,0.0236608,0.0390902,0.0726774,0.141623"); } } timing () { related_pin : "G"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0516779,0.0566952,0.0616537,0.0696976,0.0825602,0.103945,0.142206", \ "0.0531308,0.0581571,0.0631112,0.0711563,0.0840174,0.105402,0.143664", \ "0.0576743,0.0626990,0.0676513,0.0756926,0.0885540,0.109934,0.148205", \ "0.0621603,0.0671831,0.0721315,0.0801654,0.0930302,0.114413,0.152679", \ "0.0653528,0.0703791,0.0753256,0.0833664,0.0962305,0.117610,0.155884", \ "0.0672743,0.0722940,0.0772460,0.0852842,0.0981570,0.119562,0.157824", \ "0.0675651,0.0725861,0.0775501,0.0856054,0.0985124,0.119951,0.158247"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0476167,0.0529239,0.0584452,0.0683543,0.0870240,0.123587,0.196429", \ "0.0490966,0.0544037,0.0599265,0.0698334,0.0885041,0.125068,0.197908", \ "0.0540286,0.0593372,0.0648580,0.0747677,0.0934366,0.130001,0.202841", \ "0.0589528,0.0642616,0.0697829,0.0796904,0.0983627,0.134928,0.207762", \ "0.0624784,0.0677864,0.0733080,0.0832156,0.101879,0.138444,0.211286", \ "0.0641801,0.0694852,0.0750078,0.0849126,0.103581,0.140140,0.212947", \ "0.0635788,0.0688896,0.0744070,0.0843139,0.102980,0.139544,0.212381"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00873255,0.0106983,0.0128900,0.0168013,0.0239763,0.0382163,0.0679916", \ "0.00872778,0.0106998,0.0128890,0.0168023,0.0239739,0.0382142,0.0679901", \ "0.00872197,0.0106972,0.0128898,0.0167997,0.0239756,0.0382151,0.0679754", \ "0.00872388,0.0106965,0.0128902,0.0168060,0.0239795,0.0382165,0.0679905", \ "0.00874047,0.0107185,0.0129188,0.0168275,0.0239885,0.0382190,0.0679959", \ "0.00880469,0.0107832,0.0129726,0.0168765,0.0240342,0.0382515,0.0679807", \ "0.00898787,0.0109427,0.0131245,0.0170066,0.0241337,0.0383161,0.0680259"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00615174,0.00942778,0.0133263,0.0213088,0.0379754,0.0722593,0.141435", \ "0.00615252,0.00942547,0.0133262,0.0213114,0.0379728,0.0722594,0.141431", \ "0.00615387,0.00942902,0.0133256,0.0213113,0.0379752,0.0722571,0.141433", \ "0.00615550,0.00943178,0.0133258,0.0213083,0.0379745,0.0722554,0.141456", \ "0.00615939,0.00943491,0.0133258,0.0213049,0.0379686,0.0722705,0.141440", \ "0.00616519,0.00943773,0.0133329,0.0213134,0.0379760,0.0722216,0.141426", \ "0.00617634,0.00944693,0.0133378,0.0213178,0.0379791,0.0722578,0.141335"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.731640,3.898188,4.027841,4.199336,4.430508,4.573607,4.622869", \ "3.717408,3.874462,4.006302,4.184814,4.403084,4.553856,4.608604", \ "3.696830,3.858415,3.990146,4.174154,4.377069,4.533329,4.583743", \ "3.712645,3.874072,3.993285,4.165255,4.383951,4.533503,4.585629", \ "3.917686,4.058475,4.141498,4.298954,4.506349,4.641225,4.690203", \ "4.178546,4.279846,4.377352,4.518635,4.741323,4.901222,4.944523", \ "4.703969,4.786601,4.826652,4.907990,5.088218,5.282202,5.363661"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.367643,2.625521,2.883170,2.972687,3.037712,2.871986,3.004726", \ "2.301792,2.627464,2.875346,2.989834,2.971229,2.799529,3.129981", \ "2.327516,2.658941,2.861428,2.938900,3.014527,2.818251,2.939036", \ "2.369770,2.680236,2.845295,2.906418,2.960264,3.032569,3.080974", \ "2.564201,2.886322,3.065847,3.108341,3.064269,2.975735,2.985876", \ "2.921049,3.186820,3.406404,3.462257,3.364292,3.360778,3.338513", \ "3.399402,3.670877,3.892586,3.913806,3.864999,3.792154,3.578691"); } } internal_power () { related_pin : "G"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.013976,5.191944,5.329697,5.511729,5.734212,5.877409,5.923555", \ "4.999429,5.165502,5.304460,5.478886,5.705757,5.847916,5.901591", \ "4.959210,5.160645,5.297289,5.463842,5.693155,5.843310,5.892447", \ "5.123633,5.308317,5.436794,5.615447,5.840231,5.984984,6.033008", \ "5.521506,5.684016,5.802373,5.975537,6.205305,6.350666,6.405339", \ "6.194550,6.392863,6.506681,6.681733,6.887445,7.019076,7.069728", \ "7.077686,7.295990,7.428850,7.625434,7.869367,8.014085,8.040717"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.650911,4.989368,5.166417,5.280524,5.346551,5.362793,5.266655", \ "4.615346,4.954155,5.146127,5.257218,5.322803,5.250212,5.381010", \ "4.582095,4.932912,5.164154,5.240932,5.296726,5.338796,5.207426", \ "4.781669,5.117724,5.310217,5.427771,5.475174,5.453220,5.320173", \ "5.185908,5.508714,5.666194,5.800968,5.801344,5.866735,5.730996", \ "5.829954,6.186679,6.377708,6.432363,6.470956,6.548887,6.421712", \ "6.613419,7.055166,7.289554,7.385589,7.459833,7.500796,7.358292"); } } } } /****************************************************************************************** Module : DLH_X2 Cell Description : High enable Latch with drive strength X2 *******************************************************************************************/ cell (DLH_X2) { drive_strength : 2; latch ("IQ" , "IQN") { data_in : "D"; enable : "G"; } area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 57.430452; leakage_power () { when : "!D & !G & !Q"; value : 57.835030; } leakage_power () { when : "!D & !G & Q"; value : 45.038730; } leakage_power () { when : "!D & G & !Q"; value : 58.182674; } leakage_power () { when : "D & !G & !Q"; value : 71.773955; } leakage_power () { when : "D & !G & Q"; value : 52.138669; } leakage_power () { when : "D & G & Q"; value : 59.613653; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.161008; fall_capacitance : 1.109653; rise_capacitance : 1.161008; timing () { related_pin : "G"; timing_type : hold_falling; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.010482,0.030766,0.067022", \ "0.020841,0.041167,0.076128", \ "0.151432,0.172921,0.211538"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.009897,0.028326,0.056029", \ "0.017530,0.032913,0.056649", \ "0.078020,0.091639,0.110640"); } } timing () { related_pin : "G"; timing_type : setup_falling; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.056680,0.043143,0.046656", \ "0.073198,0.060817,0.055471", \ "0.121039,0.107421,0.088425"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.017608,-0.004430,-0.038420", \ "0.029404,0.008163,-0.027994", \ "0.047625,0.026137,-0.012475"); } } internal_power () { when : "!G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.733881,0.709400,0.699748,0.694211,0.689901,0.688082,0.686015"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.629841,-0.634271,-0.636960,-0.638807,-0.640050,-0.641243,-0.642490"); } } internal_power () { when : "!G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649844,0.657686,0.659194,0.658723,0.658335,0.657820,0.657849"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.434729,-0.498623,-0.533581,-0.536277,-0.540340,-0.545985,-0.553448"); } } } pin (G) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.987008; fall_capacitance : 0.889862; rise_capacitance : 0.987008; timing () { related_pin : "G"; timing_type : min_pulse_width; rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.046044,0.046513,0.198733"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.928190,2.897739,2.918622,3.122857,3.517329,4.134060,5.030126"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.343141,2.321530,2.325122,2.472739,2.842172,3.487058,4.426550"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.993537,2.960086,2.982439,3.185044,3.577403,4.202366,5.107508"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.134956,2.112258,2.113542,2.261012,2.636253,3.288330,4.234168"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.697000; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0565827,0.0622773,0.0672942,0.0754985,0.0886644,0.110456,0.149039", \ "0.0582414,0.0639361,0.0689547,0.0771591,0.0903229,0.112121,0.150703", \ "0.0635317,0.0692247,0.0742411,0.0824462,0.0956129,0.117404,0.155989", \ "0.0727121,0.0783937,0.0834041,0.0915993,0.104762,0.126574,0.165164", \ "0.0865151,0.0922749,0.0973636,0.105627,0.118863,0.140709,0.179310", \ "0.102830,0.108833,0.114149,0.122790,0.136547,0.158908,0.197747", \ "0.121895,0.128127,0.133678,0.142697,0.156995,0.179999,0.219421"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0315547,0.0377370,0.0434057,0.0534936,0.0722603,0.108767,0.181402", \ "0.0327701,0.0389510,0.0446177,0.0547067,0.0734805,0.109990,0.182616", \ "0.0364942,0.0426733,0.0483363,0.0584124,0.0771650,0.113666,0.186304", \ "0.0429073,0.0491506,0.0548422,0.0649231,0.0836482,0.120133,0.192753", \ "0.0497465,0.0562143,0.0620525,0.0722388,0.0909828,0.127411,0.199983", \ "0.0553640,0.0621913,0.0682915,0.0786924,0.0974701,0.133807,0.206276", \ "0.0589659,0.0662169,0.0727446,0.0835543,0.102509,0.138757,0.211116"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0103882,0.0124431,0.0145609,0.0184135,0.0255646,0.0397018,0.0690838", \ "0.0103870,0.0124439,0.0145622,0.0184117,0.0255642,0.0396994,0.0690848", \ "0.0103880,0.0124431,0.0145623,0.0184131,0.0255614,0.0397032,0.0690807", \ "0.0103913,0.0124525,0.0145766,0.0184302,0.0255718,0.0397086,0.0690874", \ "0.0113375,0.0132786,0.0152898,0.0189700,0.0259147,0.0398818,0.0691422", \ "0.0129040,0.0148296,0.0168405,0.0205043,0.0273128,0.0408869,0.0695873", \ "0.0146581,0.0165477,0.0185479,0.0221979,0.0289175,0.0423070,0.0705636"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.00652038,0.0102596,0.0141626,0.0220633,0.0385384,0.0725966,0.141528", \ "0.00652311,0.0102582,0.0141647,0.0220661,0.0385283,0.0726024,0.141528", \ "0.00652357,0.0102647,0.0141677,0.0220698,0.0385272,0.0725986,0.141529", \ "0.00682439,0.0105186,0.0143754,0.0221945,0.0385773,0.0726261,0.141534", \ "0.00758421,0.0112367,0.0150003,0.0226417,0.0388010,0.0726924,0.141529", \ "0.00885517,0.0124326,0.0160538,0.0233863,0.0391749,0.0728230,0.141600", \ "0.0104634,0.0140729,0.0176378,0.0245845,0.0398157,0.0730622,0.141703"); } } timing () { related_pin : "G"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0569532,0.0626552,0.0676752,0.0758765,0.0890453,0.110836,0.149400", \ "0.0584185,0.0641184,0.0691388,0.0773429,0.0905124,0.112301,0.150865", \ "0.0630624,0.0687584,0.0737813,0.0819797,0.0951526,0.116943,0.155510", \ "0.0677009,0.0733944,0.0784155,0.0866106,0.0997827,0.121572,0.160134", \ "0.0710318,0.0767308,0.0817518,0.0899508,0.103121,0.124911,0.163483", \ "0.0730730,0.0787713,0.0837894,0.0919869,0.105153,0.126955,0.165519", \ "0.0735271,0.0792244,0.0842496,0.0924567,0.105648,0.127466,0.166060"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0489198,0.0550969,0.0607570,0.0708160,0.0895569,0.126049,0.198659", \ "0.0504003,0.0565779,0.0622366,0.0722966,0.0910370,0.127529,0.200135", \ "0.0553928,0.0615697,0.0672276,0.0772873,0.0960280,0.132518,0.205137", \ "0.0604617,0.0666386,0.0722963,0.0823597,0.101100,0.137585,0.210202", \ "0.0640665,0.0702441,0.0759020,0.0859640,0.104697,0.141198,0.213802", \ "0.0657986,0.0719718,0.0776260,0.0876854,0.106427,0.142924,0.215496", \ "0.0652221,0.0713884,0.0770418,0.0870988,0.105834,0.142332,0.214946"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.0103772,0.0124283,0.0145363,0.0183910,0.0255488,0.0396764,0.0690614", \ "0.0103758,0.0124266,0.0145363,0.0183911,0.0255469,0.0396800,0.0690750", \ "0.0103735,0.0124249,0.0145394,0.0183902,0.0255470,0.0396823,0.0690620", \ "0.0103742,0.0124273,0.0145397,0.0183938,0.0255488,0.0396778,0.0690698", \ "0.0103974,0.0124509,0.0145656,0.0184157,0.0255673,0.0396930,0.0690739", \ "0.0104508,0.0124995,0.0146129,0.0184606,0.0255990,0.0397090,0.0690548", \ "0.0106064,0.0126474,0.0147508,0.0185782,0.0256938,0.0397695,0.0691129"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("0.00653872,0.0102725,0.0141687,0.0220653,0.0385339,0.0726120,0.141528", \ "0.00653832,0.0102728,0.0141697,0.0220653,0.0385338,0.0726117,0.141530", \ "0.00653639,0.0102732,0.0141712,0.0220693,0.0385318,0.0726059,0.141540", \ "0.00653695,0.0102730,0.0141707,0.0220663,0.0385343,0.0726065,0.141522", \ "0.00654152,0.0102747,0.0141709,0.0220609,0.0385316,0.0726021,0.141511", \ "0.00654861,0.0102798,0.0141758,0.0220677,0.0385352,0.0725571,0.141517", \ "0.00655580,0.0102856,0.0141804,0.0220723,0.0385370,0.0726072,0.141488"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("6.342925,6.325047,6.368457,6.507725,6.776349,6.992644,7.091562", \ "6.305999,6.329460,6.346365,6.497112,6.752623,6.962839,7.067439", \ "6.295102,6.289427,6.323712,6.458835,6.723975,6.946076,7.037896", \ "6.272469,6.308579,6.333571,6.454892,6.725187,6.929576,7.035775", \ "6.636804,6.606014,6.605994,6.661700,6.885344,7.090637,7.153343", \ "7.188504,7.092451,7.007404,7.034043,7.244949,7.444477,7.503956", \ "8.011062,7.864753,7.737780,7.633152,7.742892,7.954935,8.061331"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("3.992653,4.494580,4.780131,4.805758,5.029960,4.781498,4.832035", \ "4.027058,4.371982,4.753241,4.873641,4.930613,5.004480,4.711064", \ "4.004602,4.443904,4.630958,4.835457,4.715718,4.721252,4.773464", \ "4.082859,4.465137,4.772822,4.920367,4.678019,4.542344,4.599324", \ "4.457046,4.832077,5.038688,5.024509,4.930747,4.848043,4.897160", \ "4.987093,5.314253,5.572140,5.523351,5.320542,4.965844,4.993781", \ "5.873351,6.066409,6.300412,6.269005,6.238648,5.812328,5.449515"); } } internal_power () { related_pin : "G"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("7.257175,7.289513,7.344313,7.480740,7.765226,7.971257,8.054439", \ "7.236095,7.291340,7.319160,7.469766,7.742877,7.961340,8.048298", \ "7.229956,7.271000,7.328766,7.448421,7.727091,7.952846,8.029388", \ "7.407062,7.417849,7.440323,7.600734,7.870527,8.086669,8.174731", \ "7.763215,7.802867,7.824137,7.951127,8.231057,8.457476,8.542334", \ "8.431912,8.499579,8.533007,8.657086,8.884427,9.113337,9.199461", \ "9.429663,9.432591,9.493545,9.602754,9.890774,10.088640,10.165940"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.771780,7.543560,15.087100,30.174200,60.348500,120.697000"); values ("6.336238,6.736098,7.049786,7.162153,7.196150,7.376117,7.022114", \ "6.312254,6.784371,7.035155,7.137267,7.172078,7.200582,7.377401", \ "6.256167,6.770802,7.042533,7.076359,7.241112,7.361691,7.116267", \ "6.450568,6.874333,7.168674,7.314249,7.334751,7.436006,7.354625", \ "6.858202,7.237167,7.494505,7.654411,7.688451,7.874113,7.518484", \ "7.433179,7.934074,8.222145,8.356709,8.460514,8.417749,8.597952", \ "8.288718,8.815328,9.147527,9.321418,9.354063,9.453118,9.479608"); } } } } /****************************************************************************************** Module : DLL_X1 Cell Description : Low enable Latch with drive strength X1 *******************************************************************************************/ cell (DLL_X1) { drive_strength : 1; latch ("IQ" , "IQN") { data_in : "D"; enable : "!GN"; } area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 40.863416; leakage_power () { when : "!D & !GN & !Q"; value : 38.906340; } leakage_power () { when : "!D & GN & !Q"; value : 38.676803; } leakage_power () { when : "!D & GN & Q"; value : 34.248874; } leakage_power () { when : "D & !GN & Q"; value : 44.511841; } leakage_power () { when : "D & GN & !Q"; value : 49.199612; } leakage_power () { when : "D & GN & Q"; value : 39.637026; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.883012; fall_capacitance : 0.833326; rise_capacitance : 0.883012; timing () { related_pin : "GN"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.002302,0.003570,-0.008642", \ "0.003335,0.006042,-0.014678", \ "0.141520,0.146761,0.126505"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.001471,0.010652,0.009692", \ "0.017590,0.027707,0.026938", \ "0.092888,0.103785,0.105564"); } } timing () { related_pin : "GN"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.043554,0.031480,0.030391", \ "0.060335,0.049424,0.048568", \ "0.106170,0.095275,0.093502"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.030734,0.031480,0.079810", \ "0.042573,0.040495,0.073043", \ "0.057537,0.052297,0.072560"); } } internal_power () { when : "GN & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542884,0.528963,0.519888,0.516095,0.512793,0.512596,0.510304"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.411570,-0.411846,-0.413437,-0.416074,-0.419629,-0.424264,-0.430140"); } } internal_power () { when : "GN & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480279,0.488623,0.490241,0.490009,0.489339,0.489445,0.489359"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.317450,-0.317634,-0.318435,-0.320059,-0.322476,-0.325833,-0.330258"); } } } pin (GN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.989103; fall_capacitance : 0.893541; rise_capacitance : 0.989103; timing () { related_pin : "GN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.049096,0.062797,0.198733"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.357142,3.324017,3.353109,3.558735,3.958087,4.591948,5.506409"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.772116,1.747109,1.746708,1.899413,2.286247,2.949560,3.901859"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.208997,3.175541,3.204628,3.413727,3.813525,4.448866,5.364396"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.814854,1.792122,1.794716,1.947388,2.330778,2.989197,3.943109"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0506274,0.0552723,0.0599700,0.0676967,0.0801821,0.101174,0.139036", \ "0.0522693,0.0569144,0.0616116,0.0693377,0.0818281,0.102808,0.140672", \ "0.0575836,0.0622218,0.0669215,0.0746417,0.0871326,0.108124,0.145993", \ "0.0668109,0.0714323,0.0761171,0.0838271,0.0963222,0.117318,0.155192", \ "0.0798685,0.0846521,0.0894920,0.0973943,0.110068,0.131162,0.169053", \ "0.0953112,0.100342,0.105428,0.113725,0.126931,0.148595,0.186803", \ "0.113514,0.118812,0.124163,0.132869,0.146611,0.168888,0.207612"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0291029,0.0340990,0.0394612,0.0492454,0.0678063,0.104197,0.176673", \ "0.0303525,0.0353463,0.0407098,0.0504936,0.0690530,0.105449,0.177920", \ "0.0341283,0.0391198,0.0444729,0.0542436,0.0727943,0.109181,0.181655", \ "0.0401750,0.0452559,0.0506531,0.0604383,0.0789581,0.115334,0.187800", \ "0.0461926,0.0515015,0.0570296,0.0668872,0.0854077,0.121745,0.194194", \ "0.0506636,0.0563675,0.0621769,0.0722310,0.0907618,0.126986,0.199361", \ "0.0529210,0.0590880,0.0653505,0.0758191,0.0944845,0.130657,0.202944"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00885811,0.0107329,0.0128623,0.0166839,0.0237712,0.0379564,0.0676906", \ "0.00885962,0.0107335,0.0128619,0.0166812,0.0237668,0.0379461,0.0676994", \ "0.00885601,0.0107337,0.0128626,0.0166859,0.0237713,0.0379434,0.0676958", \ "0.00888019,0.0107615,0.0128956,0.0167157,0.0237894,0.0379564,0.0676920", \ "0.00994085,0.0117400,0.0137860,0.0174526,0.0242807,0.0382098,0.0677798", \ "0.0112990,0.0131053,0.0151663,0.0188347,0.0255933,0.0392226,0.0682342", \ "0.0128359,0.0146487,0.0167089,0.0203650,0.0270550,0.0404635,0.0690679"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00661461,0.00980338,0.0136947,0.0217082,0.0383666,0.0725189,0.141341", \ "0.00661419,0.00980255,0.0136948,0.0217083,0.0383666,0.0725202,0.141337", \ "0.00662071,0.00980930,0.0137047,0.0217141,0.0383638,0.0725164,0.141339", \ "0.00695372,0.0101045,0.0139411,0.0218565,0.0384244,0.0725300,0.141337", \ "0.00771571,0.0108011,0.0145101,0.0222311,0.0386176,0.0726129,0.141402", \ "0.00890035,0.0119681,0.0155012,0.0228724,0.0388925,0.0727364,0.141406", \ "0.0103243,0.0135319,0.0170069,0.0239603,0.0394429,0.0729405,0.141530"); } } timing () { related_pin : "GN"; timing_type : falling_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0661161,0.0707568,0.0754503,0.0831608,0.0956513,0.116634,0.154489", \ "0.0676224,0.0722626,0.0769571,0.0846697,0.0971591,0.118142,0.155998", \ "0.0741822,0.0788218,0.0835153,0.0912318,0.103715,0.124702,0.162557", \ "0.0845166,0.0891588,0.0938550,0.101566,0.114059,0.135043,0.172897", \ "0.0956167,0.100250,0.104945,0.112653,0.125146,0.146129,0.183991", \ "0.107885,0.112513,0.117195,0.124909,0.137410,0.158375,0.196234", \ "0.121610,0.126224,0.130903,0.138610,0.151108,0.172088,0.209972"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.0431810,0.0481838,0.0535441,0.0633017,0.0818402,0.118215,0.190676", \ "0.0446947,0.0496998,0.0550580,0.0648144,0.0833563,0.119730,0.192191", \ "0.0512327,0.0562361,0.0615961,0.0713518,0.0898895,0.126265,0.198728", \ "0.0623284,0.0673321,0.0726810,0.0824226,0.100940,0.137307,0.209761", \ "0.0747699,0.0798037,0.0851527,0.0948781,0.113366,0.149713,0.222163", \ "0.0884923,0.0935782,0.0989386,0.108650,0.127107,0.163409,0.235840", \ "0.103769,0.108947,0.114345,0.124052,0.142472,0.178739,0.251117"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00884485,0.0107223,0.0128469,0.0166763,0.0237677,0.0379450,0.0676909", \ "0.00884345,0.0107222,0.0128497,0.0166752,0.0237663,0.0379449,0.0676909", \ "0.00884400,0.0107235,0.0128489,0.0166754,0.0237690,0.0379444,0.0676869", \ "0.00883806,0.0107214,0.0128450,0.0166715,0.0237694,0.0379440,0.0676923", \ "0.00880073,0.0106838,0.0128153,0.0166591,0.0237597,0.0379427,0.0676923", \ "0.00876933,0.0106622,0.0127976,0.0166446,0.0237583,0.0379280,0.0676951", \ "0.00870714,0.0106091,0.0127613,0.0166201,0.0237418,0.0379417,0.0676979"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.00677963,0.00992789,0.0137771,0.0217466,0.0383805,0.0725253,0.141323", \ "0.00677470,0.00992738,0.0137788,0.0217476,0.0383816,0.0725220,0.141328", \ "0.00678025,0.00992928,0.0137803,0.0217482,0.0383840,0.0725262,0.141357", \ "0.00684010,0.00996933,0.0138134,0.0217646,0.0383909,0.0725289,0.141340", \ "0.00703917,0.0101120,0.0139181,0.0218174,0.0384121,0.0725376,0.141348", \ "0.00733163,0.0103325,0.0140702,0.0219136,0.0384436,0.0724983,0.141341", \ "0.00777620,0.0106782,0.0143093,0.0220496,0.0385088,0.0725361,0.141282"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.792766,3.944985,4.062590,4.218458,4.420891,4.543202,4.590981", \ "3.774349,3.921180,4.040885,4.209135,4.395045,4.527985,4.572301", \ "3.735866,3.890830,4.020873,4.182896,4.374008,4.502499,4.548263", \ "3.748878,3.913035,4.032194,4.189750,4.375608,4.504922,4.545278", \ "3.934046,4.077590,4.174584,4.325318,4.490919,4.614084,4.649968", \ "4.217376,4.337287,4.399295,4.527480,4.724473,4.869266,4.914183", \ "4.726807,4.801450,4.846147,4.907803,5.083300,5.240795,5.334838"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.525197,2.851543,3.009978,3.147689,3.210504,3.198610,3.113519", \ "2.501925,2.831641,3.033573,3.079137,3.142008,2.991647,3.040906", \ "2.495270,2.804627,3.006977,3.101844,3.162693,3.092918,3.057843", \ "2.519955,2.813195,2.983597,3.063633,3.173379,3.071741,2.997508", \ "2.750535,3.041546,3.182093,3.264761,3.260633,3.194406,3.244614", \ "3.079219,3.385385,3.545708,3.583412,3.572535,3.464119,3.455248", \ "3.541095,3.857654,4.026822,4.048482,3.934613,3.893747,3.910777"); } } internal_power () { related_pin : "GN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.208530,6.358928,6.477481,6.642215,6.845433,6.975027,7.019869", \ "6.163873,6.333298,6.435639,6.617714,6.798902,6.934738,6.980266", \ "6.204627,6.358632,6.481136,6.640772,6.839368,6.961754,7.004851", \ "6.398757,6.568482,6.672702,6.845988,7.046272,7.170520,7.211811", \ "6.763809,6.964468,7.086463,7.252509,7.434148,7.569731,7.613808", \ "7.329654,7.497934,7.634709,7.823582,8.074227,8.198057,8.249229", \ "8.116298,8.311280,8.460587,8.651852,8.891335,9.072975,9.161817"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.867747,6.152645,6.326665,6.489307,6.528088,6.546559,6.403266", \ "5.822983,6.115125,6.282361,6.445174,6.483916,6.538197,6.527609", \ "5.844554,6.139158,6.311649,6.467103,6.505797,6.522215,6.510318", \ "6.075664,6.392775,6.561763,6.653312,6.687639,6.768838,6.758059", \ "6.412396,6.791457,6.979621,7.070977,7.113425,7.083857,7.072396", \ "6.923287,7.353357,7.554726,7.691644,7.768095,7.796073,7.859162", \ "7.757149,8.182606,8.416096,8.568122,8.654424,8.750870,8.724367"); } } } } /****************************************************************************************** Module : DLL_X2 Cell Description : Low enable Latch with drive strength X2 *******************************************************************************************/ cell (DLL_X2) { drive_strength : 2; latch ("IQ" , "IQN") { data_in : "D"; enable : "!GN"; } area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 57.430445; leakage_power () { when : "!D & !GN & !Q"; value : 58.181750; } leakage_power () { when : "!D & GN & !Q"; value : 57.835943; } leakage_power () { when : "!D & GN & Q"; value : 45.038444; } leakage_power () { when : "D & !GN & Q"; value : 59.611629; } leakage_power () { when : "D & GN & !Q"; value : 71.775198; } leakage_power () { when : "D & GN & Q"; value : 52.139703; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.130534; fall_capacitance : 1.078754; rise_capacitance : 1.130534; timing () { related_pin : "GN"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.001051,0.004511,-0.011730", \ "0.004312,0.007024,-0.014590", \ "0.135634,0.140221,0.119842"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.001521,0.010694,0.010668", \ "0.018310,0.029042,0.028608", \ "0.086074,0.096622,0.098584"); } } timing () { related_pin : "GN"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.048743,0.036697,0.035083", \ "0.065542,0.054351,0.053275", \ "0.112985,0.102438,0.100482"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.034702,0.033935,0.084189", \ "0.045942,0.043882,0.078064", \ "0.063423,0.058838,0.079224"); } } internal_power () { when : "GN & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734289,0.711443,0.698109,0.693073,0.689864,0.687976,0.686339"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.631935,-0.635284,-0.637641,-0.639089,-0.640247,-0.641389,-0.642425"); } } internal_power () { when : "GN & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649936,0.656945,0.658917,0.658718,0.658280,0.657685,0.657777"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.435692,-0.444934,-0.446061,-0.448218,-0.451603,-0.456298,-0.462515"); } } } pin (GN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.982609; fall_capacitance : 0.887323; rise_capacitance : 0.982609; timing () { related_pin : "GN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.053980,0.065869,0.198733"); } } internal_power () { when : "!D & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.516401,3.484334,3.514092,3.720219,4.118627,4.753333,5.667557"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.678823,1.654770,1.654025,1.810665,2.188768,2.850564,3.796800"); } } internal_power () { when : "D & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.311643,3.280530,3.310067,3.519453,3.921398,4.556854,5.472757"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.732564,1.709434,1.714430,1.865113,2.245400,2.900714,3.849319"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0549041,0.0604923,0.0654177,0.0734735,0.0864192,0.107963,0.146312", \ "0.0565613,0.0621475,0.0670788,0.0751330,0.0880830,0.109619,0.147964", \ "0.0618597,0.0674444,0.0723707,0.0804249,0.0933767,0.114919,0.153269", \ "0.0710633,0.0766417,0.0815546,0.0896024,0.102549,0.124100,0.162457", \ "0.0847253,0.0903934,0.0954027,0.103549,0.116578,0.138173,0.176535", \ "0.100868,0.106766,0.111999,0.120519,0.134070,0.156182,0.194787", \ "0.119778,0.125891,0.131369,0.140254,0.154329,0.177078,0.216240"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0307140,0.0368141,0.0424225,0.0524429,0.0711505,0.107598,0.180144", \ "0.0319449,0.0380405,0.0436474,0.0536686,0.0723765,0.108829,0.181373", \ "0.0356668,0.0417579,0.0473581,0.0573689,0.0760666,0.112513,0.185043", \ "0.0419529,0.0481213,0.0537525,0.0637691,0.0824341,0.118853,0.191391", \ "0.0485980,0.0549850,0.0607584,0.0708679,0.0895406,0.125918,0.198400", \ "0.0539710,0.0607263,0.0667645,0.0770741,0.0957726,0.132029,0.204419", \ "0.0572987,0.0644749,0.0709413,0.0816615,0.100514,0.136691,0.208971"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0101795,0.0121912,0.0142816,0.0180753,0.0251750,0.0393031,0.0687845", \ "0.0101791,0.0121962,0.0142813,0.0180757,0.0251735,0.0393080,0.0687892", \ "0.0101791,0.0121950,0.0142827,0.0180737,0.0251773,0.0393090,0.0687808", \ "0.0101815,0.0122078,0.0143002,0.0180962,0.0251950,0.0393107,0.0687871", \ "0.0111986,0.0131009,0.0150787,0.0186999,0.0255694,0.0395223,0.0688631", \ "0.0127713,0.0146496,0.0166260,0.0202265,0.0269756,0.0405403,0.0693133", \ "0.0145340,0.0163780,0.0183342,0.0219124,0.0285667,0.0419347,0.0702480"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00643015,0.0101410,0.0140356,0.0219489,0.0384435,0.0725253,0.141386", \ "0.00642738,0.0101363,0.0140375,0.0219549,0.0384442,0.0725010,0.141381", \ "0.00643481,0.0101447,0.0140394,0.0219592,0.0384410,0.0725159,0.141361", \ "0.00674818,0.0104122,0.0142571,0.0220876,0.0384957,0.0725204,0.141350", \ "0.00752695,0.0111292,0.0148738,0.0225254,0.0387090,0.0726225,0.141372", \ "0.00881032,0.0123451,0.0159394,0.0232573,0.0390722,0.0727260,0.141426", \ "0.0104362,0.0140009,0.0175350,0.0244526,0.0396872,0.0729769,0.141509"); } } timing () { related_pin : "GN"; timing_type : falling_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0702242,0.0758047,0.0807318,0.0887779,0.101725,0.123263,0.161597", \ "0.0717427,0.0773239,0.0822505,0.0902941,0.103244,0.124783,0.163116", \ "0.0782973,0.0838783,0.0888043,0.0968541,0.109802,0.131338,0.169673", \ "0.0887344,0.0943159,0.0992427,0.107290,0.120237,0.141777,0.180119", \ "0.0999368,0.105518,0.110437,0.118484,0.131427,0.152970,0.191312", \ "0.112291,0.117862,0.122790,0.130834,0.143769,0.165302,0.203635", \ "0.126123,0.131679,0.136585,0.144629,0.157579,0.179122,0.217486"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0450773,0.0511705,0.0567694,0.0667604,0.0854443,0.121879,0.194402", \ "0.0466003,0.0526972,0.0582948,0.0682874,0.0869715,0.123408,0.195932", \ "0.0531485,0.0592423,0.0648389,0.0748310,0.0935140,0.129946,0.202474", \ "0.0643287,0.0704202,0.0760099,0.0859858,0.104651,0.141071,0.213599", \ "0.0770165,0.0831277,0.0887178,0.0986761,0.117311,0.153708,0.226212", \ "0.0910302,0.0971831,0.102781,0.112730,0.131327,0.167672,0.240156", \ "0.106671,0.112910,0.118538,0.128481,0.147042,0.183346,0.255758"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.0101719,0.0121872,0.0142685,0.0180664,0.0251743,0.0393039,0.0687819", \ "0.0101679,0.0121863,0.0142651,0.0180690,0.0251719,0.0393030,0.0687866", \ "0.0101704,0.0121839,0.0142651,0.0180669,0.0251727,0.0393027,0.0687797", \ "0.0101694,0.0121830,0.0142656,0.0180664,0.0251725,0.0393014,0.0687792", \ "0.0101336,0.0121560,0.0142484,0.0180553,0.0251681,0.0392970,0.0687812", \ "0.0101115,0.0121358,0.0142281,0.0180404,0.0251601,0.0392793,0.0687873", \ "0.0100516,0.0120848,0.0141868,0.0180136,0.0251493,0.0392957,0.0687645"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.00657731,0.0102407,0.0141035,0.0219853,0.0384537,0.0725052,0.141361", \ "0.00658064,0.0102382,0.0141026,0.0219853,0.0384553,0.0725039,0.141395", \ "0.00657873,0.0102405,0.0141029,0.0219857,0.0384551,0.0725152,0.141363", \ "0.00663130,0.0102792,0.0141356,0.0220082,0.0384620,0.0725222,0.141359", \ "0.00682769,0.0104181,0.0142353,0.0220672,0.0384938,0.0725143,0.141379", \ "0.00711758,0.0106283,0.0143915,0.0221585,0.0385201,0.0725004,0.141321", \ "0.00756294,0.0109643,0.0146331,0.0223007,0.0385901,0.0725355,0.141274"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.135465,6.127134,6.164623,6.270515,6.552773,6.753679,6.819866", \ "6.098298,6.096864,6.133437,6.259045,6.519380,6.729560,6.809766", \ "6.085787,6.078855,6.109897,6.225963,6.496229,6.698289,6.774382", \ "6.116492,6.113113,6.131877,6.252902,6.479767,6.677679,6.777607", \ "6.449112,6.427434,6.407389,6.435298,6.667309,6.842147,6.915637", \ "6.993313,6.911683,6.813977,6.801497,7.029075,7.191031,7.241571", \ "7.791786,7.675462,7.517116,7.408005,7.487498,7.720831,7.808055"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("3.933599,4.474136,4.715737,4.895233,4.762713,4.920801,4.996877", \ "3.938835,4.349992,4.675789,4.844857,4.677613,4.799163,4.874726", \ "3.953648,4.421483,4.609235,4.764681,4.772554,4.857964,4.930046", \ "4.062849,4.466093,4.631270,4.879465,4.725728,4.795310,4.778672", \ "4.351801,4.793183,4.981282,5.079642,4.893704,5.034223,5.111369", \ "4.984236,5.232878,5.495676,5.571072,5.505346,5.196187,5.249661", \ "5.875271,6.015292,6.260314,6.297558,6.152701,6.078254,5.757502"); } } internal_power () { related_pin : "GN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.336879,8.354399,8.388737,8.498980,8.769228,8.979269,9.053918", \ "8.291999,8.309019,8.362585,8.485620,8.733083,8.940547,9.017918", \ "8.349652,8.377937,8.380983,8.505819,8.760849,8.970886,9.045335", \ "8.526990,8.554613,8.589271,8.693242,8.975752,9.179509,9.251775", \ "8.966468,8.961039,8.989713,9.119528,9.367796,9.579735,9.651483", \ "9.451161,9.497846,9.553941,9.695787,10.010280,10.201830,10.282520", \ "10.261990,10.312580,10.387620,10.513870,10.824460,11.083990,11.204830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("7.454537,7.895148,8.185361,8.320523,8.398646,8.364276,8.189007", \ "7.408684,7.879759,8.129592,8.273521,8.352254,8.419920,8.508648", \ "7.457663,7.882066,8.114774,8.292241,8.369613,8.468907,8.560248", \ "7.718533,8.127512,8.403445,8.487590,8.642973,8.681710,8.584230", \ "8.077528,8.600030,8.812563,8.973943,9.051912,8.910100,8.999293", \ "8.710588,9.222936,9.390859,9.595399,9.684333,9.544134,9.368637", \ "9.608097,10.099680,10.386800,10.458880,10.555660,10.649350,10.550000"); } } } } /****************************************************************************************** Module : FA_X1 Cell Description : Combinational cell (FA_X1) with drive strength X1 *******************************************************************************************/ cell (FA_X1) { drive_strength : 1; area : 4.256000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 75.762253; leakage_power () { when : "!A & !B & !CI"; value : 48.106190; } leakage_power () { when : "!A & !B & CI"; value : 60.034260; } leakage_power () { when : "!A & B & !CI"; value : 71.593060; } leakage_power () { when : "!A & B & CI"; value : 74.656120; } leakage_power () { when : "A & !B & !CI"; value : 67.518880; } leakage_power () { when : "A & !B & CI"; value : 83.228970; } leakage_power () { when : "A & B & !CI"; value : 98.401930; } leakage_power () { when : "A & B & CI"; value : 102.558610; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.745709; fall_capacitance : 3.605599; rise_capacitance : 3.745709; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.471985; fall_capacitance : 3.399547; rise_capacitance : 3.471985; } pin (CI) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.762078; fall_capacitance : 2.664753; rise_capacitance : 2.762078; } pin (CO) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.119600; function : "((A & B) | (CI & (A | B)))"; timing () { related_pin : "A"; when : "!B & CI"; sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.065460,0.070816,0.076222,0.085104,0.099420,0.122857,0.162807", \ "0.066190,0.071546,0.076945,0.085820,0.100121,0.123532,0.163460", \ "0.070350,0.075695,0.081084,0.089947,0.104233,0.127629,0.167527", \ "0.081040,0.086375,0.091761,0.100608,0.114882,0.138266,0.178159", \ "0.099563,0.104864,0.110206,0.119017,0.133272,0.156649,0.196526", \ "0.121115,0.126881,0.132599,0.141904,0.156723,0.180559,0.220605", \ "0.144139,0.150339,0.156505,0.166347,0.182058,0.206812,0.247621"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.033160,0.038754,0.044624,0.054990,0.073995,0.110724,0.183221", \ "0.034755,0.040347,0.046215,0.056582,0.075591,0.112322,0.184821", \ "0.038913,0.044495,0.050355,0.060709,0.079709,0.116445,0.188950", \ "0.045414,0.051104,0.057052,0.067440,0.086423,0.123131,0.195631", \ "0.052085,0.057868,0.063973,0.074594,0.093815,0.130620,0.203060", \ "0.057337,0.063466,0.069768,0.080646,0.100030,0.136905,0.209479", \ "0.060162,0.066795,0.073488,0.084889,0.104730,0.141705,0.214259"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010970,0.013074,0.015416,0.019657,0.027347,0.041690,0.070140", \ "0.010954,0.013052,0.015391,0.019627,0.027308,0.041650,0.070102", \ "0.010935,0.013038,0.015374,0.019610,0.027284,0.041615,0.070053", \ "0.010932,0.013035,0.015376,0.019614,0.027287,0.041608,0.070034", \ "0.011514,0.013468,0.015719,0.019876,0.027466,0.041714,0.070077", \ "0.013971,0.015901,0.018050,0.021937,0.029092,0.042691,0.070458", \ "0.016476,0.018483,0.020674,0.024543,0.031469,0.044650,0.071742"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007606,0.010972,0.014891,0.022716,0.039022,0.072882,0.141038", \ "0.007606,0.010971,0.014888,0.022714,0.039021,0.072883,0.141037", \ "0.007568,0.010949,0.014876,0.022707,0.039019,0.072881,0.141038", \ "0.007796,0.011283,0.015176,0.022883,0.039082,0.072887,0.141034", \ "0.008321,0.011847,0.015834,0.023555,0.039628,0.073060,0.141036", \ "0.009543,0.012961,0.016890,0.024459,0.040127,0.073516,0.141236", \ "0.011117,0.014642,0.018524,0.025912,0.041113,0.073868,0.141585"); } } timing () { related_pin : "A"; when : "B & !CI"; sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.060779,0.066148,0.071695,0.081004,0.096157,0.120820,0.162031", \ "0.062219,0.067586,0.073132,0.082439,0.097588,0.122248,0.163460", \ "0.067453,0.072811,0.078343,0.087627,0.102758,0.127406,0.168612", \ "0.076944,0.082266,0.087762,0.096985,0.112053,0.136671,0.177866", \ "0.091066,0.096400,0.101870,0.111066,0.126128,0.150757,0.191965", \ "0.106870,0.112390,0.118004,0.127294,0.142761,0.168280,0.210067", \ "0.124980,0.130795,0.136628,0.146332,0.162094,0.188160,0.231051"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.037018,0.042513,0.048302,0.058593,0.077619,0.114415,0.187100", \ "0.038378,0.043863,0.049641,0.059918,0.078925,0.115701,0.188381", \ "0.043351,0.048829,0.054597,0.064851,0.083821,0.120559,0.193217", \ "0.053121,0.058594,0.064329,0.074516,0.093392,0.130046,0.202658", \ "0.062906,0.068755,0.074703,0.085047,0.103977,0.140509,0.213003", \ "0.070424,0.076805,0.083209,0.093860,0.112820,0.149323,0.221746", \ "0.075591,0.082474,0.089490,0.100839,0.120093,0.156428,0.228753"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010423,0.012834,0.015568,0.020406,0.028763,0.043489,0.071671", \ "0.010421,0.012828,0.015561,0.020396,0.028755,0.043485,0.071669", \ "0.010401,0.012799,0.015520,0.020352,0.028720,0.043464,0.071658", \ "0.010320,0.012705,0.015414,0.020241,0.028635,0.043415,0.071636", \ "0.011033,0.013288,0.015866,0.020538,0.028829,0.043536,0.071693", \ "0.012430,0.014544,0.016942,0.021536,0.030212,0.045042,0.072451", \ "0.014125,0.016251,0.018638,0.022992,0.031357,0.046562,0.074308"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007596,0.010944,0.014870,0.022762,0.039163,0.073061,0.141324", \ "0.007580,0.010923,0.014844,0.022730,0.039128,0.073037,0.141319", \ "0.007567,0.010902,0.014818,0.022694,0.039083,0.072987,0.141280", \ "0.007802,0.011062,0.014923,0.022747,0.039084,0.072962,0.141248", \ "0.009100,0.012236,0.015881,0.023398,0.039425,0.073023,0.141246", \ "0.010745,0.013979,0.017468,0.024429,0.039892,0.073356,0.141351", \ "0.012595,0.016020,0.019640,0.026196,0.040694,0.073567,0.141620"); } } timing () { related_pin : "B"; when : "!A & CI"; sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.066512,0.071864,0.077263,0.086141,0.100453,0.123879,0.163812", \ "0.068027,0.073375,0.078771,0.087637,0.101934,0.125336,0.165236", \ "0.073351,0.078696,0.084090,0.092954,0.107245,0.130642,0.170527", \ "0.082586,0.087925,0.093310,0.102163,0.116447,0.139841,0.179731", \ "0.097104,0.102447,0.107802,0.116631,0.130917,0.154316,0.194202", \ "0.114419,0.120021,0.125683,0.134919,0.149702,0.173549,0.213627", \ "0.134508,0.140391,0.146210,0.155811,0.171221,0.195841,0.236608"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.035876,0.041294,0.047010,0.057190,0.076053,0.112787,0.185384", \ "0.037296,0.042712,0.048427,0.058607,0.077471,0.114206,0.186805", \ "0.041463,0.046880,0.052593,0.062766,0.081622,0.118355,0.190957", \ "0.048507,0.053971,0.059703,0.069864,0.088686,0.125390,0.197976", \ "0.056124,0.061814,0.067712,0.078029,0.096919,0.133591,0.206122", \ "0.062542,0.068604,0.074785,0.085321,0.104320,0.140965,0.213450", \ "0.066931,0.073448,0.079996,0.090912,0.110188,0.146816,0.219213"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010961,0.013062,0.015402,0.019641,0.027341,0.041670,0.070116", \ "0.010944,0.013041,0.015377,0.019616,0.027307,0.041624,0.070064", \ "0.010937,0.013036,0.015373,0.019609,0.027298,0.041610,0.070042", \ "0.010935,0.013036,0.015377,0.019615,0.027302,0.041608,0.070034", \ "0.011445,0.013450,0.015706,0.019854,0.027462,0.041698,0.070069", \ "0.012861,0.014900,0.017171,0.021272,0.028691,0.042509,0.070400", \ "0.014395,0.016468,0.018770,0.022907,0.030333,0.044038,0.071431"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007408,0.010728,0.014628,0.022478,0.038910,0.072956,0.141149", \ "0.007406,0.010726,0.014626,0.022477,0.038910,0.072957,0.141150", \ "0.007406,0.010727,0.014629,0.022478,0.038909,0.072958,0.141152", \ "0.007623,0.010919,0.014777,0.022569,0.038947,0.072957,0.141146", \ "0.008302,0.011599,0.015399,0.023041,0.039206,0.073024,0.141151", \ "0.009415,0.012734,0.016437,0.023810,0.039608,0.073211,0.141215", \ "0.010821,0.014269,0.017979,0.025052,0.040299,0.073452,0.141345"); } } timing () { related_pin : "B"; when : "A & !CI"; sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.065908,0.071180,0.076505,0.085268,0.099470,0.122923,0.162957", \ "0.067529,0.072803,0.078128,0.086890,0.101093,0.124547,0.164584", \ "0.073314,0.078585,0.083906,0.092668,0.106870,0.130328,0.170366", \ "0.082888,0.088159,0.093475,0.102227,0.116425,0.139882,0.179926", \ "0.097094,0.102381,0.107695,0.116445,0.130661,0.154135,0.194171", \ "0.113696,0.119248,0.124858,0.134005,0.148755,0.172747,0.213022", \ "0.132875,0.138714,0.144504,0.154185,0.169616,0.194464,0.235505"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.036735,0.042227,0.048014,0.058303,0.077324,0.114123,0.186797", \ "0.037953,0.043435,0.049210,0.059479,0.078475,0.115251,0.187911", \ "0.041931,0.047410,0.053175,0.063430,0.082400,0.119145,0.191779", \ "0.049013,0.054521,0.060295,0.070527,0.089454,0.126161,0.198776", \ "0.056884,0.062592,0.068510,0.078870,0.097838,0.134499,0.207061", \ "0.063704,0.069746,0.075911,0.086466,0.105516,0.142130,0.214643", \ "0.068613,0.075080,0.081551,0.092443,0.111724,0.148307,0.220731"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010591,0.012686,0.015024,0.019284,0.027121,0.041706,0.070161", \ "0.010591,0.012687,0.015024,0.019286,0.027121,0.041710,0.070166", \ "0.010592,0.012691,0.015027,0.019286,0.027121,0.041711,0.070169", \ "0.010590,0.012692,0.015029,0.019294,0.027129,0.041712,0.070166", \ "0.011147,0.013154,0.015410,0.019570,0.027314,0.041810,0.070200", \ "0.012578,0.014624,0.016907,0.021056,0.028653,0.042728,0.070581", \ "0.014159,0.016232,0.018551,0.022742,0.030393,0.044385,0.071714"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007594,0.010940,0.014866,0.022753,0.039161,0.073060,0.141313", \ "0.007575,0.010913,0.014834,0.022714,0.039119,0.073028,0.141295", \ "0.007568,0.010907,0.014820,0.022690,0.039084,0.072987,0.141258", \ "0.007743,0.011051,0.014928,0.022752,0.039102,0.072979,0.141244", \ "0.008370,0.011675,0.015495,0.023176,0.039325,0.073034,0.141249", \ "0.009422,0.012721,0.016440,0.023867,0.039676,0.073207,0.141312", \ "0.010780,0.014177,0.017871,0.024991,0.040290,0.073427,0.141447"); } } timing () { related_pin : "CI"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.054252,0.059727,0.065442,0.075152,0.090828,0.115806,0.157146", \ "0.055245,0.060717,0.066433,0.076145,0.091821,0.116800,0.158140", \ "0.059922,0.065392,0.071099,0.080805,0.096478,0.121459,0.162798", \ "0.071162,0.076605,0.082293,0.091975,0.107640,0.132621,0.173965", \ "0.089063,0.094596,0.100322,0.110021,0.125747,0.150790,0.192160", \ "0.108573,0.114543,0.120720,0.131103,0.147776,0.173590,0.215280", \ "0.129463,0.135899,0.142538,0.153656,0.171575,0.198669,0.241382"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.033635,0.039054,0.044770,0.054951,0.073817,0.110552,0.183144", \ "0.035066,0.040485,0.046200,0.056381,0.075247,0.111982,0.184576", \ "0.040128,0.045546,0.051257,0.061427,0.080278,0.117012,0.189612", \ "0.049615,0.055067,0.060767,0.070881,0.089640,0.126296,0.198858", \ "0.058422,0.064291,0.070229,0.080524,0.099359,0.135903,0.208331", \ "0.064947,0.071364,0.077775,0.088432,0.107348,0.143841,0.216173", \ "0.068885,0.075831,0.082910,0.094335,0.113683,0.150103,0.222286"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010604,0.013176,0.016152,0.021313,0.029598,0.043936,0.071852", \ "0.010608,0.013176,0.016152,0.021314,0.029597,0.043934,0.071851", \ "0.010602,0.013175,0.016153,0.021317,0.029598,0.043934,0.071850", \ "0.010596,0.013179,0.016166,0.021337,0.029618,0.043941,0.071849", \ "0.011881,0.014250,0.017019,0.021957,0.030072,0.044201,0.071967", \ "0.014409,0.016848,0.019682,0.024642,0.032416,0.045677,0.072594", \ "0.017042,0.019601,0.022584,0.027785,0.035567,0.048255,0.074289"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007406,0.010725,0.014624,0.022475,0.038908,0.072953,0.141148", \ "0.007409,0.010725,0.014627,0.022476,0.038907,0.072954,0.141146", \ "0.007401,0.010724,0.014625,0.022476,0.038908,0.072954,0.141147", \ "0.007782,0.011011,0.014824,0.022583,0.038944,0.072950,0.141140", \ "0.009145,0.012249,0.015851,0.023292,0.039331,0.073011,0.141132", \ "0.010850,0.014096,0.017564,0.024452,0.039863,0.073325,0.141219", \ "0.012845,0.016294,0.019955,0.026511,0.040907,0.073625,0.141453"); } } timing () { related_pin : "CI"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.058866,0.064142,0.069468,0.078230,0.092432,0.115885,0.155918", \ "0.059914,0.065191,0.070515,0.079280,0.093483,0.116937,0.156969", \ "0.064570,0.069841,0.075160,0.083921,0.098122,0.121575,0.161610", \ "0.075598,0.080860,0.086164,0.094910,0.109102,0.132558,0.172596", \ "0.093897,0.099195,0.104480,0.113209,0.127392,0.150851,0.190881", \ "0.114321,0.120050,0.125766,0.135041,0.149898,0.173918,0.214143", \ "0.136022,0.142189,0.148340,0.158235,0.174042,0.199009,0.239984"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.031422,0.037085,0.042985,0.053371,0.072380,0.109102,0.181593", \ "0.032837,0.038501,0.044400,0.054785,0.073793,0.110517,0.183008", \ "0.037927,0.043587,0.049476,0.059841,0.078831,0.115553,0.188050", \ "0.046961,0.052708,0.058624,0.068955,0.087868,0.124498,0.196948", \ "0.054903,0.061141,0.067379,0.078001,0.097042,0.133593,0.205893", \ "0.060737,0.067533,0.074314,0.085455,0.104707,0.141216,0.213424", \ "0.064158,0.071462,0.078913,0.090931,0.110832,0.147336,0.219378"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010584,0.012683,0.015020,0.019280,0.027117,0.041702,0.070160", \ "0.010583,0.012686,0.015021,0.019279,0.027117,0.041701,0.070158", \ "0.010587,0.012685,0.015025,0.019283,0.027120,0.041703,0.070159", \ "0.010578,0.012689,0.015032,0.019297,0.027131,0.041707,0.070159", \ "0.011461,0.013377,0.015567,0.019673,0.027389,0.041851,0.070215", \ "0.013896,0.015826,0.017974,0.021883,0.029196,0.042983,0.070651", \ "0.016419,0.018434,0.020651,0.024582,0.031698,0.044979,0.071979"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007835,0.011111,0.014984,0.022764,0.039037,0.072879,0.141032", \ "0.007838,0.011112,0.014984,0.022763,0.039038,0.072880,0.141034", \ "0.007834,0.011117,0.014988,0.022769,0.039041,0.072877,0.141031", \ "0.008481,0.011621,0.015366,0.022987,0.039120,0.072877,0.141021", \ "0.010179,0.013194,0.016696,0.023926,0.039641,0.072983,0.141016", \ "0.012201,0.015345,0.018802,0.025467,0.040370,0.073364,0.141128", \ "0.014520,0.017792,0.021500,0.027988,0.041777,0.073780,0.141376"); } } internal_power () { related_pin : "A"; when : "!B & CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("4.961428,4.972347,4.970282,4.965653,4.992591,5.021822,4.960279", \ "4.957263,4.941912,4.945567,4.962993,4.942602,5.012502,5.024358", \ "4.914211,4.920885,4.914101,4.929105,4.928900,4.858093,4.898047", \ "4.946492,4.942350,4.917006,4.946215,4.965293,4.882921,4.896872", \ "5.120767,5.105502,5.061448,5.050037,5.061768,4.983591,5.071613", \ "5.493842,5.456096,5.410581,5.343424,5.311205,5.278781,5.285665", \ "5.814642,5.794602,5.736821,5.705418,5.623236,5.560270,5.571236"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.083154,2.096850,2.144834,2.235542,2.332906,2.505129,2.388796", \ "2.072996,2.090096,2.136714,2.230925,2.319801,2.486926,2.516467", \ "2.040896,2.068363,2.107706,2.199655,2.292879,2.451304,2.497452", \ "2.047311,2.075269,2.107563,2.191223,2.256486,2.428979,2.429275", \ "2.143031,2.153207,2.176364,2.231676,2.291185,2.455295,2.500461", \ "2.440624,2.420337,2.417803,2.440090,2.462738,2.605085,2.567520", \ "2.828992,2.779077,2.785024,2.804427,2.810260,2.846619,2.769714"); } } internal_power () { related_pin : "A"; when : "B & !CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("4.936688,4.944603,4.963266,4.997421,5.020066,5.007788,5.041934", \ "4.924550,4.932636,4.928059,4.975453,5.004096,4.986662,5.059569", \ "4.880369,4.906588,4.898909,4.949961,4.957450,4.964143,4.934877", \ "4.854761,4.882292,4.893430,4.911745,4.934132,4.922393,4.946154", \ "4.938600,4.956073,4.944155,4.972042,4.990998,4.971527,4.965488", \ "5.097326,5.096253,5.100149,5.090607,5.094757,5.148293,5.065024", \ "5.260252,5.235937,5.212172,5.248884,5.307563,5.357956,5.266057"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.287494,2.324136,2.369661,2.463072,2.562315,2.797668,2.838173", \ "2.268160,2.297626,2.359738,2.458647,2.584007,2.762501,2.719347", \ "2.240183,2.274064,2.331286,2.426041,2.532636,2.789398,2.704895", \ "2.285595,2.311952,2.361985,2.437372,2.528154,2.766403,2.758215", \ "2.439317,2.446216,2.481498,2.549814,2.648911,2.825105,2.870167", \ "2.774372,2.747381,2.751805,2.783435,2.835695,3.033079,3.044450", \ "3.228292,3.179751,3.174656,3.197833,3.191097,3.279133,3.264212"); } } internal_power () { related_pin : "B"; when : "!A & CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.058959,5.062491,5.044011,5.074861,5.056595,5.088207,5.066873", \ "5.042825,5.035570,5.020168,5.034049,5.065189,5.097949,5.108278", \ "5.028664,5.032008,5.015227,5.025524,5.043152,5.051319,5.097673", \ "5.027259,5.013947,5.011156,5.037732,5.042808,5.080302,4.986095", \ "5.124013,5.105000,5.092774,5.093614,5.085964,5.099595,5.066982", \ "5.333892,5.309993,5.284667,5.250176,5.241339,5.215934,5.145533", \ "5.492971,5.468500,5.440916,5.446370,5.478393,5.428414,5.458482"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.381468,2.403791,2.437524,2.522868,2.621693,2.775213,2.793514", \ "2.353293,2.377560,2.419593,2.504011,2.585465,2.758204,2.739934", \ "2.328254,2.338957,2.388415,2.470453,2.564446,2.696152,2.715669", \ "2.320142,2.332308,2.360628,2.441575,2.512675,2.661455,2.618710", \ "2.380106,2.380541,2.411315,2.475957,2.551834,2.697936,2.689216", \ "2.635665,2.611421,2.614220,2.636571,2.664736,2.813991,2.832285", \ "2.984717,2.941800,2.940821,2.957069,2.956410,2.983678,2.984969"); } } internal_power () { related_pin : "B"; when : "A & !CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.263173,5.285317,5.272409,5.305372,5.330286,5.297060,5.276096", \ "5.257391,5.251900,5.267998,5.273064,5.300828,5.339496,5.295472", \ "5.232990,5.254859,5.244971,5.271827,5.304354,5.277007,5.325331", \ "5.221569,5.238989,5.239179,5.224413,5.283610,5.288115,5.336723", \ "5.288792,5.275863,5.266118,5.266896,5.304742,5.295145,5.341857", \ "5.464145,5.444170,5.440349,5.396158,5.397087,5.340130,5.334939", \ "5.633736,5.612718,5.591367,5.596319,5.629446,5.611669,5.623266"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.252666,2.281782,2.332548,2.424748,2.555482,2.770141,2.728732", \ "2.214426,2.249705,2.301159,2.391246,2.524189,2.740431,2.756069", \ "2.193365,2.222715,2.273963,2.360836,2.468521,2.724835,2.714918", \ "2.202733,2.242327,2.284914,2.362850,2.466600,2.708815,2.760366", \ "2.300647,2.322590,2.352994,2.436979,2.531689,2.757777,2.723552", \ "2.569274,2.564892,2.582232,2.614606,2.672417,2.870222,2.856877", \ "2.919577,2.894439,2.907992,2.933174,2.993812,3.059788,3.034417"); } } internal_power () { related_pin : "CI"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("4.520811,4.540015,4.546435,4.569404,4.626742,4.639998,4.655379", \ "4.500376,4.512576,4.526710,4.564089,4.598292,4.631201,4.627438", \ "4.473118,4.493656,4.501037,4.541832,4.585455,4.633334,4.601806", \ "4.515580,4.527321,4.530717,4.571913,4.620951,4.596568,4.600448", \ "4.715324,4.725031,4.701896,4.709028,4.726516,4.711016,4.741362", \ "5.080365,5.046957,5.013431,4.956895,4.928655,4.875378,4.902138", \ "5.448557,5.410594,5.366799,5.319400,5.280144,5.257878,5.248734"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.745502,2.765326,2.803561,2.878864,2.973787,3.140674,3.062815", \ "2.749798,2.770318,2.810754,2.893109,2.971497,3.148786,3.207475", \ "2.751179,2.764890,2.806149,2.881877,2.987992,3.157937,3.183979", \ "2.804744,2.812001,2.844237,2.910243,2.970410,3.156840,3.213759", \ "2.955759,2.946333,2.963096,3.004468,3.077731,3.196652,3.247969", \ "3.218939,3.179912,3.187015,3.212528,3.232324,3.387318,3.307038", \ "3.637833,3.577631,3.569888,3.573033,3.604183,3.664111,3.691692"); } } internal_power () { related_pin : "CI"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("4.697565,4.714211,4.710020,4.736040,4.767100,4.743560,4.780791", \ "4.690470,4.695861,4.691153,4.695811,4.758144,4.726718,4.752952", \ "4.671066,4.680123,4.682538,4.684087,4.736696,4.769160,4.728769", \ "4.705834,4.700274,4.716296,4.697319,4.766665,4.686531,4.729255", \ "4.875928,4.879711,4.845007,4.848966,4.838430,4.824192,4.850191", \ "5.197246,5.195466,5.136500,5.122162,5.066181,5.004104,5.040280", \ "5.553792,5.512566,5.455696,5.428135,5.378153,5.274863,5.298626"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("2.447959,2.465825,2.507650,2.584667,2.691752,2.852577,2.847350", \ "2.449475,2.471930,2.511419,2.598386,2.692419,2.832253,2.776972", \ "2.433113,2.461169,2.496004,2.581844,2.654302,2.817576,2.764755", \ "2.494996,2.502195,2.538249,2.585158,2.662717,2.809772,2.775635", \ "2.664268,2.655646,2.666108,2.716612,2.782800,2.927002,2.836374", \ "3.020384,2.970733,2.968305,2.976540,3.011877,3.077221,3.105712", \ "3.521018,3.438029,3.415238,3.408891,3.420248,3.411735,3.347480"); } } } pin (S) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "(CI ^ (A ^ B))"; timing () { related_pin : "A"; when : "!B & !CI"; sdf_cond : "(B == 1'b0) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.088348,0.094068,0.099765,0.109039,0.123905,0.148038,0.189071", \ "0.089681,0.095406,0.101101,0.110376,0.125241,0.149379,0.190410", \ "0.094627,0.100349,0.106045,0.115317,0.130182,0.154318,0.195352", \ "0.102243,0.107968,0.113661,0.122931,0.137793,0.161929,0.202964", \ "0.112954,0.118631,0.124300,0.133534,0.148385,0.172515,0.213560", \ "0.126274,0.132101,0.137914,0.147342,0.162422,0.186766,0.227937", \ "0.142605,0.148621,0.154613,0.164383,0.179860,0.204825,0.246593"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.031374,0.036762,0.042393,0.052448,0.071198,0.107738,0.180291", \ "0.032935,0.038325,0.043954,0.054009,0.072760,0.109299,0.181857", \ "0.037472,0.042858,0.048484,0.058527,0.077271,0.113807,0.186370", \ "0.044490,0.049917,0.055549,0.065565,0.084258,0.120768,0.193322", \ "0.051561,0.057206,0.062976,0.073067,0.091755,0.128216,0.200731", \ "0.056944,0.062980,0.069042,0.079343,0.097925,0.134294,0.206790", \ "0.059812,0.066300,0.072837,0.083523,0.102238,0.138547,0.210929"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.013071,0.015042,0.017271,0.021403,0.029041,0.043478,0.072217", \ "0.013075,0.015047,0.017275,0.021401,0.029042,0.043481,0.072216", \ "0.013071,0.015046,0.017276,0.021402,0.029042,0.043478,0.072215", \ "0.013070,0.015044,0.017274,0.021403,0.029044,0.043483,0.072216", \ "0.013125,0.015098,0.017332,0.021458,0.029094,0.043515,0.072235", \ "0.014291,0.016210,0.018385,0.022415,0.029890,0.044043,0.072505", \ "0.015548,0.017471,0.019651,0.023692,0.031187,0.045313,0.073439"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006636,0.009939,0.013833,0.021731,0.038223,0.072252,0.140902", \ "0.006640,0.009939,0.013834,0.021730,0.038218,0.072241,0.140887", \ "0.006644,0.009942,0.013836,0.021733,0.038222,0.072235,0.140887", \ "0.006852,0.010113,0.013958,0.021791,0.038238,0.072251,0.140904", \ "0.007525,0.010758,0.014504,0.022155,0.038407,0.072294,0.140912", \ "0.008646,0.011890,0.015490,0.022808,0.038690,0.072426,0.140972", \ "0.010034,0.013416,0.017005,0.023935,0.039241,0.072622,0.141113"); } } timing () { related_pin : "A"; when : "!B & CI"; sdf_cond : "(B == 1'b0) && (CI == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.077237,0.081830,0.086386,0.093889,0.106341,0.127578,0.165787", \ "0.078868,0.083461,0.088018,0.095520,0.107974,0.129211,0.167419", \ "0.082903,0.087498,0.092054,0.099555,0.112008,0.133246,0.171454", \ "0.089336,0.093902,0.098441,0.105928,0.118371,0.139604,0.177811", \ "0.096590,0.101071,0.105559,0.112995,0.125404,0.146615,0.184805", \ "0.103103,0.107437,0.111772,0.119031,0.131360,0.152501,0.190634", \ "0.107931,0.112069,0.116193,0.123306,0.135539,0.156636,0.194729"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.104157,0.109206,0.114136,0.122913,0.140272,0.175749,0.247638", \ "0.104938,0.110006,0.114944,0.123726,0.141086,0.176559,0.248450", \ "0.109087,0.114150,0.119086,0.127865,0.145223,0.180701,0.252591", \ "0.119728,0.124789,0.129729,0.138510,0.155866,0.191335,0.263224", \ "0.138159,0.143209,0.148129,0.156889,0.174216,0.209648,0.281502", \ "0.162290,0.167254,0.172014,0.180520,0.197571,0.232789,0.304519", \ "0.188489,0.193430,0.198078,0.206178,0.222887,0.257801,0.329350"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008863,0.010782,0.012938,0.016868,0.024176,0.038470,0.067999", \ "0.008865,0.010781,0.012937,0.016869,0.024177,0.038472,0.067999", \ "0.008867,0.010780,0.012940,0.016870,0.024178,0.038471,0.068000", \ "0.008877,0.010794,0.012950,0.016878,0.024183,0.038475,0.068002", \ "0.008923,0.010833,0.012992,0.016914,0.024211,0.038491,0.068008", \ "0.009003,0.010906,0.013051,0.016948,0.024213,0.038452,0.067979", \ "0.009164,0.011061,0.013188,0.017064,0.024302,0.038522,0.067954"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008395,0.011415,0.014967,0.022300,0.038246,0.072033,0.140649", \ "0.008460,0.011445,0.014985,0.022307,0.038249,0.072034,0.140652", \ "0.008474,0.011452,0.014986,0.022310,0.038249,0.072031,0.140651", \ "0.008480,0.011454,0.014990,0.022311,0.038250,0.072035,0.140651", \ "0.008524,0.011494,0.015023,0.022327,0.038257,0.072036,0.140650", \ "0.008823,0.011784,0.015270,0.022475,0.038314,0.072050,0.140654", \ "0.009302,0.012277,0.015700,0.022727,0.038394,0.072074,0.140667"); } } timing () { related_pin : "A"; when : "B & !CI"; sdf_cond : "(B == 1'b1) && (CI == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.076099,0.080851,0.085777,0.094202,0.108022,0.130708,0.170120", \ "0.077448,0.082224,0.087180,0.095694,0.109630,0.132385,0.171831", \ "0.082329,0.087112,0.092082,0.100633,0.114628,0.137416,0.176882", \ "0.091721,0.096481,0.101438,0.109985,0.123982,0.146771,0.186236", \ "0.102446,0.107004,0.111828,0.120281,0.134222,0.156973,0.196412", \ "0.111940,0.116226,0.120839,0.129060,0.142873,0.165534,0.204899", \ "0.119701,0.123737,0.128126,0.136089,0.149749,0.172323,0.211614"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.103972,0.108841,0.113658,0.122239,0.139444,0.174932,0.246894", \ "0.105412,0.110282,0.115098,0.123681,0.140887,0.176376,0.248338", \ "0.110596,0.115459,0.120273,0.128855,0.146064,0.181555,0.253516", \ "0.119876,0.124731,0.129546,0.138132,0.155341,0.190838,0.262805", \ "0.134047,0.138855,0.143609,0.152160,0.169337,0.204792,0.276735", \ "0.151217,0.155949,0.160636,0.168986,0.185982,0.221301,0.293164", \ "0.171159,0.175853,0.180368,0.188559,0.205334,0.240470,0.312229"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008875,0.011281,0.014058,0.018743,0.026382,0.040540,0.069410", \ "0.008905,0.011339,0.014166,0.018932,0.026562,0.040643,0.069459", \ "0.008912,0.011359,0.014209,0.019017,0.026646,0.040691,0.069483", \ "0.008922,0.011371,0.014223,0.019037,0.026666,0.040702,0.069489", \ "0.008963,0.011417,0.014267,0.019079,0.026698,0.040723,0.069496", \ "0.009051,0.011492,0.014330,0.019124,0.026709,0.040701,0.069489", \ "0.009244,0.011670,0.014490,0.019260,0.026818,0.040763,0.069452"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007727,0.010781,0.014396,0.021926,0.038147,0.072117,0.140748", \ "0.007722,0.010783,0.014392,0.021926,0.038145,0.072118,0.140750", \ "0.007717,0.010777,0.014392,0.021926,0.038148,0.072119,0.140749", \ "0.007707,0.010768,0.014385,0.021922,0.038144,0.072117,0.140748", \ "0.007732,0.010790,0.014404,0.021932,0.038149,0.072120,0.140748", \ "0.007931,0.010996,0.014574,0.022034,0.038194,0.072137,0.140760", \ "0.008075,0.011159,0.014719,0.022114,0.038210,0.072141,0.140777"); } } timing () { related_pin : "A"; when : "B & CI"; sdf_cond : "(B == 1'b1) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.061357,0.066276,0.071156,0.079131,0.092076,0.113709,0.152208", \ "0.063072,0.067990,0.072872,0.080849,0.093793,0.115427,0.153923", \ "0.069131,0.074050,0.078930,0.086907,0.099854,0.121490,0.159991", \ "0.078793,0.083708,0.088582,0.096546,0.109490,0.131128,0.169635", \ "0.092396,0.097367,0.102291,0.110262,0.123237,0.144912,0.183430", \ "0.107777,0.113010,0.118183,0.126575,0.139994,0.162192,0.200976", \ "0.125454,0.130960,0.136410,0.145160,0.159252,0.182174,0.221589"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.042804,0.048757,0.054924,0.065648,0.084966,0.121759,0.194403", \ "0.044008,0.049962,0.056128,0.066852,0.086171,0.122959,0.195608", \ "0.046893,0.052849,0.059015,0.069738,0.089055,0.125848,0.198489", \ "0.051537,0.057505,0.063671,0.074383,0.093681,0.130449,0.203087", \ "0.056917,0.063004,0.069279,0.080100,0.099467,0.136252,0.208879", \ "0.062120,0.068388,0.074825,0.085800,0.105239,0.142013,0.214631", \ "0.065971,0.072537,0.079255,0.090494,0.110091,0.146898,0.219469"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.009192,0.011045,0.013148,0.017052,0.024377,0.038687,0.068199", \ "0.009194,0.011044,0.013149,0.017054,0.024378,0.038687,0.068198", \ "0.009197,0.011051,0.013154,0.017056,0.024379,0.038689,0.068200", \ "0.009209,0.011060,0.013167,0.017071,0.024390,0.038695,0.068203", \ "0.009831,0.011604,0.013624,0.017408,0.024610,0.038817,0.068252", \ "0.011185,0.012970,0.015005,0.018792,0.025883,0.039742,0.068647", \ "0.012719,0.014509,0.016549,0.020353,0.027435,0.041146,0.069620"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007874,0.011424,0.015443,0.023265,0.039316,0.072866,0.141356", \ "0.007873,0.011425,0.015444,0.023263,0.039316,0.072849,0.141354", \ "0.007878,0.011427,0.015445,0.023267,0.039316,0.072868,0.141357", \ "0.007974,0.011510,0.015513,0.023317,0.039349,0.072873,0.141347", \ "0.008358,0.011909,0.015902,0.023643,0.039565,0.072963,0.141371", \ "0.009045,0.012591,0.016551,0.024174,0.039884,0.073127,0.141461", \ "0.010182,0.013715,0.017633,0.025074,0.040473,0.073387,0.141606"); } } timing () { related_pin : "B"; when : "!A & !CI"; sdf_cond : "(A == 1'b0) && (CI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.084923,0.090647,0.096340,0.105612,0.120474,0.144609,0.185642", \ "0.085796,0.091520,0.097215,0.106489,0.121353,0.145488,0.186521", \ "0.090392,0.096113,0.101807,0.111080,0.125945,0.150080,0.191114", \ "0.099044,0.104768,0.110458,0.119729,0.134588,0.158723,0.199760", \ "0.113597,0.119254,0.124896,0.134110,0.148932,0.173050,0.214090", \ "0.132875,0.138732,0.144578,0.154069,0.169161,0.193516,0.234672", \ "0.156145,0.162211,0.168277,0.178002,0.193528,0.218478,0.260254"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.029818,0.035040,0.040522,0.050385,0.068937,0.105325,0.177759", \ "0.031545,0.036766,0.042250,0.052114,0.070667,0.107049,0.179490", \ "0.036045,0.041266,0.046744,0.056597,0.075142,0.111526,0.183972", \ "0.042665,0.047971,0.053492,0.063353,0.081866,0.118224,0.190676", \ "0.048985,0.054556,0.060245,0.070216,0.088756,0.125092,0.197495", \ "0.053332,0.059342,0.065369,0.075567,0.094056,0.130301,0.202672", \ "0.054788,0.061285,0.067830,0.078501,0.097177,0.133356,0.205632"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.013072,0.015043,0.017273,0.021399,0.029038,0.043477,0.072215", \ "0.013072,0.015045,0.017273,0.021400,0.029040,0.043478,0.072213", \ "0.013069,0.015047,0.017273,0.021401,0.029041,0.043477,0.072215", \ "0.013071,0.015043,0.017274,0.021407,0.029046,0.043480,0.072214", \ "0.013151,0.015129,0.017360,0.021484,0.029115,0.043527,0.072240", \ "0.014608,0.016503,0.018643,0.022625,0.030021,0.044092,0.072517", \ "0.016168,0.018044,0.020171,0.024112,0.031451,0.045452,0.073516"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006272,0.009526,0.013399,0.021311,0.037872,0.072011,0.140736", \ "0.006281,0.009533,0.013405,0.021311,0.037877,0.072031,0.140736", \ "0.006292,0.009541,0.013414,0.021318,0.037878,0.072031,0.140750", \ "0.006599,0.009820,0.013640,0.021451,0.037931,0.072025,0.140743", \ "0.007361,0.010550,0.014258,0.021879,0.038147,0.072089,0.140758", \ "0.008553,0.011787,0.015352,0.022611,0.038458,0.072238,0.140840", \ "0.010002,0.013412,0.016995,0.023861,0.039077,0.072432,0.140968"); } } timing () { related_pin : "B"; when : "!A & CI"; sdf_cond : "(A == 1'b0) && (CI == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.083456,0.088151,0.092803,0.100408,0.112946,0.134282,0.172602", \ "0.085069,0.089763,0.094419,0.102023,0.114564,0.135900,0.174222", \ "0.089245,0.093939,0.098597,0.106200,0.118739,0.140077,0.178402", \ "0.095286,0.099958,0.104593,0.112179,0.124715,0.146056,0.184383", \ "0.102901,0.107488,0.112065,0.119598,0.132094,0.153407,0.191719", \ "0.110408,0.114809,0.119234,0.126564,0.138932,0.160144,0.198391", \ "0.116260,0.120472,0.124662,0.131735,0.143999,0.165153,0.203345"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.104908,0.109912,0.114821,0.123594,0.140968,0.176458,0.248359", \ "0.106386,0.111388,0.116297,0.125072,0.142449,0.177941,0.249843", \ "0.111691,0.116691,0.121599,0.130374,0.147750,0.183244,0.255146", \ "0.120867,0.125862,0.130765,0.139539,0.156913,0.192405,0.264313", \ "0.135399,0.140356,0.145218,0.153953,0.171300,0.206761,0.278646", \ "0.154424,0.159347,0.164175,0.172790,0.189983,0.225317,0.297122", \ "0.176508,0.181450,0.186127,0.194544,0.211531,0.246659,0.318347"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.009235,0.011108,0.013243,0.017163,0.024455,0.038721,0.068205", \ "0.009237,0.011112,0.013245,0.017165,0.024457,0.038724,0.068208", \ "0.009245,0.011120,0.013256,0.017172,0.024464,0.038730,0.068216", \ "0.009259,0.011131,0.013270,0.017185,0.024471,0.038736,0.068221", \ "0.009140,0.011046,0.013199,0.017128,0.024431,0.038711,0.068211", \ "0.009066,0.010961,0.013114,0.017035,0.024329,0.038604,0.068178", \ "0.009119,0.011012,0.013163,0.017077,0.024356,0.038620,0.068105"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008249,0.011342,0.014933,0.022284,0.038244,0.072037,0.140653", \ "0.008243,0.011336,0.014924,0.022279,0.038243,0.072033,0.140656", \ "0.008239,0.011331,0.014923,0.022278,0.038238,0.072036,0.140655", \ "0.008235,0.011329,0.014926,0.022281,0.038241,0.072036,0.140651", \ "0.008256,0.011356,0.014943,0.022293,0.038246,0.072038,0.140654", \ "0.008463,0.011557,0.015112,0.022394,0.038288,0.072050,0.140657", \ "0.008806,0.011912,0.015423,0.022568,0.038323,0.072027,0.140659"); } } timing () { related_pin : "B"; when : "A & !CI"; sdf_cond : "(A == 1'b1) && (CI == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.075635,0.080341,0.085191,0.093434,0.107056,0.129636,0.169013", \ "0.076808,0.081512,0.086362,0.094603,0.108223,0.130802,0.170177", \ "0.080678,0.085381,0.090224,0.098461,0.112076,0.134648,0.174021", \ "0.087525,0.092206,0.097036,0.105255,0.118856,0.141422,0.180791", \ "0.095917,0.100507,0.105273,0.113434,0.126992,0.149531,0.188885", \ "0.103902,0.108371,0.113054,0.121136,0.134662,0.157119,0.196350", \ "0.110468,0.114750,0.119209,0.127119,0.140635,0.163130,0.202354"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.109818,0.114699,0.119562,0.128195,0.145438,0.180971,0.252969", \ "0.111552,0.116438,0.121303,0.129939,0.147182,0.182714,0.254712", \ "0.117398,0.122278,0.127146,0.135784,0.153025,0.188559,0.260556", \ "0.126850,0.131729,0.136592,0.145226,0.162467,0.197999,0.270004", \ "0.140830,0.145679,0.150498,0.159091,0.176308,0.211818,0.283800", \ "0.159324,0.164122,0.168882,0.177296,0.194326,0.229702,0.301613", \ "0.180892,0.185671,0.190242,0.198535,0.215315,0.250473,0.322275"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008809,0.011145,0.013812,0.018376,0.026080,0.040388,0.069362", \ "0.008798,0.011138,0.013804,0.018368,0.026076,0.040384,0.069358", \ "0.008793,0.011131,0.013794,0.018354,0.026064,0.040377,0.069354", \ "0.008797,0.011133,0.013789,0.018342,0.026056,0.040372,0.069350", \ "0.008789,0.011120,0.013772,0.018324,0.026041,0.040365,0.069350", \ "0.008895,0.011239,0.013905,0.018447,0.026077,0.040265,0.069247", \ "0.009035,0.011387,0.014071,0.018635,0.026262,0.040426,0.069186"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007645,0.010747,0.014448,0.022096,0.038362,0.072287,0.140874", \ "0.007638,0.010741,0.014447,0.022097,0.038364,0.072288,0.140874", \ "0.007636,0.010741,0.014445,0.022097,0.038363,0.072288,0.140873", \ "0.007641,0.010747,0.014447,0.022096,0.038368,0.072289,0.140877", \ "0.007679,0.010779,0.014473,0.022108,0.038368,0.072291,0.140880", \ "0.007771,0.010849,0.014514,0.022112,0.038357,0.072281,0.140873", \ "0.007966,0.011037,0.014647,0.022152,0.038321,0.072255,0.140892"); } } timing () { related_pin : "B"; when : "A & CI"; sdf_cond : "(A == 1'b1) && (CI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.056881,0.061724,0.066542,0.074424,0.087244,0.108730,0.147081", \ "0.058586,0.063432,0.068250,0.076135,0.088955,0.110442,0.148794", \ "0.064362,0.069205,0.074021,0.081904,0.094726,0.116213,0.154566", \ "0.073924,0.078758,0.083566,0.091440,0.104258,0.125747,0.164106", \ "0.087068,0.092006,0.096910,0.104873,0.117793,0.139350,0.177733", \ "0.101564,0.106774,0.111936,0.120336,0.133726,0.155907,0.194649", \ "0.118026,0.123512,0.128970,0.137773,0.151893,0.174838,0.214238"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.041951,0.047936,0.054138,0.064927,0.084349,0.121273,0.194050", \ "0.043200,0.049185,0.055386,0.066178,0.085602,0.122522,0.195304", \ "0.047305,0.053291,0.059495,0.070286,0.089708,0.126632,0.199414", \ "0.054980,0.060967,0.067164,0.077936,0.097331,0.134230,0.207007", \ "0.064295,0.070399,0.076685,0.087537,0.107022,0.143931,0.216676", \ "0.073041,0.079409,0.085888,0.096817,0.116251,0.153183,0.226004", \ "0.080247,0.086985,0.093837,0.105090,0.124498,0.161282,0.234086"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008746,0.010639,0.012771,0.016710,0.024068,0.038413,0.067969", \ "0.008746,0.010638,0.012772,0.016710,0.024068,0.038413,0.067970", \ "0.008748,0.010637,0.012774,0.016710,0.024069,0.038414,0.067971", \ "0.008753,0.010650,0.012785,0.016724,0.024079,0.038418,0.067971", \ "0.009582,0.011399,0.013454,0.017249,0.024419,0.038602,0.068043", \ "0.010972,0.012807,0.014881,0.018704,0.025829,0.039693,0.068539", \ "0.012610,0.014439,0.016521,0.020363,0.027477,0.041169,0.069580"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007933,0.011504,0.015548,0.023407,0.039510,0.073061,0.141548", \ "0.007931,0.011506,0.015550,0.023411,0.039510,0.073053,0.141543", \ "0.007935,0.011508,0.015552,0.023414,0.039516,0.073065,0.141549", \ "0.008038,0.011585,0.015619,0.023467,0.039542,0.073072,0.141550", \ "0.008603,0.012104,0.016086,0.023879,0.039864,0.073207,0.141595", \ "0.009729,0.013129,0.016941,0.024428,0.040168,0.073576,0.141785", \ "0.011203,0.014615,0.018354,0.025465,0.040665,0.073721,0.142117"); } } timing () { related_pin : "CI"; when : "!A & !B"; sdf_cond : "(A == 1'b0) && (B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.079401,0.085142,0.090857,0.100158,0.115066,0.139268,0.180405", \ "0.079406,0.085141,0.090850,0.100143,0.115037,0.139216,0.180320", \ "0.082202,0.087925,0.093622,0.102898,0.117770,0.141920,0.182978", \ "0.091913,0.097641,0.103322,0.112589,0.127444,0.151581,0.192617", \ "0.109873,0.115526,0.121170,0.130409,0.145244,0.169343,0.210378", \ "0.133544,0.139412,0.145232,0.154590,0.169544,0.193739,0.234858", \ "0.159283,0.165495,0.171663,0.181492,0.196814,0.221594,0.263304"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.028242,0.033689,0.039344,0.049385,0.068021,0.104362,0.176734", \ "0.029922,0.035369,0.041027,0.051070,0.069711,0.106057,0.178426", \ "0.034117,0.039550,0.045194,0.055223,0.073860,0.110219,0.182600", \ "0.039652,0.045211,0.050980,0.061121,0.079761,0.116101,0.188485", \ "0.044811,0.050465,0.056380,0.066667,0.085504,0.121986,0.194325", \ "0.047822,0.053914,0.060097,0.070713,0.089550,0.126001,0.198513", \ "0.047633,0.054250,0.060963,0.072104,0.091425,0.127956,0.200419"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.013136,0.015112,0.017346,0.021482,0.029140,0.043610,0.072389", \ "0.013101,0.015077,0.017312,0.021442,0.029095,0.043558,0.072336", \ "0.013080,0.015055,0.017282,0.021411,0.029058,0.043504,0.072260", \ "0.013069,0.015042,0.017275,0.021406,0.029049,0.043490,0.072230", \ "0.013037,0.015058,0.017318,0.021463,0.029106,0.043528,0.072247", \ "0.015126,0.016891,0.018916,0.022774,0.030065,0.044109,0.072534", \ "0.017585,0.019331,0.021282,0.024901,0.031833,0.045610,0.073658"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006577,0.009851,0.013709,0.021529,0.037925,0.071940,0.140660", \ "0.006579,0.009851,0.013710,0.021533,0.037933,0.071959,0.140657", \ "0.006540,0.009836,0.013706,0.021539,0.037937,0.071949,0.140660", \ "0.006744,0.010221,0.014119,0.021810,0.038010,0.071971,0.140665", \ "0.007383,0.010801,0.014728,0.022384,0.038545,0.072182,0.140670", \ "0.008705,0.012028,0.015840,0.023305,0.038965,0.072544,0.140914", \ "0.010326,0.013813,0.017558,0.024774,0.039909,0.072865,0.141256"); } } timing () { related_pin : "CI"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.080851,0.085544,0.090195,0.097799,0.110339,0.131683,0.170015", \ "0.082350,0.087044,0.091694,0.099300,0.111840,0.133184,0.171513", \ "0.087340,0.092030,0.096682,0.104284,0.116824,0.138167,0.176499", \ "0.095811,0.100454,0.105076,0.112654,0.125184,0.146523,0.184853", \ "0.104923,0.109379,0.113855,0.121323,0.133790,0.155086,0.193389", \ "0.112223,0.116302,0.120484,0.127676,0.140037,0.161356,0.199796", \ "0.118017,0.121843,0.125773,0.132597,0.144744,0.165942,0.204282"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.097615,0.102557,0.107385,0.115934,0.133093,0.168531,0.240462", \ "0.098589,0.103529,0.108357,0.116908,0.134068,0.169506,0.241436", \ "0.103222,0.108157,0.112980,0.121527,0.138685,0.174123,0.246053", \ "0.114222,0.119151,0.123971,0.132516,0.149670,0.185106,0.257036", \ "0.132050,0.136940,0.141704,0.150197,0.167292,0.202670,0.274564", \ "0.154328,0.159152,0.163777,0.171986,0.188815,0.223971,0.295720", \ "0.178492,0.183366,0.187914,0.195803,0.212252,0.247069,0.318667"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.009255,0.011126,0.013266,0.017182,0.024474,0.038737,0.068224", \ "0.009255,0.011125,0.013263,0.017183,0.024471,0.038738,0.068223", \ "0.009254,0.011131,0.013266,0.017183,0.024473,0.038737,0.068224", \ "0.009265,0.011138,0.013278,0.017189,0.024475,0.038739,0.068224", \ "0.009288,0.011162,0.013300,0.017207,0.024490,0.038751,0.068230", \ "0.008992,0.010956,0.013167,0.017166,0.024545,0.038928,0.068506", \ "0.009055,0.011015,0.013217,0.017190,0.024549,0.038915,0.068498"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007917,0.010966,0.014540,0.022002,0.038174,0.072128,0.140756", \ "0.007919,0.010964,0.014543,0.022002,0.038176,0.072125,0.140751", \ "0.007923,0.010964,0.014544,0.022003,0.038173,0.072125,0.140752", \ "0.007940,0.010984,0.014557,0.022013,0.038176,0.072125,0.140753", \ "0.008036,0.011078,0.014639,0.022055,0.038192,0.072132,0.140754", \ "0.008342,0.011404,0.014922,0.022228,0.038277,0.072167,0.140766", \ "0.008822,0.011962,0.015421,0.022487,0.038328,0.072198,0.140839"); } } timing () { related_pin : "CI"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.075093,0.079672,0.084221,0.091719,0.104172,0.125410,0.163621", \ "0.076535,0.081115,0.085663,0.093160,0.105612,0.126851,0.165063", \ "0.081171,0.085742,0.090285,0.097775,0.110227,0.131467,0.169679", \ "0.088935,0.093430,0.097923,0.105380,0.117820,0.139052,0.177259", \ "0.097569,0.101797,0.106115,0.113451,0.125804,0.146988,0.185169", \ "0.105579,0.109507,0.113513,0.120526,0.132684,0.153704,0.191776", \ "0.111841,0.115560,0.119349,0.125976,0.137957,0.158882,0.196848"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.102687,0.107568,0.112428,0.121058,0.138303,0.173837,0.245840", \ "0.103726,0.108606,0.113467,0.122099,0.139344,0.174879,0.246881", \ "0.108369,0.113243,0.118101,0.126729,0.143973,0.179506,0.251510", \ "0.119267,0.124142,0.128994,0.137621,0.154863,0.190398,0.262401", \ "0.137392,0.142244,0.147051,0.155641,0.172837,0.208329,0.280303", \ "0.160282,0.165019,0.169659,0.177953,0.194903,0.230199,0.302043", \ "0.184733,0.189427,0.193871,0.201736,0.218332,0.253422,0.325225"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008877,0.010793,0.012948,0.016878,0.024183,0.038476,0.068001", \ "0.008878,0.010791,0.012948,0.016878,0.024184,0.038475,0.068002", \ "0.008879,0.010796,0.012954,0.016882,0.024186,0.038477,0.068003", \ "0.008915,0.010828,0.012984,0.016900,0.024196,0.038483,0.068002", \ "0.008776,0.010740,0.012936,0.016878,0.024188,0.038484,0.068007", \ "0.008797,0.010736,0.012902,0.016818,0.024100,0.038355,0.067994", \ "0.009059,0.010986,0.013132,0.017005,0.024244,0.038459,0.067861"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007647,0.010750,0.014452,0.022102,0.038366,0.072287,0.140875", \ "0.007650,0.010750,0.014453,0.022098,0.038368,0.072289,0.140876", \ "0.007650,0.010751,0.014454,0.022099,0.038370,0.072287,0.140875", \ "0.007657,0.010758,0.014460,0.022103,0.038371,0.072291,0.140877", \ "0.007695,0.010795,0.014486,0.022114,0.038368,0.072290,0.140875", \ "0.007911,0.011022,0.014699,0.022275,0.038463,0.072329,0.140893", \ "0.008198,0.011312,0.014930,0.022386,0.038542,0.072511,0.141027"); } } timing () { related_pin : "CI"; when : "A & B"; sdf_cond : "(A == 1'b1) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.052548,0.057523,0.062620,0.071135,0.084970,0.107699,0.147172", \ "0.054150,0.059122,0.064216,0.072730,0.086564,0.109292,0.148763", \ "0.059499,0.064459,0.069536,0.078021,0.091837,0.114554,0.154022", \ "0.068839,0.073757,0.078783,0.087189,0.100949,0.123642,0.163106", \ "0.081296,0.086237,0.091270,0.099731,0.113694,0.136547,0.176099", \ "0.094777,0.099942,0.105126,0.113662,0.127743,0.151491,0.191959", \ "0.109923,0.115405,0.120895,0.129863,0.144326,0.168591,0.209922"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.041684,0.047659,0.053851,0.064623,0.084022,0.120922,0.193667", \ "0.042910,0.048873,0.055053,0.065808,0.085183,0.122060,0.194795", \ "0.047376,0.053334,0.059502,0.070232,0.089560,0.126379,0.199079", \ "0.056741,0.062647,0.068784,0.079443,0.098676,0.135419,0.208056", \ "0.067482,0.073551,0.079744,0.090417,0.109707,0.146336,0.218856", \ "0.076409,0.082890,0.089398,0.100183,0.119217,0.155877,0.228367", \ "0.083442,0.090332,0.097346,0.108670,0.127720,0.164155,0.236619"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.008594,0.010839,0.013403,0.017923,0.025740,0.040195,0.069270", \ "0.008589,0.010835,0.013396,0.017916,0.025735,0.040190,0.069269", \ "0.008578,0.010810,0.013360,0.017876,0.025704,0.040173,0.069262", \ "0.008536,0.010746,0.013273,0.017780,0.025636,0.040137,0.069247", \ "0.009456,0.011457,0.013893,0.018414,0.026182,0.040469,0.069398", \ "0.010883,0.012767,0.014929,0.019139,0.027339,0.042177,0.070519", \ "0.012665,0.014542,0.016685,0.020668,0.028486,0.043495,0.072175"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.007912,0.011483,0.015518,0.023372,0.039460,0.073030,0.141504", \ "0.007894,0.011453,0.015484,0.023330,0.039420,0.072998,0.141479", \ "0.007877,0.011428,0.015451,0.023279,0.039345,0.072904,0.141419", \ "0.007897,0.011437,0.015454,0.023279,0.039328,0.072879,0.141377", \ "0.009028,0.012309,0.016150,0.023829,0.039665,0.072948,0.141369", \ "0.010664,0.013863,0.017422,0.024570,0.040098,0.073366,0.141493", \ "0.012529,0.015793,0.019354,0.025988,0.040709,0.073547,0.141880"); } } internal_power () { related_pin : "A"; when : "!B & !CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.016140,5.979089,5.976279,6.008078,6.161093,6.357282,6.469002", \ "5.962152,5.955543,5.939648,5.985779,6.132186,6.320753,6.428612", \ "5.933303,5.912277,5.902969,5.942218,6.096727,6.288555,6.395117", \ "5.919211,5.909639,5.893849,5.927773,6.076694,6.263811,6.373503", \ "5.976197,5.948475,5.930392,5.960741,6.099291,6.284814,6.386890", \ "6.006859,5.998711,6.023054,6.107917,6.234040,6.400113,6.495782", \ "6.104003,6.079660,6.068957,6.105177,6.285756,6.641428,6.752119"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.094552,1.263616,1.355487,1.423964,1.570338,1.556623,1.608725", \ "1.055713,1.237882,1.321856,1.436862,1.528533,1.522706,1.511852", \ "1.032852,1.205637,1.274681,1.401158,1.422433,1.554966,1.473189", \ "1.040676,1.209980,1.287962,1.332220,1.416544,1.411768,1.397475", \ "1.383883,1.495007,1.483724,1.445267,1.464816,1.588427,1.449790", \ "1.780923,1.861801,1.912307,1.880474,1.644231,1.711506,1.720009", \ "2.266517,2.320120,2.393985,2.353436,2.263051,2.017974,1.818212"); } } internal_power () { related_pin : "A"; when : "!B & CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.083154,2.098880,2.143650,2.234644,2.315838,2.499678,2.528243", \ "2.072996,2.091692,2.137260,2.231465,2.327289,2.481250,2.533357", \ "2.040896,2.068994,2.107751,2.198961,2.289124,2.441634,2.467900", \ "2.047311,2.075153,2.107408,2.190905,2.255597,2.414741,2.399408", \ "2.143031,2.152970,2.176054,2.230955,2.318733,2.447524,2.470880", \ "2.440624,2.420037,2.424877,2.439430,2.461582,2.563205,2.537526", \ "2.828992,2.778726,2.784715,2.803326,2.806477,2.831616,2.739563"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.961428,4.971413,4.966820,4.983213,4.983060,4.949144,4.930094", \ "4.957263,4.953850,4.944051,4.962122,4.964373,4.981787,5.021125", \ "4.914211,4.923489,4.903802,4.928934,4.918113,4.845498,4.943713", \ "4.946492,4.931919,4.930666,4.944911,4.933195,4.962816,4.866611", \ "5.120767,5.092796,5.085508,5.048694,5.060514,4.984811,5.001736", \ "5.493842,5.457301,5.396684,5.340062,5.340181,5.270587,5.255894", \ "5.814642,5.790766,5.734650,5.704690,5.686215,5.550365,5.559452"); } } internal_power () { related_pin : "A"; when : "B & !CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.287494,2.315754,2.371231,2.461292,2.598789,2.791836,2.828645", \ "2.268160,2.302990,2.351883,2.457684,2.581543,2.821108,2.858632", \ "2.240183,2.277725,2.332570,2.423396,2.530303,2.774718,2.813209", \ "2.285595,2.312333,2.362024,2.437225,2.526687,2.759788,2.746776", \ "2.439317,2.445898,2.481295,2.549371,2.644862,2.810119,2.840525", \ "2.774372,2.746867,2.751810,2.781206,2.822495,3.017702,3.037315", \ "3.228292,3.179286,3.173851,3.196980,3.226554,3.267921,3.211128"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.936688,4.943554,4.961449,4.979549,5.018858,4.999724,5.076312", \ "4.924550,4.942421,4.942221,4.979388,4.998549,4.980681,4.999639", \ "4.880369,4.905161,4.897009,4.947193,4.946740,5.045524,4.917484", \ "4.854761,4.881272,4.891621,4.912024,4.934350,4.922759,4.915964", \ "4.938600,4.936693,4.959144,4.973906,4.989675,4.993065,4.935223", \ "5.097326,5.094738,5.100744,5.099285,5.147993,5.133480,5.156713", \ "5.260253,5.236853,5.218484,5.242235,5.332875,5.343149,5.360342"); } } internal_power () { related_pin : "A"; when : "B & CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.673051,5.754930,5.847965,6.041949,6.310736,6.508221,6.597074", \ "5.652224,5.720926,5.827023,6.026254,6.280435,6.481988,6.575264", \ "5.631709,5.712378,5.816076,5.999675,6.268311,6.459267,6.556003", \ "5.614340,5.683331,5.792047,5.975603,6.243328,6.444132,6.535008", \ "5.723075,5.767573,5.866966,6.035199,6.272614,6.470375,6.564774", \ "5.660397,5.735823,5.841213,6.054656,6.405831,6.609847,6.698098", \ "5.921737,5.958173,6.009307,6.155120,6.439035,6.818145,6.999809"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.421942,1.530466,1.593646,1.654300,1.706530,1.711247,1.743530", \ "1.368797,1.470389,1.506558,1.570631,1.602791,1.679432,1.634807", \ "1.277545,1.385181,1.443456,1.501652,1.468576,1.555437,1.652301", \ "1.273121,1.338905,1.420533,1.487372,1.497785,1.448606,1.499079", \ "1.487124,1.529922,1.522958,1.492538,1.455446,1.487583,1.521275", \ "1.823614,1.867004,1.894001,1.808976,1.643586,1.699380,1.596753", \ "2.301278,2.318808,2.345462,2.330748,2.199241,2.042307,1.988309"); } } internal_power () { related_pin : "B"; when : "!A & !CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.598216,5.587518,5.577603,5.610963,5.777577,5.966064,6.082737", \ "5.544319,5.536510,5.518361,5.572525,5.723666,5.908157,6.020109", \ "5.525458,5.498855,5.487728,5.520889,5.681268,5.867267,5.978247", \ "5.496511,5.489116,5.477205,5.508112,5.668468,5.851411,5.962608", \ "5.622019,5.577773,5.552835,5.588387,5.725824,5.901034,6.002164", \ "5.804922,5.802639,5.809387,5.822761,5.908490,6.087157,6.178272", \ "6.033633,5.980442,5.959339,5.971556,6.133130,6.388056,6.480381"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.882036,1.026331,1.111509,1.170347,1.218420,1.179259,1.319828", \ "0.888447,1.033732,1.087976,1.159889,1.156287,1.315577,1.352028", \ "0.853653,1.000364,1.054511,1.152498,1.215476,1.283797,1.322098", \ "0.876635,0.995634,1.089870,1.104969,1.174078,1.159462,1.200097", \ "1.258489,1.320421,1.296803,1.204219,1.152859,1.277908,1.065594", \ "1.619199,1.706249,1.716887,1.648212,1.502145,1.459344,1.487715", \ "2.078455,2.162281,2.178339,2.169936,2.003167,1.717769,1.530298"); } } internal_power () { related_pin : "B"; when : "!A & CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.381468,2.403382,2.445817,2.522037,2.625456,2.803620,2.763622", \ "2.353293,2.377137,2.415135,2.503607,2.584336,2.769210,2.709817", \ "2.328254,2.338414,2.388038,2.469998,2.568195,2.744453,2.685628", \ "2.320142,2.332521,2.369060,2.440834,2.511324,2.713383,2.757964", \ "2.380106,2.380125,2.411027,2.475239,2.548992,2.692978,2.695660", \ "2.635665,2.612699,2.613828,2.635389,2.661499,2.795996,2.802540", \ "2.984717,2.945697,2.938750,2.969295,2.959258,3.028980,2.970127"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.058959,5.060320,5.040451,5.075142,5.057509,5.063325,5.029006", \ "5.042825,5.036131,5.025720,5.030618,5.043155,5.093669,5.115316", \ "5.028664,5.031349,5.007823,5.042189,5.070846,5.036385,5.086423", \ "5.027259,5.012550,5.009252,5.037871,5.044280,5.065634,5.097246", \ "5.124013,5.101925,5.092073,5.098815,5.076577,5.084733,5.113969", \ "5.333892,5.308164,5.283761,5.267041,5.248139,5.261511,5.115154", \ "5.492971,5.467047,5.454962,5.444329,5.470886,5.421996,5.428832"); } } internal_power () { related_pin : "B"; when : "A & !CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.252666,2.281393,2.332548,2.423645,2.554485,2.764674,2.698436", \ "2.214426,2.249315,2.301154,2.390784,2.522931,2.735342,2.749583", \ "2.193365,2.222311,2.273573,2.360475,2.465659,2.719588,2.708399", \ "2.202733,2.242066,2.285041,2.362334,2.465209,2.694055,2.730868", \ "2.300647,2.322125,2.354214,2.436566,2.529176,2.743065,2.776853", \ "2.569274,2.564454,2.581668,2.625606,2.674610,2.847306,2.817836", \ "2.919577,2.893921,2.907911,2.933012,2.986231,3.082455,3.097797"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.263173,5.280866,5.276775,5.301677,5.293788,5.283016,5.245773", \ "5.257391,5.250828,5.266190,5.285245,5.271603,5.339165,5.357652", \ "5.232990,5.239669,5.241347,5.270602,5.298692,5.261962,5.295621", \ "5.221569,5.237957,5.240850,5.224216,5.230291,5.273267,5.307193", \ "5.288792,5.274762,5.265869,5.263077,5.316262,5.280278,5.312566", \ "5.464145,5.462464,5.425814,5.388545,5.383854,5.300965,5.303898", \ "5.633736,5.611528,5.589518,5.592477,5.624952,5.624873,5.613378"); } } internal_power () { related_pin : "B"; when : "A & CI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.348856,5.435464,5.535823,5.746032,5.995539,6.186376,6.254843", \ "5.334787,5.424723,5.537952,5.730115,5.988913,6.172089,6.241839", \ "5.304099,5.382748,5.488992,5.686800,5.942980,6.131685,6.201705", \ "5.277300,5.364033,5.471321,5.654975,5.915857,6.100601,6.174566", \ "5.380111,5.461623,5.549173,5.719777,5.949182,6.128899,6.202918", \ "5.326939,5.396198,5.488059,5.695508,6.076096,6.277491,6.346036", \ "5.573605,5.616721,5.650218,5.796359,6.084634,6.463413,6.653696"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.296844,1.431595,1.483474,1.505905,1.621427,1.679117,1.729760", \ "1.223437,1.340777,1.407060,1.451308,1.536678,1.569490,1.584905", \ "1.149449,1.259037,1.302774,1.390993,1.446508,1.477161,1.497179", \ "1.143622,1.232999,1.297705,1.319173,1.361558,1.436683,1.290430", \ "1.406711,1.404143,1.417028,1.452057,1.393966,1.515903,1.455451", \ "1.824628,1.848989,1.841112,1.792673,1.690715,1.554883,1.584894", \ "2.379402,2.355733,2.344167,2.304577,2.208270,1.909427,1.882577"); } } internal_power () { related_pin : "CI"; when : "!A & !B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.974688,4.945194,4.934078,4.974656,5.137647,5.328059,5.442378", \ "4.932334,4.901581,4.889674,4.927258,5.079245,5.272177,5.386664", \ "4.838149,4.819268,4.812126,4.850781,5.013552,5.194606,5.307538", \ "4.881518,4.872860,4.859335,4.896599,5.049138,5.231914,5.340586", \ "5.118463,5.090759,5.067525,5.096859,5.229005,5.398937,5.504033", \ "5.569621,5.525717,5.506518,5.505937,5.589061,5.730286,5.811543", \ "6.091488,6.014962,5.943902,5.888436,5.983631,6.189068,6.258490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("1.275601,1.457548,1.571282,1.685351,1.783773,1.705776,1.705728", \ "1.274460,1.466676,1.560314,1.632804,1.787304,1.772350,1.797241", \ "1.225668,1.418757,1.533613,1.638265,1.655244,1.772752,1.750171", \ "1.261861,1.396336,1.476285,1.612606,1.579089,1.660654,1.549173", \ "1.534162,1.641156,1.676072,1.676825,1.705437,1.786573,1.788751", \ "1.863235,1.952916,2.033849,2.032665,1.941686,1.962835,2.021986", \ "2.284307,2.375941,2.451197,2.508634,2.417782,2.304363,2.185333"); } } internal_power () { related_pin : "CI"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.745502,2.758295,2.803001,2.877092,2.972611,3.159105,3.202496", \ "2.749798,2.763212,2.812449,2.892320,2.970393,3.134655,3.177883", \ "2.751179,2.765957,2.807726,2.883418,2.984635,3.150767,3.154241", \ "2.804744,2.813736,2.842965,2.916435,2.970056,3.142044,3.184291", \ "2.955759,2.945558,2.962649,3.020816,3.074507,3.242551,3.271803", \ "3.218939,3.176893,3.186650,3.211451,3.236522,3.416976,3.276617", \ "3.637833,3.574175,3.569620,3.589730,3.600041,3.647638,3.662013"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.520811,4.538959,4.544517,4.577370,4.619438,4.643113,4.625695", \ "4.500376,4.520209,4.524817,4.526407,4.590915,4.632118,4.618241", \ "4.473118,4.493589,4.497526,4.535526,4.577721,4.581740,4.571981", \ "4.515580,4.534283,4.538498,4.559920,4.604678,4.574310,4.570441", \ "4.715324,4.711526,4.699905,4.701752,4.719093,4.689685,4.711602", \ "5.080365,5.046799,5.009818,4.948811,4.990758,4.980868,4.872045", \ "5.448557,5.396849,5.368044,5.315370,5.272295,5.233972,5.270381"); } } internal_power () { related_pin : "CI"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.447959,2.463922,2.510388,2.596912,2.691260,2.847346,2.774192", \ "2.449475,2.471874,2.511036,2.597676,2.664143,2.824613,2.769836", \ "2.433113,2.460870,2.496763,2.584785,2.653032,2.847995,2.879257", \ "2.494996,2.502208,2.537681,2.602974,2.659722,2.805005,2.745439", \ "2.664268,2.655266,2.665575,2.715510,2.777591,2.920931,2.806062", \ "3.020384,2.972384,2.965902,2.975260,3.014779,3.075181,3.103268", \ "3.521018,3.437446,3.415592,3.405748,3.433391,3.398810,3.399577"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.697565,4.713179,4.712547,4.735019,4.749686,4.717847,4.751014", \ "4.690470,4.695168,4.711925,4.694791,4.721402,4.712673,4.723050", \ "4.671066,4.679399,4.681243,4.681016,4.696290,4.741067,4.698809", \ "4.705833,4.699205,4.714441,4.731403,4.760855,4.689270,4.699143", \ "4.875928,4.878637,4.869163,4.839998,4.830914,4.789519,4.820247", \ "5.197246,5.194315,5.158010,5.108258,5.055341,5.098556,5.030151", \ "5.553792,5.511314,5.448589,5.388846,5.374351,5.300525,5.268439"); } } internal_power () { related_pin : "CI"; when : "A & B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.261350,4.375630,4.509217,4.738994,5.049736,5.304484,5.418396", \ "4.230068,4.343582,4.480933,4.699239,5.014907,5.279270,5.389444", \ "4.160749,4.273454,4.405061,4.638808,4.942647,5.206990,5.317603", \ "4.109588,4.227028,4.354106,4.578511,4.899836,5.159524,5.274971", \ "4.159253,4.289970,4.407665,4.613914,4.929199,5.187057,5.305464", \ "4.246483,4.301885,4.390850,4.591949,4.967301,5.307412,5.451710", \ "4.589749,4.618361,4.640843,4.775279,5.076064,5.472170,5.753006"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.113357,2.217224,2.277984,2.316590,2.380154,2.462130,2.501505", \ "2.078357,2.196056,2.240455,2.314087,2.374283,2.399404,2.438506", \ "2.052741,2.147694,2.201614,2.267631,2.323579,2.348159,2.387391", \ "2.096528,2.180615,2.239063,2.278699,2.292890,2.318982,2.264562", \ "2.398166,2.401006,2.411619,2.463554,2.350692,2.360302,2.381003", \ "2.871485,2.897612,2.909037,2.848111,2.748135,2.654686,2.654196", \ "3.547651,3.475829,3.505304,3.477113,3.312847,3.185106,3.156226"); } } } } /****************************************************************************************** Module : FILLCELL_X1 Cell Description : Physical cell (FILLCELL_X1) *******************************************************************************************/ cell (FILLCELL_X1) { drive_strength : 1; area : 0.266000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X2 Cell Description : Physical cell (FILLCELL_X2) *******************************************************************************************/ cell (FILLCELL_X2) { drive_strength : 2; area : 0.266000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X4 Cell Description : Physical cell (FILLCELL_X4) *******************************************************************************************/ cell (FILLCELL_X4) { drive_strength : 4; area : 1.064000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X8 Cell Description : Physical cell (FILLCELL_X8) *******************************************************************************************/ cell (FILLCELL_X8) { drive_strength : 8; area : 2.128000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X16 Cell Description : Physical cell (FILLCELL_X16) *******************************************************************************************/ cell (FILLCELL_X16) { drive_strength : 16; area : 4.256000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : FILLCELL_X32 Cell Description : Physical cell (FILLCELL_X32) *******************************************************************************************/ cell (FILLCELL_X32) { drive_strength : 32; area : 8.512000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } } /****************************************************************************************** Module : HA_X1 Cell Description : Combinational cell (HA_X1) with drive strength X1 *******************************************************************************************/ cell (HA_X1) { drive_strength : 1; area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 61.229735; leakage_power () { when : "!A & !B"; value : 46.718540; } leakage_power () { when : "!A & B"; value : 73.813630; } leakage_power () { when : "A & !B"; value : 44.029348; } leakage_power () { when : "A & B"; value : 80.357420; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.185865; fall_capacitance : 3.056824; rise_capacitance : 3.185865; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.447793; fall_capacitance : 3.341749; rise_capacitance : 3.447793; } pin (CO) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "(A & B)"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0212368,0.0247517,0.0282220,0.0340302,0.0441229,0.0628635,0.0996121", \ "0.0227675,0.0262787,0.0297488,0.0355584,0.0456526,0.0643929,0.101150", \ "0.0291042,0.0325834,0.0360418,0.0418555,0.0519596,0.0707096,0.107464", \ "0.0395278,0.0433227,0.0470228,0.0530826,0.0633121,0.0820750,0.118799", \ "0.0502523,0.0545230,0.0586849,0.0653526,0.0761830,0.0952797,0.131995", \ "0.0615522,0.0662806,0.0709221,0.0783101,0.0899353,0.109514,0.146382", \ "0.0736544,0.0788295,0.0839467,0.0921322,0.104814,0.125274,0.162433"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0223428,0.0272800,0.0325318,0.0421642,0.0606141,0.0970925,0.169855", \ "0.0235934,0.0285309,0.0337801,0.0434111,0.0618624,0.0983402,0.171105", \ "0.0286373,0.0335393,0.0387499,0.0483301,0.0667531,0.103235,0.176015", \ "0.0354232,0.0405464,0.0458349,0.0554563,0.0737925,0.110186,0.182932", \ "0.0408044,0.0464810,0.0520218,0.0616752,0.0799748,0.116361,0.189000", \ "0.0447088,0.0509819,0.0571204,0.0671590,0.0854311,0.121632,0.194288", \ "0.0470582,0.0538286,0.0606532,0.0715590,0.0901412,0.126306,0.198813"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00438222,0.00601208,0.00787984,0.0114794,0.0187663,0.0340307,0.0656611", \ "0.00438184,0.00601340,0.00787957,0.0114804,0.0187669,0.0340307,0.0656614", \ "0.00442102,0.00605680,0.00791651,0.0115025,0.0187765,0.0340326,0.0656614", \ "0.00577020,0.00723085,0.00890448,0.0121876,0.0190913,0.0341143,0.0656680", \ "0.00758844,0.00907588,0.0107268,0.0138546,0.0203423,0.0347380,0.0657595", \ "0.00958365,0.0111334,0.0128416,0.0159270,0.0220227,0.0356772,0.0662314", \ "0.0118620,0.0134745,0.0152800,0.0184611,0.0243648,0.0372281,0.0668373"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00558144,0.00877400,0.0126818,0.0207796,0.0376896,0.0721609,0.141305", \ "0.00558358,0.00877205,0.0126811,0.0207770,0.0376878,0.0721640,0.141305", \ "0.00562196,0.00880842,0.0127127,0.0207949,0.0376896,0.0721583,0.141299", \ "0.00659917,0.00952757,0.0132517,0.0211162,0.0377635,0.0721656,0.141316", \ "0.00807338,0.0108969,0.0142063,0.0216066,0.0381099,0.0723252,0.141298", \ "0.00981314,0.0128389,0.0160038,0.0226715,0.0384452,0.0725614,0.141479", \ "0.0118858,0.0150772,0.0184764,0.0246622,0.0394064,0.0729147,0.141733"); } } timing () { related_pin : "B"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0236774,0.0272559,0.0307877,0.0366820,0.0468690,0.0656869,0.102490", \ "0.0252364,0.0288142,0.0323459,0.0382416,0.0484302,0.0672491,0.104050", \ "0.0316519,0.0352070,0.0387281,0.0446244,0.0548204,0.0736468,0.110455", \ "0.0430402,0.0468186,0.0505005,0.0565406,0.0668059,0.0856365,0.122429", \ "0.0551035,0.0593563,0.0634831,0.0701014,0.0809045,0.100018,0.136776", \ "0.0679028,0.0725852,0.0771573,0.0844213,0.0959160,0.115439,0.152332", \ "0.0818502,0.0869336,0.0919272,0.0998623,0.112207,0.132368,0.169401"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0239339,0.0288712,0.0341233,0.0437569,0.0622100,0.0986859,0.171447", \ "0.0252422,0.0301782,0.0354285,0.0450613,0.0635164,0.0999972,0.172758", \ "0.0291060,0.0340262,0.0392562,0.0488618,0.0673024,0.103790,0.176566", \ "0.0345716,0.0396497,0.0449553,0.0546075,0.0730148,0.109466,0.182237", \ "0.0395660,0.0449800,0.0504657,0.0601952,0.0786002,0.115040,0.187751", \ "0.0431279,0.0490651,0.0549409,0.0649664,0.0834538,0.119819,0.192524", \ "0.0449311,0.0513935,0.0578370,0.0684359,0.0872584,0.123771,0.196427"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00460344,0.00622514,0.00808139,0.0116640,0.0189202,0.0341384,0.0657309", \ "0.00460497,0.00622522,0.00808313,0.0116653,0.0189202,0.0341392,0.0657293", \ "0.00462173,0.00624856,0.00810406,0.0116787,0.0189265,0.0341406,0.0657316", \ "0.00570531,0.00715627,0.00883417,0.0121494,0.0191438,0.0342020,0.0657377", \ "0.00747876,0.00893315,0.0105673,0.0137175,0.0202701,0.0347232,0.0658162", \ "0.00933544,0.0108358,0.0125040,0.0155810,0.0217563,0.0355703,0.0662285", \ "0.0113323,0.0128750,0.0146002,0.0177249,0.0236543,0.0367419,0.0666836"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00558409,0.00877283,0.0126819,0.0207798,0.0376869,0.0721564,0.141299", \ "0.00558386,0.00877321,0.0126822,0.0207798,0.0376847,0.0721539,0.141305", \ "0.00560569,0.00879124,0.0126970,0.0207871,0.0376890,0.0721569,0.141307", \ "0.00611486,0.00923577,0.0130507,0.0209989,0.0377363,0.0721583,0.141311", \ "0.00706355,0.0100916,0.0137173,0.0213993,0.0379684,0.0722667,0.141312", \ "0.00837720,0.0114825,0.0149333,0.0222186,0.0383364,0.0724252,0.141406", \ "0.00991486,0.0132150,0.0167051,0.0236025,0.0391977,0.0728544,0.141577"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.990556,3.102801,3.124983,3.156359,3.180785,3.122009,3.150528", \ "2.921881,3.036186,3.080311,3.113119,3.140949,3.171280,3.140336", \ "2.906752,3.002117,3.048266,3.044514,3.103846,3.139816,3.136155", \ "3.164532,3.215883,3.217637,3.201600,3.189361,3.216218,3.212240", \ "3.516609,3.634512,3.618948,3.536699,3.491977,3.398017,3.410782", \ "4.213009,4.226096,4.183418,4.155475,4.012571,3.847565,3.791714", \ "5.252636,5.174359,5.058896,4.947803,4.786177,4.557131,4.369486"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.992257,1.049847,1.093888,1.095831,1.075353,1.127037,1.104980", \ "0.972163,1.045780,1.074658,1.114907,1.090910,1.164212,1.089857", \ "0.984041,1.035016,1.051267,1.089818,1.082034,1.094269,1.145780", \ "1.190710,1.182936,1.177971,1.172762,1.157822,1.111042,1.056679", \ "1.668036,1.631450,1.549694,1.450124,1.344178,1.326429,1.306434", \ "2.375631,2.304560,2.209443,2.050822,1.831349,1.710182,1.666165", \ "3.272535,3.176304,3.083028,2.853778,2.610170,2.263839,2.120568"); } } internal_power () { related_pin : "B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.694930,3.751278,3.775709,3.783147,3.805685,3.832267,3.778299", \ "3.632594,3.713105,3.731778,3.744296,3.794859,3.795640,3.827412", \ "3.639189,3.698924,3.730745,3.741013,3.768415,3.809758,3.814972", \ "3.895111,3.916906,3.908368,3.898062,3.850062,3.881746,3.900263", \ "4.281485,4.377101,4.315512,4.250735,4.207350,4.149247,4.121414", \ "4.910877,4.940445,4.924100,4.877231,4.713000,4.609368,4.477912", \ "5.899253,5.853159,5.765068,5.706879,5.558912,5.329120,5.119710"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.866315,0.940117,0.966788,0.986430,0.981973,0.959955,0.984916", \ "0.826139,0.895815,0.942522,0.962707,0.997940,1.019064,0.988599", \ "0.760791,0.835987,0.880471,0.891808,0.898450,0.954964,0.888300", \ "0.867205,0.874678,0.881393,0.901928,0.953244,0.951222,0.869700", \ "1.218881,1.205042,1.155687,1.062573,1.058978,1.068878,1.055167", \ "1.742639,1.719959,1.655851,1.537917,1.346563,1.317418,1.275680", \ "2.407888,2.368100,2.295816,2.195045,1.967305,1.714349,1.677659"); } } } pin (S) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.253300; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.045019,0.045946,0.047595,0.050621,0.056024,0.065570,0.082588", \ "0.045523,0.046461,0.048123,0.051165,0.056594,0.066162,0.083197", \ "0.050723,0.051667,0.053328,0.056389,0.061834,0.071420,0.088462", \ "0.062459,0.063419,0.065109,0.068208,0.073714,0.083346,0.100409", \ "0.077638,0.078699,0.080556,0.083920,0.089745,0.099745,0.117088", \ "0.094544,0.095721,0.097757,0.101413,0.107675,0.118139,0.135929", \ "0.113653,0.114945,0.117176,0.121180,0.127965,0.139093,0.157465"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.037554,0.039665,0.043552,0.051244,0.066617,0.097697,0.160665", \ "0.038997,0.041118,0.045033,0.052793,0.068266,0.099425,0.162398", \ "0.042617,0.044785,0.048799,0.056772,0.072623,0.104229,0.167516", \ "0.046396,0.048537,0.052523,0.060614,0.076666,0.108579,0.172206", \ "0.048916,0.051116,0.055169,0.063195,0.079140,0.110865,0.174743", \ "0.048888,0.051160,0.055283,0.063373,0.079390,0.111279,0.174708", \ "0.045764,0.048182,0.052501,0.060753,0.076804,0.108763,0.172512"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.009669,0.010220,0.011182,0.012980,0.016289,0.022476,0.034631", \ "0.009678,0.010227,0.011193,0.012985,0.016292,0.022477,0.034630", \ "0.009702,0.010250,0.011205,0.012993,0.016289,0.022472,0.034628", \ "0.010034,0.010558,0.011470,0.013201,0.016433,0.022556,0.034672", \ "0.011084,0.011611,0.012521,0.014226,0.017399,0.023413,0.035250", \ "0.012617,0.013151,0.014069,0.015739,0.018764,0.024469,0.036050", \ "0.014496,0.015065,0.016025,0.017741,0.020748,0.026240,0.037250"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.015498,0.017493,0.021192,0.028583,0.043329,0.072732,0.131422", \ "0.015502,0.017490,0.021190,0.028585,0.043326,0.072738,0.131415", \ "0.015527,0.017511,0.021203,0.028586,0.043325,0.072731,0.131423", \ "0.014593,0.016683,0.020539,0.028252,0.043328,0.072730,0.131409", \ "0.014134,0.016018,0.019571,0.026804,0.041598,0.071820,0.131401", \ "0.014768,0.016527,0.019917,0.026932,0.041353,0.070538,0.130099", \ "0.016101,0.017733,0.020875,0.027516,0.041634,0.070629,0.129017"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.007859,0.008766,0.010438,0.013755,0.020344,0.033474,0.059699", \ "0.009178,0.010099,0.011796,0.015146,0.021774,0.034938,0.061188", \ "0.012799,0.014098,0.016309,0.020172,0.026813,0.039944,0.066183", \ "0.014629,0.016517,0.019752,0.025428,0.034890,0.049905,0.075928", \ "0.014049,0.016559,0.020818,0.028303,0.040820,0.060815,0.091575", \ "0.010745,0.013878,0.019191,0.028514,0.044098,0.069021,0.107590", \ "0.004511,0.008221,0.014573,0.025737,0.044440,0.074329,0.120640"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.016654,0.018942,0.023127,0.031327,0.047474,0.079496,0.143325", \ "0.017326,0.019626,0.023861,0.032181,0.048504,0.080705,0.144671", \ "0.022944,0.025005,0.028958,0.037006,0.053153,0.085342,0.149417", \ "0.032113,0.034946,0.039855,0.048632,0.064198,0.095748,0.159379", \ "0.042456,0.045917,0.051987,0.062998,0.081978,0.113748,0.176327", \ "0.054569,0.058612,0.065708,0.078633,0.101254,0.138967,0.201713", \ "0.068678,0.073308,0.081405,0.096111,0.121939,0.165644,0.236321"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.004564,0.005324,0.006747,0.009590,0.015269,0.026628,0.049348", \ "0.004562,0.005323,0.006746,0.009588,0.015270,0.026628,0.049345", \ "0.007068,0.007674,0.008745,0.010688,0.015423,0.026628,0.049348", \ "0.011756,0.012549,0.013942,0.016450,0.020811,0.028788,0.049345", \ "0.018056,0.019048,0.020785,0.023891,0.029281,0.038300,0.054081", \ "0.026021,0.027222,0.029338,0.033105,0.039513,0.050227,0.067712", \ "0.035617,0.037083,0.039623,0.044115,0.051668,0.064038,0.084226"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.012649,0.014651,0.018349,0.025692,0.040333,0.069588,0.128061", \ "0.012607,0.014631,0.018347,0.025697,0.040331,0.069578,0.128056", \ "0.013071,0.014766,0.018161,0.025651,0.040341,0.069582,0.128055", \ "0.018132,0.019824,0.022792,0.028056,0.040613,0.069592,0.128054", \ "0.023833,0.025854,0.029416,0.035903,0.047053,0.070885,0.128081", \ "0.030781,0.033024,0.037030,0.044520,0.057795,0.080040,0.129217", \ "0.039327,0.041734,0.046057,0.054261,0.069225,0.094814,0.138835"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.049264,0.050203,0.051855,0.054895,0.060307,0.069851,0.086857", \ "0.050630,0.051577,0.053248,0.056302,0.061738,0.071305,0.088327", \ "0.055845,0.056794,0.058467,0.061538,0.066996,0.076582,0.093614", \ "0.064841,0.065802,0.067491,0.070591,0.076095,0.085732,0.102800", \ "0.076648,0.077704,0.079533,0.082852,0.088667,0.098659,0.116000", \ "0.091262,0.092393,0.094360,0.097922,0.104126,0.114580,0.132449", \ "0.108778,0.109998,0.112114,0.115944,0.122518,0.133547,0.152051"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.034287,0.036514,0.040612,0.048696,0.064680,0.096480,0.160100", \ "0.035736,0.037960,0.042057,0.050152,0.066171,0.098012,0.161637", \ "0.040674,0.042884,0.046962,0.055055,0.071139,0.103138,0.166909", \ "0.046191,0.048344,0.052336,0.060434,0.076543,0.108613,0.172515", \ "0.050339,0.052533,0.056565,0.064525,0.080363,0.112165,0.176137", \ "0.051965,0.054229,0.058331,0.066351,0.082233,0.113939,0.177442", \ "0.050714,0.053125,0.057400,0.065566,0.081474,0.113190,0.176715"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.009613,0.010152,0.011114,0.012910,0.016222,0.022423,0.034596", \ "0.009594,0.010140,0.011096,0.012890,0.016202,0.022407,0.034588", \ "0.009606,0.010151,0.011107,0.012892,0.016202,0.022402,0.034585", \ "0.009865,0.010393,0.011316,0.013064,0.016314,0.022469,0.034619", \ "0.010517,0.011058,0.012009,0.013766,0.017018,0.023126,0.035078", \ "0.011478,0.012038,0.012998,0.014773,0.018003,0.024010,0.035788", \ "0.012813,0.013389,0.014380,0.016185,0.019445,0.025411,0.036977"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.012823,0.014764,0.018401,0.025708,0.040348,0.069618,0.128130", \ "0.012825,0.014762,0.018398,0.025706,0.040345,0.069618,0.128136", \ "0.012840,0.014781,0.018410,0.025711,0.040346,0.069625,0.128138", \ "0.012608,0.014564,0.018243,0.025648,0.040352,0.069626,0.128125", \ "0.013089,0.014903,0.018344,0.025395,0.039797,0.069372,0.128134", \ "0.013948,0.015648,0.018939,0.025826,0.040087,0.068973,0.127745", \ "0.015281,0.016864,0.019933,0.026468,0.040474,0.069327,0.127333"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.009194,0.010095,0.011761,0.015069,0.021650,0.034774,0.060999", \ "0.010538,0.011465,0.013166,0.016517,0.023141,0.036301,0.062551", \ "0.013609,0.014736,0.016717,0.020404,0.027172,0.040416,0.066729", \ "0.015953,0.017566,0.020320,0.025168,0.033466,0.047897,0.074426", \ "0.016074,0.018290,0.022059,0.028608,0.039426,0.056854,0.086023", \ "0.013470,0.016329,0.021195,0.029617,0.043419,0.065097,0.099010", \ "0.007906,0.011429,0.017411,0.027762,0.044742,0.071218,0.111500"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.021461,0.023677,0.027771,0.035884,0.051976,0.083990,0.147849", \ "0.022279,0.024523,0.028665,0.036852,0.053036,0.085138,0.149061", \ "0.027722,0.029869,0.033884,0.041925,0.057991,0.090048,0.153988", \ "0.038679,0.041204,0.045636,0.053673,0.069202,0.100712,0.164216", \ "0.050956,0.054085,0.059625,0.069828,0.087730,0.118876,0.181391", \ "0.065025,0.068678,0.075178,0.087222,0.108629,0.144892,0.206977", \ "0.081367,0.085520,0.092897,0.106568,0.131037,0.173084,0.242019"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.004564,0.005327,0.006747,0.009587,0.015268,0.026628,0.049347", \ "0.004563,0.005325,0.006745,0.009588,0.015270,0.026629,0.049344", \ "0.005727,0.006387,0.007635,0.010089,0.015362,0.026628,0.049345", \ "0.008933,0.009595,0.010786,0.013116,0.017851,0.027686,0.049356", \ "0.013666,0.014455,0.015831,0.018354,0.023030,0.032274,0.051647", \ "0.019642,0.020585,0.022222,0.025190,0.030378,0.039708,0.058164", \ "0.026688,0.027825,0.029772,0.033302,0.039317,0.049489,0.067867"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.015425,0.017434,0.021158,0.028564,0.043307,0.072690,0.131313", \ "0.015410,0.017425,0.021154,0.028563,0.043306,0.072678,0.131316", \ "0.015291,0.017231,0.021059,0.028540,0.043301,0.072683,0.131322", \ "0.019641,0.021300,0.024015,0.029928,0.043305,0.072680,0.131318", \ "0.025329,0.027373,0.030936,0.037362,0.048584,0.073488,0.131315", \ "0.031584,0.034009,0.038246,0.045934,0.059231,0.081705,0.132103", \ "0.038681,0.041428,0.046242,0.055043,0.070470,0.096169,0.140907"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("5.441147,5.461436,5.497564,5.539735,5.581332,5.630311,5.632026", \ "5.371845,5.405089,5.450105,5.479421,5.531916,5.583055,5.588299", \ "5.362389,5.396194,5.446539,5.489160,5.557670,5.598153,5.597893", \ "5.425775,5.468679,5.521265,5.563125,5.658252,5.732549,5.737436", \ "5.514248,5.546276,5.636385,5.775326,5.899840,5.990818,6.013850", \ "5.718782,5.735526,5.793821,5.911104,6.076434,6.285837,6.438341", \ "6.224761,6.253921,6.291053,6.382195,6.527043,6.711756,6.874264"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("3.472932,3.463679,3.445382,3.429428,3.331692,3.198970,3.129998", \ "3.462396,3.450657,3.435653,3.426831,3.370289,3.201191,3.175453", \ "3.268089,3.261509,3.280315,3.271749,3.271813,3.237470,3.163252", \ "3.213299,3.222374,3.225724,3.250569,3.257578,3.267202,3.254089", \ "3.468310,3.451711,3.412655,3.384771,3.444766,3.411668,3.388900", \ "3.969483,3.985689,3.979534,3.946549,3.856110,3.871284,3.839331", \ "4.561088,4.582195,4.602147,4.641180,4.604784,4.452199,4.447573"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.992257,1.013697,1.050840,1.083443,1.097280,1.131520,1.107660", \ "0.972163,0.997975,1.034945,1.060927,1.103215,1.101221,1.154480", \ "0.984041,0.995587,1.033609,1.054344,1.076687,1.124935,1.109055", \ "1.190710,1.189753,1.177961,1.178285,1.163115,1.177162,1.119106", \ "1.668036,1.661467,1.642844,1.567656,1.482643,1.399125,1.358447", \ "2.375631,2.358000,2.325339,2.239046,2.091844,1.860129,1.757323", \ "3.272535,3.232093,3.168085,3.085490,2.950996,2.699323,2.354080"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("2.990557,3.038188,3.072143,3.109279,3.149497,3.173529,3.130614", \ "2.921882,2.971978,3.014853,3.053762,3.098530,3.131643,3.161070", \ "2.906753,2.948543,2.998596,3.032729,3.055401,3.088194,3.127058", \ "3.164533,3.193025,3.208355,3.190502,3.182108,3.204822,3.205673", \ "3.516610,3.577917,3.631543,3.624225,3.534089,3.497299,3.455619", \ "4.213011,4.230546,4.231166,4.200205,4.136660,4.017163,3.930773", \ "5.252638,5.237386,5.203663,5.081094,4.964289,4.832724,4.670673"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("5.754203,5.778908,5.821363,5.856021,5.924163,5.976945,5.986264", \ "5.665935,5.698530,5.761729,5.798903,5.876554,5.932245,5.940890", \ "5.620109,5.650042,5.707928,5.761753,5.828770,5.902168,5.907583", \ "5.531789,5.569658,5.633672,5.699429,5.785122,5.877872,5.903219", \ "5.407756,5.468500,5.542175,5.679549,5.838456,5.949315,5.994885", \ "5.402281,5.473431,5.550481,5.657468,5.831977,6.075000,6.263616", \ "5.759810,5.786973,5.860242,5.975527,6.144257,6.347039,6.556306"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("2.889302,2.898982,2.922894,2.927872,2.917847,2.942193,2.880006", \ "2.863967,2.873470,2.877984,2.903746,2.922512,2.887061,2.831042", \ "2.792251,2.802904,2.830578,2.827259,2.865750,2.889456,2.789880", \ "2.810905,2.817332,2.847149,2.873798,2.896819,2.928012,2.837473", \ "3.183329,3.162283,3.110864,3.036295,3.047363,3.077313,3.027275", \ "3.736724,3.713528,3.683353,3.641963,3.500689,3.413023,3.495989", \ "4.368946,4.350346,4.366068,4.336119,4.264984,4.037677,4.017863"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("0.866316,0.900874,0.927784,0.952225,0.979847,1.002474,1.021234", \ "0.826140,0.844366,0.889822,0.927192,0.939531,0.979008,1.013335", \ "0.760792,0.797560,0.826211,0.868489,0.904241,0.951258,0.976798", \ "0.867206,0.876873,0.876215,0.886215,0.914451,0.927543,0.940940", \ "1.218882,1.228091,1.208052,1.173907,1.082927,1.056967,1.039436", \ "1.742640,1.738113,1.724907,1.670582,1.567465,1.428170,1.317782", \ "2.407888,2.390475,2.369758,2.341543,2.228338,2.058726,1.823841"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.789166,1.578330,3.156660,6.313320,12.626600,25.253300"); values ("3.694934,3.717922,3.735804,3.781350,3.772995,3.806503,3.823550", \ "3.632598,3.657696,3.685647,3.736495,3.727707,3.777023,3.786199", \ "3.639193,3.665855,3.703758,3.701241,3.704104,3.734958,3.772266", \ "3.895115,3.908798,3.925982,3.902374,3.868300,3.845557,3.863251", \ "4.281491,4.320250,4.374066,4.341703,4.267604,4.191301,4.150647", \ "4.910882,4.932985,4.937615,4.917181,4.887103,4.785296,4.625187", \ "5.899258,5.888433,5.856103,5.781026,5.703501,5.598843,5.369215"); } } } } /****************************************************************************************** Module : INV_X1 Cell Description : Combinational cell (INV_X1) with drive strength X1 *******************************************************************************************/ cell (INV_X1) { drive_strength : 1; area : 0.532000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 14.353185; leakage_power () { when : "!A"; value : 10.102224; } leakage_power () { when : "A"; value : 18.604146; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.700230; fall_capacitance : 1.549360; rise_capacitance : 1.700230; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.730000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00334769,0.00529785,0.00763425,0.0122592,0.0214710,0.0398747,0.0766650", \ "0.00461096,0.00678237,0.00912396,0.0137631,0.0229885,0.0413991,0.0781923", \ "0.00565781,0.00963029,0.0133910,0.0192072,0.0284937,0.0468495,0.0836153", \ "0.00501217,0.0107451,0.0162361,0.0248924,0.0380191,0.0575991,0.0941587", \ "0.00228759,0.00977055,0.0169885,0.0284204,0.0459573,0.0721436,0.111006", \ "-0.00275926,0.00641510,0.0153503,0.0295626,0.0514378,0.0844139,0.133051", \ "-0.0102639,0.000468768,0.0110680,0.0280603,0.0542902,0.0939467,0.152970"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00558495,0.00952547,0.0142069,0.0234111,0.0416815,0.0781322,0.150988", \ "0.00726612,0.0110313,0.0156990,0.0249513,0.0432921,0.0797973,0.152683", \ "0.0117593,0.0172024,0.0222810,0.0312450,0.0494226,0.0858830,0.158767", \ "0.0169697,0.0245178,0.0319657,0.0437440,0.0621260,0.0981372,0.170748", \ "0.0234502,0.0327927,0.0422113,0.0575993,0.0814250,0.118167,0.190083", \ "0.0313821,0.0424084,0.0535919,0.0721230,0.101629,0.146333,0.218093", \ "0.0409686,0.0535508,0.0664252,0.0878376,0.122423,0.176146,0.255965"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00146483,0.00307767,0.00507790,0.00907693,0.0170788,0.0330804,0.0650877", \ "0.00208078,0.00319162,0.00507788,0.00907788,0.0170769,0.0330758,0.0650897", \ "0.00453885,0.00619474,0.00782158,0.0104713,0.0171441,0.0330755,0.0650929", \ "0.00828209,0.0105589,0.0128211,0.0165329,0.0223384,0.0340626,0.0650908", \ "0.0134678,0.0163933,0.0192569,0.0239619,0.0314929,0.0430713,0.0667545", \ "0.0202479,0.0238387,0.0273358,0.0330037,0.0420922,0.0563711,0.0781250", \ "0.0288874,0.0330247,0.0371742,0.0438635,0.0544355,0.0712020,0.0970521"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("0.00325898,0.00675403,0.0110850,0.0197517,0.0370834,0.0717177,0.141031", \ "0.00332094,0.00675485,0.0110858,0.0197475,0.0370819,0.0717179,0.141045", \ "0.00646300,0.00917631,0.0120151,0.0197468,0.0370798,0.0717347,0.141043", \ "0.0100688,0.0140883,0.0179745,0.0239390,0.0376321,0.0717196,0.141036", \ "0.0148442,0.0196692,0.0247509,0.0329193,0.0451557,0.0727075,0.141033", \ "0.0212043,0.0265195,0.0324007,0.0424452,0.0580872,0.0819889,0.141335", \ "0.0294730,0.0350265,0.0414593,0.0528699,0.0716272,0.0997522,0.149253"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("-0.000035,-0.000051,-0.000070,-0.000108,-0.000184,-0.000336,-0.000640", \ "-0.000086,-0.000101,-0.000121,-0.000159,-0.000235,-0.000387,-0.000690", \ "-0.000255,-0.000278,-0.000300,-0.000338,-0.000413,-0.000565,-0.000869", \ "0.287831,0.148648,0.030826,-0.000677,-0.000757,-0.000907,-0.001210", \ "0.971887,0.823874,0.649421,0.408881,0.159170,-0.001443,-0.001744", \ "1.891282,1.764827,1.588497,1.264991,0.830546,0.422656,0.157359", \ "3.063886,2.982289,2.822534,2.481577,1.897972,1.212948,0.642966"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.897810,3.795620,7.591250,15.182500,30.365000,60.730000"); values ("1.846618,1.913441,1.942968,1.976480,1.918316,1.993076,1.804364", \ "1.785019,1.828143,1.850405,1.877758,1.860889,1.923714,1.788208", \ "1.890252,1.925712,1.897133,1.906718,1.908479,1.891433,1.915779", \ "2.168515,2.196581,2.245482,2.175584,1.993599,1.979297,1.837918", \ "2.903531,2.821693,2.735426,2.759424,2.532418,2.170185,2.081635", \ "4.073220,3.908341,3.757237,3.548927,3.378570,2.890876,2.590992", \ "5.652279,5.456442,5.224976,4.892786,4.421584,4.002718,3.154214"); } } } } /****************************************************************************************** Module : INV_X2 Cell Description : Combinational cell (INV_X2) with drive strength X2 *******************************************************************************************/ cell (INV_X2) { drive_strength : 2; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 28.706376; leakage_power () { when : "!A"; value : 20.204470; } leakage_power () { when : "A"; value : 37.208281; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.250891; fall_capacitance : 2.943315; rise_capacitance : 3.250891; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.460000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00323762,0.00542920,0.00776557,0.0123911,0.0216055,0.0400122,0.0768103", \ "0.00444597,0.00691097,0.00925354,0.0138926,0.0231189,0.0415332,0.0783348", \ "0.00533566,0.00982667,0.0135566,0.0193457,0.0286250,0.0469850,0.0837588", \ "0.00453058,0.0110074,0.0164598,0.0250759,0.0381723,0.0577346,0.0943029", \ "0.00164268,0.0101003,0.0172684,0.0286500,0.0461432,0.0723010,0.111149", \ "-0.00354696,0.00679938,0.0156811,0.0298371,0.0516619,0.0845972,0.133209", \ "-0.0111822,0.000902772,0.0114492,0.0283783,0.0545488,0.0941580,0.153148"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00529630,0.00972261,0.0144037,0.0236098,0.0418874,0.0783533,0.151234", \ "0.00700751,0.0112245,0.0158942,0.0251485,0.0434960,0.0800190,0.152937", \ "0.0112659,0.0174269,0.0224671,0.0314406,0.0496249,0.0861017,0.159023", \ "0.0162990,0.0248175,0.0322202,0.0439557,0.0623247,0.0983527,0.171006", \ "0.0226242,0.0331569,0.0425240,0.0578614,0.0816431,0.118378,0.190328", \ "0.0304108,0.0428311,0.0539581,0.0724326,0.101882,0.146551,0.218333", \ "0.0398696,0.0540298,0.0668395,0.0881853,0.122715,0.176394,0.256197"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00133747,0.00314223,0.00514271,0.00914379,0.0171477,0.0331562,0.0651786", \ "0.00198125,0.00324371,0.00514301,0.00914331,0.0171476,0.0331542,0.0651761", \ "0.00438502,0.00624552,0.00786465,0.0105133,0.0172081,0.0331582,0.0651784", \ "0.00807123,0.0106298,0.0128816,0.0165838,0.0223782,0.0341282,0.0651780", \ "0.0131770,0.0164841,0.0193316,0.0240151,0.0315412,0.0431173,0.0668346", \ "0.0199022,0.0239353,0.0274209,0.0330665,0.0421432,0.0564218,0.0781770", \ "0.0284987,0.0331256,0.0372687,0.0439364,0.0544972,0.0712581,0.0971059"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("0.00297583,0.00688568,0.0112187,0.0198861,0.0372264,0.0718934,0.141251", \ "0.00310919,0.00688646,0.0112175,0.0198877,0.0372280,0.0718979,0.141265", \ "0.00618848,0.00924460,0.0121144,0.0198886,0.0372245,0.0719021,0.141260", \ "0.00969405,0.0142202,0.0180777,0.0240288,0.0377744,0.0719082,0.141254", \ "0.0144210,0.0198337,0.0248872,0.0330305,0.0452586,0.0728722,0.141260", \ "0.0207647,0.0267029,0.0325625,0.0425788,0.0581955,0.0821039,0.141540", \ "0.0290426,0.0352199,0.0416368,0.0530229,0.0717548,0.0998639,0.149438"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("-0.000068,-0.000103,-0.000142,-0.000218,-0.000370,-0.000674,-0.001282", \ "-0.000170,-0.000205,-0.000243,-0.000319,-0.000471,-0.000775,-0.001383", \ "-0.000507,-0.000557,-0.000602,-0.000677,-0.000829,-0.001132,-0.001740", \ "0.784091,0.463519,0.237699,-0.001356,-0.001516,-0.001817,-0.002423", \ "2.130295,1.814761,1.471459,0.991921,0.494861,0.148353,-0.003490", \ "3.983702,3.708140,3.345093,2.703786,1.833037,1.020850,0.494300", \ "6.346740,6.127494,5.816874,5.137705,3.969483,2.599822,1.462266"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.795620,7.591250,15.182500,30.365000,60.730000,121.460000"); values ("3.600888,3.746113,3.814423,3.815162,3.763107,3.723260,3.671119", \ "3.495236,3.586741,3.657820,3.644964,3.754144,3.720826,3.287945", \ "3.656060,3.803389,3.744929,3.672882,3.673419,3.816686,3.667545", \ "4.258286,4.295821,4.450274,4.215731,4.069116,3.725724,3.510997", \ "5.790358,5.524447,5.462025,5.364572,5.063054,4.379528,3.824801", \ "8.142595,7.768418,7.421465,6.954947,6.746966,5.774893,5.015426", \ "11.307140,10.860460,10.405460,9.681476,8.903400,7.863572,6.820848"); } } } } /****************************************************************************************** Module : INV_X4 Cell Description : Combinational cell (INV_X4) with drive strength X4 *******************************************************************************************/ cell (INV_X4) { drive_strength : 4; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 57.412850; leakage_power () { when : "!A"; value : 40.409160; } leakage_power () { when : "A"; value : 74.416540; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.258425; fall_capacitance : 5.700054; rise_capacitance : 6.258425; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.920000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00326337,0.00559267,0.00793405,0.0125642,0.0217861,0.0402062,0.0770322", \ "0.00441852,0.00706183,0.00940887,0.0140522,0.0232865,0.0417128,0.0785424", \ "0.00519526,0.00998546,0.0137137,0.0195032,0.0287885,0.0471624,0.0839619", \ "0.00426700,0.0111768,0.0166295,0.0252446,0.0383399,0.0579109,0.0945067", \ "0.00125887,0.0102753,0.0174460,0.0288275,0.0463269,0.0724878,0.111354", \ "-0.00404606,0.00697571,0.0158646,0.0300240,0.0518551,0.0847980,0.133423", \ "-0.0117791,0.00107745,0.0116366,0.0285718,0.0547523,0.0943715,0.153382"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00515924,0.00985407,0.0145416,0.0237578,0.0420518,0.0785390,0.151465", \ "0.00689271,0.0113465,0.0160224,0.0252854,0.0436462,0.0801948,0.153152", \ "0.0109397,0.0175352,0.0225912,0.0315721,0.0497696,0.0862721,0.159238", \ "0.0158483,0.0249158,0.0323308,0.0440820,0.0624666,0.0985186,0.171215", \ "0.0220589,0.0332568,0.0426294,0.0579813,0.0817823,0.118544,0.190536", \ "0.0297386,0.0429360,0.0540663,0.0725493,0.102018,0.146716,0.218542", \ "0.0391120,0.0541366,0.0669505,0.0883042,0.122850,0.176558,0.256403"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00123898,0.00313784,0.00513909,0.00914302,0.0171525,0.0331731,0.0652191", \ "0.00189646,0.00323842,0.00513961,0.00914352,0.0171523,0.0331719,0.0652215", \ "0.00426637,0.00623428,0.00785373,0.0105051,0.0172133,0.0331721,0.0652181", \ "0.00791389,0.0106298,0.0128754,0.0165777,0.0223764,0.0341406,0.0652195", \ "0.0129769,0.0164853,0.0193323,0.0240117,0.0315404,0.0431174,0.0668665", \ "0.0196576,0.0239381,0.0274252,0.0330697,0.0421405,0.0564283,0.0781979", \ "0.0282292,0.0331202,0.0372671,0.0439366,0.0545012,0.0712635,0.0971215"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("0.00273788,0.00685162,0.0111856,0.0198558,0.0372094,0.0718951,0.141281", \ "0.00293995,0.00685150,0.0111849,0.0198582,0.0372004,0.0718922,0.141283", \ "0.00594379,0.00921824,0.0120900,0.0198568,0.0371986,0.0718963,0.141289", \ "0.00937363,0.0141771,0.0180459,0.0240027,0.0377516,0.0718980,0.141279", \ "0.0140757,0.0197858,0.0248456,0.0330002,0.0452363,0.0728594,0.141277", \ "0.0204120,0.0266593,0.0325164,0.0425393,0.0581670,0.0820960,0.141562", \ "0.0287072,0.0351831,0.0415943,0.0529789,0.0717220,0.0998525,0.149451"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("-0.000135,-0.000209,-0.000286,-0.000438,-0.000743,-0.001351,-0.002568", \ "-0.000338,-0.000411,-0.000488,-0.000641,-0.000945,-0.001554,-0.002771", \ "-0.001009,-0.001117,-0.001206,-0.001357,-0.001660,-0.002268,-0.003484", \ "1.646543,0.958443,0.492551,-0.002713,-0.003034,-0.003637,-0.004850", \ "4.381760,3.649700,2.962753,1.995582,0.998205,0.299721,-0.006985", \ "7.982441,7.414641,6.730594,5.421472,3.680040,2.046783,0.995845", \ "12.729920,12.330210,11.654350,10.290670,7.944608,5.204591,2.927285"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.591250,15.182500,30.365000,60.730000,121.460000,242.920000"); values ("7.027624,7.399411,7.479360,7.555385,7.644741,7.187666,6.888935", \ "6.872874,7.018571,7.147404,7.158635,7.448545,7.411758,7.678863", \ "7.197088,7.456530,7.318267,7.372508,7.384601,7.467069,7.149943", \ "8.429678,8.497916,8.790671,8.413163,7.822837,7.284865,6.837121", \ "11.509250,10.945040,10.789670,10.683480,9.813967,9.068089,8.488414", \ "16.241270,15.427200,14.843280,13.883830,12.980790,11.408180,9.848604", \ "22.585720,21.617980,20.697690,19.338640,17.575730,16.011860,13.461310"); } } } } /****************************************************************************************** Module : INV_X8 Cell Description : Combinational cell (INV_X8) with drive strength X8 *******************************************************************************************/ cell (INV_X8) { drive_strength : 8; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 114.826305; leakage_power () { when : "!A"; value : 80.819420; } leakage_power () { when : "A"; value : 148.833190; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 11.810652; fall_capacitance : 10.800008; rise_capacitance : 11.810652; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 485.229000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00381157,0.00627336,0.00862883,0.0132674,0.0224913,0.0409048,0.0777125", \ "0.00494533,0.00766317,0.0100323,0.0146827,0.0239165,0.0423369,0.0791477", \ "0.00582646,0.0106853,0.0143680,0.0201105,0.0293874,0.0477537,0.0845384", \ "0.00498752,0.0119972,0.0173886,0.0259355,0.0389655,0.0584942,0.0950743", \ "0.00204990,0.0111958,0.0182977,0.0296008,0.0470163,0.0731028,0.111918", \ "-0.00318825,0.00799145,0.0167954,0.0308697,0.0526083,0.0854578,0.134004", \ "-0.0108759,0.00217406,0.0126407,0.0294754,0.0555576,0.0950785,0.153993"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00568932,0.0105624,0.0152721,0.0245107,0.0428256,0.0793518,0.152331", \ "0.00733052,0.0119924,0.0166838,0.0259617,0.0443409,0.0809200,0.153929", \ "0.0114068,0.0181639,0.0232041,0.0322147,0.0504321,0.0869621,0.159988", \ "0.0164147,0.0256319,0.0330070,0.0447273,0.0631159,0.0992013,0.171954", \ "0.0227333,0.0340818,0.0433850,0.0586798,0.0824462,0.119216,0.191268", \ "0.0305134,0.0438769,0.0549122,0.0733103,0.102724,0.147396,0.219267", \ "0.0399726,0.0551901,0.0678931,0.0891384,0.123604,0.177269,0.257115"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00131696,0.00322745,0.00521804,0.00921661,0.0172196,0.0332338,0.0652687", \ "0.00190390,0.00332751,0.00523226,0.00921683,0.0172225,0.0332381,0.0652666", \ "0.00433644,0.00629210,0.00788488,0.0105424,0.0172845,0.0332376,0.0652706", \ "0.00804525,0.0107526,0.0129549,0.0166160,0.0223921,0.0341820,0.0652718", \ "0.0131581,0.0166638,0.0194647,0.0240942,0.0315708,0.0431293,0.0669006", \ "0.0198727,0.0241633,0.0276031,0.0331945,0.0422038,0.0564475,0.0782028", \ "0.0284437,0.0333889,0.0374842,0.0440962,0.0546008,0.0713036,0.0971276"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("0.00276535,0.00695382,0.0112837,0.0199598,0.0373151,0.0720320,0.141481", \ "0.00297063,0.00696197,0.0112843,0.0199574,0.0373205,0.0720392,0.141476", \ "0.00592259,0.00925645,0.0121740,0.0199695,0.0373176,0.0720470,0.141474", \ "0.00939473,0.0142594,0.0181129,0.0240696,0.0378565,0.0720251,0.141470", \ "0.0141620,0.0199123,0.0249360,0.0330709,0.0453093,0.0729908,0.141483", \ "0.0205556,0.0268400,0.0326436,0.0426267,0.0582404,0.0821967,0.141748", \ "0.0288781,0.0354142,0.0417632,0.0530924,0.0718037,0.0999352,0.149607"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("-0.000288,-0.000442,-0.000595,-0.000900,-0.001509,-0.002727,-0.005161", \ "-0.000692,-0.000844,-0.000998,-0.001303,-0.001912,-0.003130,-0.005564", \ "-0.002038,-0.002257,-0.002432,-0.002735,-0.003341,-0.004557,-0.006991", \ "3.508155,2.005743,1.041149,0.012276,-0.006088,-0.007295,-0.009723", \ "8.929397,7.457765,6.052695,4.050428,2.027671,0.622211,-0.013991", \ "16.251780,15.065600,13.583200,10.955470,7.413384,4.124957,2.015923", \ "25.663790,24.813810,23.512490,20.694170,15.976700,10.454390,5.888288"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,15.163400,30.326800,60.653600,121.307000,242.614000,485.229000"); values ("13.720050,14.586760,14.724340,14.951210,14.363980,14.570700,15.352360", \ "13.571900,13.932910,14.199870,14.447800,14.627050,14.544560,14.703150", \ "14.199850,14.833730,14.656920,14.384280,14.252400,14.391860,13.663750", \ "16.766160,17.120770,17.442180,16.547430,15.743410,15.596770,15.259200", \ "23.287810,22.090820,21.648800,21.346390,19.961690,16.404370,17.011190", \ "32.784540,30.931180,29.518550,28.113410,26.260300,22.909420,19.095690", \ "45.486620,43.387170,41.461410,38.831010,35.335270,30.619750,26.335990"); } } } } /****************************************************************************************** Module : INV_X16 Cell Description : Combinational cell (INV_X16) with drive strength X16 *******************************************************************************************/ cell (INV_X16) { drive_strength : 16; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 229.651455; leakage_power () { when : "!A"; value : 161.636200; } leakage_power () { when : "A"; value : 297.666710; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 25.228138; fall_capacitance : 23.014087; rise_capacitance : 25.228138; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 969.238000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00318857,0.00561827,0.00796003,0.0125900,0.0218099,0.0402244,0.0770401", \ "0.00430768,0.00708648,0.00943444,0.0140777,0.0233094,0.0417314,0.0785507", \ "0.00499369,0.0100144,0.0137414,0.0195292,0.0288125,0.0471819,0.0839729", \ "0.00396948,0.0112077,0.0166595,0.0252729,0.0383641,0.0579314,0.0945190", \ "0.000857470,0.0102994,0.0174726,0.0288565,0.0463512,0.0725061,0.111366", \ "-0.00454367,0.00698966,0.0158804,0.0300458,0.0518766,0.0848152,0.133431", \ "-0.0123702,0.00106957,0.0116346,0.0285772,0.0547656,0.0943857,0.153387"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00501662,0.00993245,0.0146449,0.0239077,0.0422944,0.0789759,0.152281", \ "0.00676670,0.0114213,0.0161233,0.0254350,0.0438907,0.0806296,0.153966", \ "0.0106810,0.0176134,0.0226835,0.0317165,0.0500138,0.0867066,0.160056", \ "0.0155061,0.0250112,0.0324456,0.0442305,0.0626972,0.0989442,0.172029", \ "0.0216488,0.0333739,0.0427683,0.0581592,0.0820268,0.118950,0.191335", \ "0.0292747,0.0430814,0.0542339,0.0727577,0.102305,0.147132,0.219321", \ "0.0386111,0.0543151,0.0671529,0.0885518,0.123179,0.177032,0.257141"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00117156,0.00314095,0.00514263,0.00914853,0.0171618,0.0331900,0.0652468", \ "0.00184217,0.00324027,0.00514295,0.00914773,0.0171606,0.0331856,0.0652446", \ "0.00418177,0.00623405,0.00785412,0.0105090,0.0172214,0.0331864,0.0652454", \ "0.00779307,0.0106305,0.0128757,0.0165729,0.0223781,0.0341510,0.0652463", \ "0.0128228,0.0164906,0.0193366,0.0240119,0.0315359,0.0431225,0.0668915", \ "0.0194783,0.0239428,0.0274319,0.0330742,0.0421361,0.0564209,0.0782119", \ "0.0280250,0.0331234,0.0372728,0.0439411,0.0544994,0.0712533,0.0971179"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("0.00260105,0.00689342,0.0112536,0.0199760,0.0374210,0.0723167,0.142116", \ "0.00284202,0.00689261,0.0112515,0.0199757,0.0374253,0.0723243,0.142107", \ "0.00579692,0.00924114,0.0121403,0.0199783,0.0374304,0.0723353,0.142109", \ "0.00917650,0.0142036,0.0180880,0.0240795,0.0379498,0.0723141,0.142116", \ "0.0138511,0.0198118,0.0248869,0.0330698,0.0453797,0.0732572,0.142113", \ "0.0201829,0.0266820,0.0325538,0.0426048,0.0582974,0.0824013,0.142364", \ "0.0284800,0.0352014,0.0416274,0.0530410,0.0718459,0.100104,0.150134"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("-0.000530,-0.000839,-0.001146,-0.001757,-0.002978,-0.005417,-0.010295", \ "-0.001343,-0.001648,-0.001955,-0.002567,-0.003788,-0.006228,-0.011106", \ "-0.004017,-0.004470,-0.004825,-0.005433,-0.006648,-0.009084,-0.013961", \ "6.748852,3.838696,1.972927,0.006377,-0.012142,-0.014561,-0.019425", \ "17.573550,14.613570,11.888930,8.004667,4.048477,1.284915,0.001886", \ "32.254360,29.640560,26.879420,21.719610,14.759160,8.285091,4.118118", \ "51.141930,49.191300,46.592550,41.150960,31.846440,20.916720,11.875690"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,30.288700,60.577400,121.155000,242.310000,484.619000,969.238000"); values ("27.902990,29.367990,30.045050,30.504260,29.486720,27.542780,29.458360", \ "27.426580,28.176550,28.855910,28.652750,29.175830,27.649070,30.376230", \ "28.524610,29.696300,29.488740,29.103820,28.629690,28.557360,25.989210", \ "33.604760,34.241080,34.920310,32.800970,32.240980,29.948020,30.171970", \ "45.887610,44.280460,42.959540,42.889500,38.513710,33.865060,33.685870", \ "64.827720,61.516410,59.145380,56.122770,52.860570,44.437130,39.058960", \ "90.707970,86.217030,82.506710,77.289220,70.915860,62.838770,53.130060"); } } } } /****************************************************************************************** Module : INV_X32 Cell Description : Combinational cell (INV_X32) with drive strength X32 *******************************************************************************************/ cell (INV_X32) { drive_strength : 32; area : 8.778000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 459.302800; leakage_power () { when : "!A"; value : 323.273500; } leakage_power () { when : "A"; value : 595.332100; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 49.191468; fall_capacitance : 44.920194; rise_capacitance : 49.191468; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 1923.830000; function : "!A"; timing () { related_pin : "A"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00337003,0.00586042,0.00821697,0.0128670,0.0221196,0.0405973,0.0775345", \ "0.00448647,0.00730718,0.00967158,0.0143348,0.0235990,0.0420827,0.0790235", \ "0.00519680,0.0102664,0.0139944,0.0197845,0.0290959,0.0475282,0.0844446", \ "0.00418680,0.0114903,0.0169464,0.0255650,0.0386697,0.0582753,0.0949900", \ "0.00107567,0.0105975,0.0177798,0.0291793,0.0466946,0.0728838,0.111833", \ "-0.00434240,0.00728871,0.0161959,0.0303881,0.0522590,0.0852423,0.133934", \ "-0.0122076,0.00135366,0.0119400,0.0289226,0.0551651,0.0948568,0.153953"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00523240,0.0102791,0.0150601,0.0244513,0.0430929,0.0802877,0.154611", \ "0.00695124,0.0117448,0.0165206,0.0259626,0.0446739,0.0819202,0.156273", \ "0.0108878,0.0179371,0.0230509,0.0322245,0.0507873,0.0879926,0.162361", \ "0.0157609,0.0253821,0.0328682,0.0447456,0.0634368,0.100216,0.174331", \ "0.0219677,0.0338121,0.0432566,0.0587460,0.0827970,0.120167,0.193608", \ "0.0296666,0.0436063,0.0548058,0.0734314,0.103177,0.148357,0.221518", \ "0.0390891,0.0549404,0.0678271,0.0893281,0.124167,0.178403,0.259237"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00118737,0.00316840,0.00517977,0.00920921,0.0172727,0.0333987,0.0656574", \ "0.00183992,0.00326322,0.00518257,0.00920860,0.0172692,0.0334011,0.0656589", \ "0.00419932,0.00624706,0.00786530,0.0105455,0.0173295,0.0334023,0.0656630", \ "0.00783136,0.0106650,0.0128986,0.0165958,0.0224290,0.0343406,0.0656650", \ "0.0128740,0.0165451,0.0193785,0.0240468,0.0315728,0.0432404,0.0672731", \ "0.0195358,0.0240141,0.0274955,0.0331322,0.0421925,0.0565033,0.0784997", \ "0.0280706,0.0332104,0.0373548,0.0440218,0.0545751,0.0713411,0.0973132"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("0.00262955,0.00699578,0.0114200,0.0202760,0.0379942,0.0734437,0.144310", \ "0.00285804,0.00699846,0.0114186,0.0202756,0.0379925,0.0734429,0.144316", \ "0.00581073,0.00929703,0.0122743,0.0202781,0.0380004,0.0734267,0.144317", \ "0.00919690,0.0142741,0.0181951,0.0242803,0.0384723,0.0734287,0.144308", \ "0.0138880,0.0198874,0.0249927,0.0332512,0.0457523,0.0742899,0.144312", \ "0.0202240,0.0267664,0.0326629,0.0427829,0.0586332,0.0832190,0.144517", \ "0.0285198,0.0352931,0.0417412,0.0532165,0.0721684,0.100761,0.151974"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("-0.001084,-0.001715,-0.002338,-0.003578,-0.006054,-0.011002,-0.020897", \ "-0.002707,-0.003330,-0.003954,-0.005195,-0.007672,-0.012621,-0.022517", \ "-0.008060,-0.008976,-0.009692,-0.010926,-0.013391,-0.018334,-0.028227", \ "13.453060,7.814396,4.123863,0.211203,-0.024376,-0.029286,-0.039154", \ "35.064810,29.312020,23.906320,16.249350,8.434502,3.087897,0.655314", \ "64.300520,59.271190,53.764710,43.585120,29.900580,17.141250,9.104939", \ "101.586200,97.789280,92.945170,82.274230,63.906700,42.465690,24.790900"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,60.119700,120.239000,240.479000,480.957000,961.915000,1923.830000"); values ("54.766280,58.273610,58.901220,59.695710,57.995230,57.003960,57.586860", \ "54.079240,55.479250,56.939190,58.212920,58.585590,54.666650,57.691970", \ "56.498060,58.732550,57.898970,58.189470,58.660440,55.370280,58.947590", \ "66.295940,67.881100,69.752940,66.723750,64.342850,59.068130,57.010950", \ "91.903940,87.501500,85.911130,85.546910,79.715120,73.734050,64.598590", \ "129.498400,122.825000,117.114100,111.461300,104.024400,93.305830,81.858660", \ "179.800800,171.668000,164.195700,153.178500,139.238400,125.843500,105.381500"); } } } } /****************************************************************************************** Module : LOGIC0_X1 Cell Description : Physical cell (LOGIC0_X1) *******************************************************************************************/ cell (LOGIC0_X1) { drive_strength : 1; area : 0.532000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; function : "0"; } } /****************************************************************************************** Module : LOGIC1_X1 Cell Description : Physical cell (LOGIC1_X1) *******************************************************************************************/ cell (LOGIC1_X1) { drive_strength : 1; area : 0.532000; dont_touch : true; dont_use : true; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; function : "1"; } } /****************************************************************************************** Module : MUX2_X1 Cell Description : Combinational cell (MUX2_X1) with drive strength X1 *******************************************************************************************/ cell (MUX2_X1) { drive_strength : 1; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 35.928390; leakage_power () { when : "!A & !B & !S"; value : 27.331590; } leakage_power () { when : "!A & !B & S"; value : 31.523129; } leakage_power () { when : "!A & B & !S"; value : 37.857138; } leakage_power () { when : "!A & B & S"; value : 36.567630; } leakage_power () { when : "A & !B & !S"; value : 32.375970; } leakage_power () { when : "A & !B & S"; value : 42.049117; } leakage_power () { when : "A & B & !S"; value : 37.764562; } leakage_power () { when : "A & B & S"; value : 41.957982; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.946420; fall_capacitance : 0.907039; rise_capacitance : 0.946420; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.944775; fall_capacitance : 0.899702; rise_capacitance : 0.944775; } pin (S) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.919942; fall_capacitance : 1.805502; rise_capacitance : 1.919942; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.501100; function : "((S & B) | (A & !S))"; timing () { related_pin : "A"; when : "!B & !S"; sdf_cond : "(B == 1'b0) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.050207,0.054969,0.059691,0.067400,0.079942,0.101076,0.139177", \ "0.051853,0.056618,0.061339,0.069049,0.081592,0.102725,0.140825", \ "0.057215,0.061980,0.066699,0.074406,0.086947,0.108085,0.146187", \ "0.066495,0.071232,0.075932,0.083627,0.096164,0.117303,0.155417", \ "0.079655,0.084522,0.089356,0.097213,0.109923,0.131169,0.169311", \ "0.095082,0.100200,0.105279,0.113521,0.126743,0.148557,0.187037", \ "0.113214,0.118602,0.123943,0.132554,0.146342,0.168781,0.207757"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.028946,0.034118,0.039547,0.049363,0.067931,0.104443,0.177173", \ "0.030195,0.035365,0.040795,0.050611,0.069179,0.105697,0.178421", \ "0.033948,0.039115,0.044537,0.054339,0.072899,0.109410,0.182142", \ "0.039999,0.045259,0.050728,0.060546,0.079075,0.115565,0.188293", \ "0.046037,0.051536,0.057146,0.067044,0.085571,0.122025,0.194724", \ "0.050530,0.056437,0.062337,0.072435,0.090938,0.127291,0.199955", \ "0.052867,0.059234,0.065597,0.076052,0.094672,0.130961,0.203527"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.008479,0.010325,0.012410,0.016267,0.023536,0.037888,0.067758", \ "0.008480,0.010325,0.012410,0.016267,0.023535,0.037887,0.067760", \ "0.008480,0.010325,0.012412,0.016269,0.023537,0.037887,0.067759", \ "0.008495,0.010349,0.012442,0.016296,0.023555,0.037897,0.067762", \ "0.009510,0.011282,0.013288,0.017006,0.024036,0.038147,0.067853", \ "0.010820,0.012595,0.014605,0.018326,0.025322,0.039175,0.068330", \ "0.012303,0.014073,0.016078,0.019802,0.026751,0.040398,0.069166"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.006196,0.009412,0.013284,0.021248,0.037936,0.072246,0.141277", \ "0.006194,0.009410,0.013287,0.021247,0.037938,0.072250,0.141281", \ "0.006202,0.009418,0.013290,0.021254,0.037940,0.072250,0.141277", \ "0.006519,0.009708,0.013527,0.021394,0.037995,0.072256,0.141279", \ "0.007257,0.010388,0.014084,0.021766,0.038189,0.072350,0.141285", \ "0.008405,0.011541,0.015069,0.022400,0.038460,0.072469,0.141363", \ "0.009783,0.013058,0.016548,0.023479,0.038992,0.072662,0.141498"); } } timing () { related_pin : "A"; when : "B & !S"; sdf_cond : "(B == 1'b1) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.050208,0.054972,0.059691,0.067400,0.079943,0.101077,0.139178", \ "0.051854,0.056619,0.061339,0.069050,0.081593,0.102726,0.140829", \ "0.057217,0.061981,0.066700,0.074407,0.086948,0.108086,0.146188", \ "0.066496,0.071232,0.075933,0.083628,0.096165,0.117304,0.155418", \ "0.079657,0.084523,0.089357,0.097214,0.109924,0.131170,0.169312", \ "0.095084,0.100203,0.105281,0.113522,0.126745,0.148558,0.187039", \ "0.113216,0.118604,0.123945,0.132556,0.146345,0.168783,0.207760"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.028948,0.034120,0.039549,0.049365,0.067933,0.104445,0.177175", \ "0.030197,0.035367,0.040797,0.050612,0.069180,0.105698,0.178422", \ "0.033948,0.039115,0.044537,0.054339,0.072896,0.109407,0.182142", \ "0.039996,0.045257,0.050726,0.060544,0.079072,0.115565,0.188292", \ "0.046036,0.051535,0.057144,0.067042,0.085570,0.122023,0.194722", \ "0.050528,0.056435,0.062335,0.072432,0.090940,0.127292,0.199956", \ "0.052863,0.059230,0.065594,0.076048,0.094669,0.130957,0.203524"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.008479,0.010324,0.012410,0.016267,0.023536,0.037887,0.067758", \ "0.008480,0.010325,0.012411,0.016267,0.023535,0.037887,0.067759", \ "0.008480,0.010325,0.012412,0.016269,0.023537,0.037888,0.067759", \ "0.008495,0.010349,0.012439,0.016295,0.023555,0.037897,0.067762", \ "0.009510,0.011282,0.013288,0.017006,0.024036,0.038147,0.067853", \ "0.010820,0.012595,0.014605,0.018326,0.025322,0.039175,0.068330", \ "0.012303,0.014073,0.016078,0.019802,0.026751,0.040398,0.069166"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.006196,0.009412,0.013284,0.021248,0.037936,0.072245,0.141277", \ "0.006196,0.009411,0.013287,0.021247,0.037938,0.072248,0.141281", \ "0.006202,0.009417,0.013290,0.021253,0.037937,0.072255,0.141274", \ "0.006521,0.009708,0.013527,0.021395,0.037990,0.072258,0.141276", \ "0.007258,0.010388,0.014083,0.021766,0.038189,0.072349,0.141285", \ "0.008404,0.011541,0.015070,0.022400,0.038456,0.072469,0.141362", \ "0.009783,0.013059,0.016549,0.023479,0.038986,0.072661,0.141498"); } } timing () { related_pin : "B"; when : "!A & S"; sdf_cond : "(A == 1'b0) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.050584,0.055344,0.060063,0.067775,0.080323,0.101465,0.139574", \ "0.052216,0.056976,0.061697,0.069409,0.081959,0.103100,0.141210", \ "0.057517,0.062275,0.066994,0.074704,0.087253,0.108395,0.146505", \ "0.066728,0.071459,0.076162,0.083859,0.096403,0.117552,0.155672", \ "0.079826,0.084704,0.089534,0.097409,0.110121,0.131373,0.169521", \ "0.095215,0.100344,0.105414,0.113670,0.126906,0.148723,0.187210", \ "0.113317,0.118705,0.124040,0.132679,0.146432,0.168874,0.207858"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.028211,0.033372,0.038794,0.048599,0.067157,0.103661,0.176389", \ "0.029503,0.034664,0.040087,0.049892,0.068451,0.104958,0.177689", \ "0.033488,0.038643,0.044057,0.053844,0.072392,0.108895,0.181630", \ "0.039763,0.045014,0.050475,0.060280,0.078797,0.115276,0.188013", \ "0.045898,0.051398,0.057003,0.066890,0.085403,0.121852,0.194552", \ "0.050406,0.056323,0.062225,0.072313,0.090836,0.127181,0.199838", \ "0.052742,0.059120,0.065492,0.075974,0.094598,0.130880,0.203451"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.008470,0.010321,0.012409,0.016275,0.023546,0.037901,0.067771", \ "0.008469,0.010319,0.012409,0.016275,0.023546,0.037900,0.067771", \ "0.008469,0.010319,0.012410,0.016276,0.023548,0.037901,0.067771", \ "0.008488,0.010348,0.012442,0.016303,0.023567,0.037911,0.067773", \ "0.009496,0.011275,0.013283,0.017007,0.024044,0.038158,0.067861", \ "0.010805,0.012583,0.014598,0.018326,0.025327,0.039184,0.068338", \ "0.012282,0.014054,0.016066,0.019793,0.026753,0.040406,0.069175"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.006155,0.009375,0.013249,0.021213,0.037929,0.072244,0.141266", \ "0.006154,0.009373,0.013248,0.021213,0.037920,0.072243,0.141278", \ "0.006158,0.009381,0.013255,0.021221,0.037928,0.072240,0.141270", \ "0.006494,0.009680,0.013501,0.021366,0.037972,0.072269,0.141270", \ "0.007260,0.010383,0.014069,0.021739,0.038175,0.072337,0.141286", \ "0.008423,0.011554,0.015072,0.022387,0.038434,0.072464,0.141365", \ "0.009801,0.013085,0.016561,0.023467,0.038967,0.072656,0.141499"); } } timing () { related_pin : "B"; when : "A & S"; sdf_cond : "(A == 1'b1) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.050585,0.055345,0.060063,0.067775,0.080324,0.101466,0.139575", \ "0.052217,0.056978,0.061697,0.069410,0.081960,0.103101,0.141212", \ "0.057518,0.062275,0.066993,0.074705,0.087254,0.108396,0.146506", \ "0.066730,0.071460,0.076162,0.083860,0.096404,0.117553,0.155674", \ "0.079829,0.084705,0.089535,0.097410,0.110123,0.131374,0.169523", \ "0.095217,0.100346,0.105416,0.113672,0.126908,0.148725,0.187212", \ "0.113319,0.118707,0.124043,0.132681,0.146432,0.168902,0.207886"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.028210,0.033372,0.038794,0.048598,0.067158,0.103659,0.176392", \ "0.029502,0.034663,0.040086,0.049891,0.068449,0.104955,0.177687", \ "0.033488,0.038643,0.044057,0.053846,0.072392,0.108894,0.181634", \ "0.039762,0.045014,0.050474,0.060279,0.078797,0.115275,0.188013", \ "0.045897,0.051397,0.057001,0.066888,0.085402,0.121850,0.194550", \ "0.050404,0.056321,0.062223,0.072312,0.090834,0.127179,0.199835", \ "0.052739,0.059117,0.065489,0.075971,0.094571,0.130854,0.203417"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.008469,0.010321,0.012409,0.016274,0.023546,0.037901,0.067771", \ "0.008469,0.010321,0.012409,0.016275,0.023546,0.037901,0.067771", \ "0.008470,0.010318,0.012411,0.016276,0.023548,0.037902,0.067771", \ "0.008488,0.010348,0.012440,0.016303,0.023567,0.037911,0.067773", \ "0.009497,0.011275,0.013284,0.017007,0.024044,0.038158,0.067861", \ "0.010805,0.012583,0.014598,0.018325,0.025326,0.039184,0.068338", \ "0.012282,0.014054,0.016066,0.019793,0.026753,0.040405,0.069174"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.006155,0.009373,0.013248,0.021213,0.037928,0.072245,0.141265", \ "0.006153,0.009373,0.013248,0.021214,0.037917,0.072245,0.141276", \ "0.006158,0.009381,0.013255,0.021221,0.037919,0.072244,0.141271", \ "0.006497,0.009681,0.013501,0.021365,0.037969,0.072269,0.141284", \ "0.007258,0.010383,0.014068,0.021739,0.038175,0.072337,0.141286", \ "0.008422,0.011554,0.015072,0.022388,0.038434,0.072464,0.141365", \ "0.009801,0.013084,0.016561,0.023469,0.038964,0.072650,0.141494"); } } timing () { related_pin : "S"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.040426,0.045207,0.049938,0.057655,0.070194,0.091322,0.129422", \ "0.041190,0.045968,0.050700,0.058416,0.070957,0.092085,0.130185", \ "0.046045,0.050807,0.055528,0.063232,0.075768,0.096899,0.135002", \ "0.057668,0.062362,0.067038,0.074714,0.087244,0.108381,0.146486", \ "0.072972,0.078046,0.083023,0.091056,0.103940,0.125268,0.163418", \ "0.089535,0.095016,0.100412,0.109029,0.122570,0.144551,0.183187", \ "0.108000,0.113836,0.119635,0.128906,0.143269,0.165994,0.205026"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.023543,0.028721,0.034168,0.044002,0.062573,0.099076,0.171800", \ "0.024930,0.030107,0.035552,0.045385,0.063960,0.100464,0.173187", \ "0.030056,0.035190,0.040591,0.050375,0.068919,0.105427,0.178162", \ "0.037274,0.042619,0.048097,0.057896,0.076330,0.112740,0.185436", \ "0.042650,0.048558,0.054355,0.064254,0.082668,0.119018,0.191582", \ "0.045796,0.052305,0.058760,0.069159,0.087589,0.123729,0.196255", \ "0.046366,0.053418,0.060591,0.071986,0.090801,0.126867,0.199197"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.008391,0.010245,0.012339,0.016215,0.023502,0.037872,0.067754", \ "0.008379,0.010236,0.012332,0.016210,0.023499,0.037870,0.067753", \ "0.008345,0.010217,0.012323,0.016207,0.023498,0.037869,0.067754", \ "0.008502,0.010354,0.012451,0.016313,0.023572,0.037911,0.067768", \ "0.010685,0.012329,0.014205,0.017758,0.024568,0.038401,0.067931", \ "0.012937,0.014619,0.016478,0.019877,0.026389,0.039860,0.068693", \ "0.015250,0.016999,0.018924,0.022352,0.028628,0.041405,0.069733"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.006121,0.009343,0.013223,0.021201,0.037918,0.072241,0.141272", \ "0.006123,0.009347,0.013223,0.021198,0.037913,0.072250,0.141280", \ "0.006132,0.009363,0.013242,0.021214,0.037916,0.072256,0.141267", \ "0.007151,0.010125,0.013817,0.021531,0.037997,0.072243,0.141273", \ "0.008801,0.011697,0.014983,0.022189,0.038394,0.072410,0.141268", \ "0.010817,0.013886,0.017086,0.023525,0.038845,0.072661,0.141431", \ "0.013209,0.016390,0.019853,0.025906,0.039966,0.073015,0.141688"); } } timing () { related_pin : "S"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.050893,0.055650,0.060362,0.068066,0.080608,0.101747,0.139857", \ "0.052415,0.057176,0.061892,0.069598,0.082141,0.103281,0.141392", \ "0.055871,0.060631,0.065352,0.073062,0.085611,0.106753,0.144862", \ "0.058506,0.063279,0.068002,0.075714,0.088261,0.109400,0.147508", \ "0.060156,0.064845,0.069520,0.077182,0.089676,0.110789,0.148959", \ "0.060477,0.065163,0.069846,0.077525,0.090022,0.111132,0.149187", \ "0.059294,0.063997,0.068688,0.076324,0.088803,0.109953,0.148074"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.040602,0.045770,0.051187,0.060974,0.079511,0.116006,0.188743", \ "0.042102,0.047268,0.052684,0.062473,0.081010,0.117513,0.190243", \ "0.048704,0.053871,0.059287,0.069076,0.087616,0.124109,0.196850", \ "0.058812,0.063994,0.069416,0.079207,0.097742,0.134233,0.206974", \ "0.069977,0.075134,0.080541,0.090314,0.108860,0.145399,0.218144", \ "0.082687,0.087880,0.093291,0.103059,0.121564,0.158045,0.230846", \ "0.097226,0.102488,0.107921,0.117681,0.136166,0.172650,0.245388"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.008466,0.010321,0.012411,0.016277,0.023551,0.037903,0.067772", \ "0.008466,0.010320,0.012411,0.016278,0.023549,0.037902,0.067771", \ "0.008466,0.010320,0.012410,0.016277,0.023548,0.037902,0.067770", \ "0.008391,0.010255,0.012358,0.016237,0.023523,0.037886,0.067765", \ "0.008174,0.010070,0.012199,0.016105,0.023411,0.037879,0.067832", \ "0.008237,0.010132,0.012261,0.016166,0.023466,0.037819,0.067690", \ "0.008363,0.010254,0.012379,0.016275,0.023561,0.037906,0.067742"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("0.006234,0.009440,0.013309,0.021263,0.037944,0.072247,0.141281", \ "0.006234,0.009439,0.013309,0.021259,0.037943,0.072253,0.141278", \ "0.006236,0.009442,0.013309,0.021259,0.037950,0.072249,0.141268", \ "0.006289,0.009480,0.013334,0.021274,0.037943,0.072268,0.141274", \ "0.006313,0.009491,0.013341,0.021282,0.038005,0.072309,0.141286", \ "0.006480,0.009611,0.013425,0.021332,0.037975,0.072323,0.141360", \ "0.006775,0.009833,0.013584,0.021435,0.038047,0.072297,0.141352"); } } internal_power () { related_pin : "A"; when : "!B & !S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("3.378395,3.440498,3.528208,3.680466,3.884254,4.008570,4.054212", \ "3.363747,3.423626,3.516392,3.666212,3.860843,3.989691,4.038042", \ "3.343068,3.401348,3.488888,3.651713,3.832559,3.964632,4.009451", \ "3.373912,3.409444,3.495680,3.647516,3.832543,3.960126,4.010050", \ "3.531697,3.564744,3.637170,3.760756,3.935247,4.056310,4.101896", \ "3.799327,3.799080,3.821360,3.944288,4.145666,4.292745,4.333635", \ "4.269389,4.232066,4.239718,4.306935,4.466550,4.639876,4.727221"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.333769,2.502553,2.590879,2.657365,2.702031,2.566784,2.543799", \ "2.342023,2.476038,2.546662,2.599364,2.633402,2.551287,2.529341", \ "2.333396,2.454628,2.541754,2.591982,2.654192,2.573859,2.472627", \ "2.370589,2.487809,2.574317,2.565917,2.598892,2.685794,2.492901", \ "2.561974,2.692523,2.715519,2.732658,2.680903,2.641378,2.631979", \ "2.870064,3.002717,3.060904,3.057097,2.928495,2.945171,2.949562", \ "3.283900,3.452676,3.529274,3.493777,3.444726,3.298357,3.264726"); } } internal_power () { related_pin : "A"; when : "B & !S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("3.375065,3.440505,3.536581,3.680396,3.884168,4.006619,4.054141", \ "3.364043,3.424023,3.511423,3.666118,3.861560,3.988088,4.035481", \ "3.343460,3.401423,3.489407,3.651712,3.832396,3.964490,4.009188", \ "3.373986,3.420941,3.486428,3.651584,3.832538,3.959952,4.009779", \ "3.531648,3.564764,3.637111,3.760607,3.935131,4.056111,4.101587", \ "3.799450,3.798662,3.821524,3.944239,4.145527,4.292520,4.333280", \ "4.270263,4.231917,4.239658,4.306675,4.466382,4.639646,4.726863"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.333662,2.502565,2.590747,2.657074,2.701739,2.564152,2.541183", \ "2.353051,2.472496,2.546749,2.598986,2.633128,2.511998,2.531163", \ "2.333164,2.464600,2.541709,2.545400,2.653815,2.653566,2.472577", \ "2.370169,2.507695,2.574147,2.565560,2.598652,2.433421,2.551218", \ "2.561637,2.692436,2.715012,2.733957,2.680792,2.641527,2.632198", \ "2.868819,2.997301,3.060784,3.056309,2.963550,2.911331,2.828051", \ "3.293826,3.451927,3.529342,3.498075,3.488010,3.298663,3.265090"); } } internal_power () { related_pin : "B"; when : "!A & S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("3.634612,3.694093,3.793903,3.993301,4.203417,4.347548,4.400494", \ "3.610652,3.678437,3.781688,3.974644,4.183620,4.328364,4.381657", \ "3.575497,3.660012,3.765049,3.946243,4.157559,4.304830,4.361398", \ "3.608579,3.664236,3.763071,3.941069,4.155029,4.300811,4.358072", \ "3.753128,3.824563,3.893633,4.052628,4.255613,4.394988,4.445367", \ "4.025342,4.043504,4.087645,4.236367,4.461373,4.625180,4.679091", \ "4.492659,4.493718,4.491758,4.587545,4.773522,4.969722,5.068600"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.068049,2.191562,2.242784,2.293585,2.281395,2.273502,2.211796", \ "2.038820,2.136754,2.226091,2.213134,2.325080,2.325882,2.146164", \ "2.007039,2.145389,2.202946,2.209004,2.261040,2.328675,2.141809", \ "2.066836,2.172597,2.230813,2.232058,2.290511,2.236680,2.257840", \ "2.250755,2.370058,2.389703,2.381299,2.339325,2.335717,2.281221", \ "2.590532,2.685475,2.726429,2.700454,2.560835,2.492574,2.473153", \ "3.049406,3.136343,3.169046,3.168793,3.120893,2.985255,3.021884"); } } internal_power () { related_pin : "B"; when : "A & S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("3.634631,3.693919,3.808165,3.993637,4.203711,4.347402,4.400412", \ "3.610547,3.676842,3.789231,3.974593,4.183516,4.328231,4.381422", \ "3.574019,3.656319,3.764116,3.946023,4.157421,4.304635,4.360738", \ "3.608494,3.664131,3.755291,3.941676,4.154907,4.300671,4.357776", \ "3.752935,3.824371,3.893543,4.053223,4.255463,4.394777,4.445050", \ "4.024989,4.043396,4.087494,4.236143,4.461178,4.624910,4.678718", \ "4.492493,4.474369,4.491483,4.588114,4.773182,4.970491,5.068943"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.068110,2.191688,2.225137,2.293141,2.316228,2.260747,2.212132", \ "2.055069,2.151601,2.217439,2.203001,2.317987,2.285795,2.221341", \ "2.007079,2.145417,2.203535,2.210831,2.293649,2.331432,2.215803", \ "2.049970,2.172074,2.230961,2.234015,2.264260,2.237695,2.101263", \ "2.267332,2.370028,2.392390,2.381418,2.341440,2.335585,2.281421", \ "2.595384,2.685694,2.725665,2.702443,2.557207,2.492766,2.473390", \ "3.051573,3.136529,3.171970,3.134857,3.024500,2.955832,2.910639"); } } internal_power () { related_pin : "S"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("4.683910,4.750695,4.848526,5.018275,5.207239,5.334919,5.376322", \ "4.613705,4.676391,4.765428,4.921450,5.125344,5.251158,5.296483", \ "4.637731,4.704895,4.793953,4.956332,5.146757,5.282074,5.325349", \ "4.988487,5.041063,5.123429,5.262269,5.451485,5.580108,5.626912", \ "5.717723,5.750963,5.822113,5.937157,6.106208,6.213195,6.252703", \ "6.798194,6.761214,6.765817,6.840971,7.064342,7.225250,7.254056", \ "8.307558,8.255884,8.223313,8.239386,8.376936,8.574129,8.694594"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.110873,2.220539,2.267509,2.315469,2.330671,2.321662,2.290311", \ "2.067284,2.195981,2.236487,2.323500,2.274926,2.301272,2.232568", \ "2.111010,2.192189,2.261927,2.305051,2.338122,2.291737,2.448157", \ "2.459472,2.535509,2.555882,2.548598,2.539969,2.598054,2.521526", \ "3.231079,3.289369,3.295941,3.204988,3.228734,3.090694,3.105911", \ "4.374063,4.450982,4.498363,4.450955,4.287080,4.031571,3.980716", \ "5.783362,5.875124,6.000205,6.016619,5.956595,5.826790,5.586354"); } } internal_power () { related_pin : "S"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("2.917148,3.004224,3.095050,3.269743,3.503279,3.644119,3.698150", \ "2.898148,2.982768,3.077907,3.268137,3.477876,3.623933,3.677158", \ "2.871292,2.947089,3.062514,3.242655,3.453246,3.598149,3.654985", \ "2.982350,3.059830,3.173570,3.356429,3.581017,3.724379,3.779376", \ "3.386368,3.452986,3.546131,3.706912,3.929426,4.086145,4.143210", \ "4.053092,4.120536,4.228142,4.398980,4.619430,4.718942,4.777007", \ "4.825914,4.947845,5.074067,5.301431,5.552252,5.693400,5.697120"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.890660,3.781320,7.562640,15.125300,30.250500,60.501100"); values ("4.841196,4.988464,5.061137,5.105744,5.118421,5.201428,5.214423", \ "4.799676,4.939744,4.999932,5.069975,5.122004,4.987625,5.108311", \ "4.853664,4.995101,5.057192,5.097083,5.044146,5.178694,5.014408", \ "5.058344,5.219893,5.254960,5.314870,5.327560,5.347808,5.259579", \ "5.353985,5.558690,5.658934,5.736732,5.750871,5.758283,5.794808", \ "5.827947,6.027188,6.141912,6.228877,6.332715,6.417873,6.216030", \ "6.560814,6.767802,6.875420,7.003241,7.057625,7.315817,7.076484"); } } } } /****************************************************************************************** Module : MUX2_X2 Cell Description : Combinational cell (MUX2_X2) with drive strength X2 *******************************************************************************************/ cell (MUX2_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 68.648566; leakage_power () { when : "!A & !B & !S"; value : 70.471170; } leakage_power () { when : "!A & !B & S"; value : 53.956705; } leakage_power () { when : "!A & B & !S"; value : 91.784550; } leakage_power () { when : "!A & B & S"; value : 66.756140; } leakage_power () { when : "A & !B & !S"; value : 57.859120; } leakage_power () { when : "A & !B & S"; value : 54.561377; } leakage_power () { when : "A & B & !S"; value : 72.424660; } leakage_power () { when : "A & B & S"; value : 81.374810; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.592289; fall_capacitance : 1.556580; rise_capacitance : 1.592289; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.735083; fall_capacitance : 1.478441; rise_capacitance : 1.735083; } pin (S) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.624002; fall_capacitance : 2.522791; rise_capacitance : 2.624002; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "((S & B) | (A & !S))"; timing () { related_pin : "A"; when : "!B & !S"; sdf_cond : "(B == 1'b0) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.040439,0.045307,0.049588,0.056693,0.068524,0.088945,0.126508", \ "0.041996,0.046864,0.051146,0.058251,0.070084,0.090507,0.128069", \ "0.047795,0.052655,0.056930,0.064033,0.075866,0.096291,0.133856", \ "0.058405,0.063233,0.067497,0.074595,0.086438,0.106878,0.144451", \ "0.071256,0.076450,0.081009,0.088497,0.100771,0.121477,0.159126", \ "0.085048,0.090623,0.095534,0.103579,0.116575,0.138106,0.176265", \ "0.100588,0.106575,0.111862,0.120518,0.134388,0.156877,0.195706"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029511,0.035457,0.040938,0.050822,0.069462,0.106022,0.178746", \ "0.030777,0.036723,0.042204,0.052088,0.070729,0.107289,0.180014", \ "0.035735,0.041677,0.047151,0.057019,0.075642,0.112202,0.184937", \ "0.044825,0.050805,0.056273,0.066076,0.084597,0.121075,0.193782", \ "0.052882,0.059282,0.064893,0.074743,0.093230,0.129628,0.202239", \ "0.058947,0.065910,0.071943,0.081956,0.100329,0.136585,0.209157", \ "0.062973,0.070437,0.077038,0.087615,0.105968,0.142013,0.214450"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006305,0.008417,0.010537,0.014483,0.021904,0.036499,0.066761", \ "0.006306,0.008417,0.010539,0.014484,0.021903,0.036500,0.066761", \ "0.006305,0.008419,0.010541,0.014488,0.021905,0.036501,0.066761", \ "0.006474,0.008547,0.010648,0.014566,0.021955,0.036526,0.066768", \ "0.007900,0.009925,0.011965,0.015747,0.022842,0.036979,0.066904", \ "0.009577,0.011623,0.013695,0.017505,0.024565,0.038390,0.067561", \ "0.011472,0.013555,0.015671,0.019538,0.026589,0.040109,0.068621"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006028,0.009675,0.013556,0.021507,0.038156,0.072382,0.141298", \ "0.006027,0.009674,0.013555,0.021505,0.038153,0.072392,0.141302", \ "0.006018,0.009668,0.013553,0.021507,0.038156,0.072391,0.141295", \ "0.006470,0.009982,0.013772,0.021609,0.038179,0.072387,0.141297", \ "0.007739,0.011033,0.014528,0.022074,0.038458,0.072477,0.141319", \ "0.009251,0.012647,0.015897,0.022820,0.038699,0.072720,0.141432", \ "0.010972,0.014517,0.017832,0.024190,0.039222,0.072828,0.141645"); } } timing () { related_pin : "A"; when : "B & !S"; sdf_cond : "(B == 1'b1) && (S == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.047412,0.052600,0.057152,0.064646,0.076951,0.097873,0.135810", \ "0.048882,0.054071,0.058625,0.066119,0.078426,0.099349,0.137287", \ "0.054374,0.059556,0.064103,0.071594,0.083900,0.104824,0.142763", \ "0.063903,0.069048,0.073583,0.081060,0.093369,0.114310,0.152258", \ "0.075910,0.081295,0.086013,0.093748,0.106340,0.127448,0.165454", \ "0.089290,0.094958,0.099956,0.108136,0.121312,0.143129,0.181578", \ "0.104989,0.110983,0.116284,0.124952,0.138844,0.161451,0.200538"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.027542,0.033496,0.038994,0.048907,0.067583,0.104168,0.176905", \ "0.028784,0.034738,0.040234,0.050147,0.068824,0.105409,0.178144", \ "0.033788,0.039725,0.045205,0.055091,0.073747,0.110331,0.183076", \ "0.042543,0.048529,0.054001,0.063817,0.082357,0.118852,0.191574", \ "0.049881,0.056327,0.061957,0.071816,0.090306,0.126729,0.199349", \ "0.055105,0.062127,0.068220,0.078280,0.096637,0.132895,0.205486", \ "0.058149,0.065675,0.072358,0.083040,0.101406,0.137463,0.209887"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007577,0.009689,0.011810,0.015728,0.023094,0.037567,0.067528", \ "0.007580,0.009689,0.011809,0.015728,0.023094,0.037566,0.067528", \ "0.007578,0.009691,0.011812,0.015731,0.023096,0.037568,0.067529", \ "0.007640,0.009749,0.011868,0.015776,0.023125,0.037583,0.067534", \ "0.008808,0.010841,0.012893,0.016683,0.023780,0.037918,0.067645", \ "0.010254,0.012282,0.014347,0.018154,0.025249,0.039164,0.068258", \ "0.011976,0.014007,0.016086,0.019916,0.026992,0.040675,0.069279"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006018,0.009680,0.013575,0.021545,0.038196,0.072412,0.141310", \ "0.006017,0.009678,0.013573,0.021542,0.038197,0.072419,0.141317", \ "0.005985,0.009653,0.013555,0.021533,0.038189,0.072420,0.141304", \ "0.006539,0.010026,0.013814,0.021648,0.038219,0.072410,0.141303", \ "0.007886,0.011161,0.014612,0.022115,0.038508,0.072526,0.141317", \ "0.009480,0.012875,0.016098,0.022932,0.038745,0.072745,0.141461", \ "0.011307,0.014841,0.018165,0.024436,0.039323,0.072882,0.141678"); } } timing () { related_pin : "B"; when : "!A & S"; sdf_cond : "(A == 1'b0) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.033507,0.038268,0.042406,0.049224,0.060565,0.080390,0.117564", \ "0.034741,0.039504,0.043643,0.050461,0.061803,0.081627,0.118801", \ "0.040443,0.045192,0.049322,0.056135,0.067478,0.087307,0.124486", \ "0.052566,0.057273,0.061377,0.068179,0.079529,0.099371,0.136554", \ "0.067237,0.072414,0.076867,0.084080,0.095818,0.115890,0.153096", \ "0.082891,0.088523,0.093390,0.101168,0.113500,0.134062,0.171600", \ "0.100103,0.106171,0.111431,0.119833,0.132902,0.154085,0.191827"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024151,0.029817,0.035116,0.044793,0.063237,0.099634,0.172213", \ "0.025543,0.031208,0.036506,0.046184,0.064631,0.101032,0.173608", \ "0.029409,0.035053,0.040330,0.049982,0.068417,0.104826,0.177414", \ "0.034896,0.040713,0.046065,0.055763,0.074169,0.110540,0.183131", \ "0.039844,0.046021,0.051545,0.061332,0.079750,0.116114,0.188654", \ "0.043025,0.049759,0.055669,0.065746,0.084252,0.120542,0.193082", \ "0.043992,0.051294,0.057760,0.068386,0.087230,0.123647,0.196145"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006252,0.008226,0.010208,0.013927,0.021115,0.035732,0.066417", \ "0.006254,0.008225,0.010208,0.013927,0.021114,0.035733,0.066417", \ "0.006251,0.008231,0.010217,0.013934,0.021119,0.035735,0.066417", \ "0.006658,0.008496,0.010424,0.014084,0.021210,0.035775,0.066427", \ "0.008580,0.010317,0.012080,0.015458,0.022194,0.036259,0.066543", \ "0.010576,0.012342,0.014093,0.017338,0.023712,0.037344,0.067123", \ "0.012644,0.014447,0.016234,0.019480,0.025607,0.038582,0.067766"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005448,0.009050,0.012948,0.020984,0.037782,0.072141,0.141101", \ "0.005449,0.009050,0.012948,0.020986,0.037788,0.072147,0.141101", \ "0.005463,0.009065,0.012961,0.020991,0.037787,0.072148,0.141103", \ "0.005941,0.009485,0.013307,0.021201,0.037838,0.072134,0.141106", \ "0.006863,0.010317,0.013965,0.021621,0.038083,0.072229,0.141100", \ "0.008178,0.011707,0.015182,0.022449,0.038461,0.072414,0.141200", \ "0.009729,0.013465,0.016965,0.023841,0.039314,0.072837,0.141390"); } } timing () { related_pin : "B"; when : "A & S"; sdf_cond : "(A == 1'b1) && (S == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.048245,0.053709,0.058449,0.066202,0.078844,0.100141,0.138412", \ "0.049276,0.054740,0.059480,0.067234,0.079877,0.101175,0.139446", \ "0.054351,0.059811,0.064546,0.072294,0.084934,0.106233,0.144506", \ "0.065871,0.071308,0.076022,0.083748,0.096378,0.117686,0.155970", \ "0.083526,0.089096,0.093890,0.101710,0.114398,0.135738,0.174044", \ "0.102696,0.108714,0.113883,0.122135,0.135275,0.157065,0.195629", \ "0.123481,0.129948,0.135522,0.144351,0.158094,0.180377,0.219273"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.025178,0.031318,0.036890,0.046831,0.065419,0.101830,0.174398", \ "0.026616,0.032755,0.038327,0.048269,0.066858,0.103268,0.175845", \ "0.030552,0.036673,0.042225,0.052144,0.070725,0.107145,0.179724", \ "0.036218,0.042510,0.048144,0.058114,0.076667,0.113052,0.185633", \ "0.041389,0.048043,0.053883,0.063997,0.082604,0.118980,0.191496", \ "0.044698,0.051902,0.058148,0.068618,0.087360,0.123663,0.196163", \ "0.045547,0.053266,0.060088,0.071188,0.090326,0.126729,0.199151"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008557,0.010602,0.012665,0.016522,0.023822,0.038201,0.067999", \ "0.008560,0.010599,0.012665,0.016521,0.023821,0.038201,0.068001", \ "0.008559,0.010601,0.012666,0.016524,0.023824,0.038202,0.068002", \ "0.008540,0.010601,0.012678,0.016542,0.023840,0.038210,0.068004", \ "0.009860,0.011689,0.013581,0.017194,0.024240,0.038424,0.068081", \ "0.012027,0.013819,0.015606,0.019003,0.025703,0.039498,0.068576", \ "0.014222,0.016060,0.017854,0.021146,0.027487,0.040685,0.069494"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006185,0.009716,0.013539,0.021403,0.037951,0.072165,0.141110", \ "0.006184,0.009716,0.013540,0.021405,0.037953,0.072162,0.141097", \ "0.006195,0.009730,0.013553,0.021413,0.037951,0.072172,0.141099", \ "0.006729,0.010189,0.013931,0.021643,0.038023,0.072159,0.141103", \ "0.007797,0.011139,0.014711,0.022181,0.038330,0.072264,0.141098", \ "0.009357,0.012688,0.016099,0.023157,0.038787,0.072460,0.141197", \ "0.011201,0.014616,0.018069,0.024755,0.039734,0.072878,0.141377"); } } timing () { related_pin : "S"; when : "!A & B"; sdf_cond : "(A == 1'b0) && (B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.032687,0.037860,0.042393,0.049847,0.062103,0.082991,0.120916", \ "0.033764,0.038933,0.043464,0.050916,0.063172,0.084060,0.121986", \ "0.039110,0.044254,0.048764,0.056202,0.068452,0.089338,0.127267", \ "0.050785,0.055880,0.060349,0.067756,0.080008,0.100905,0.138836", \ "0.064492,0.070041,0.074856,0.082680,0.095346,0.116567,0.154585", \ "0.079304,0.085310,0.090544,0.098968,0.112264,0.133997,0.172538", \ "0.095752,0.102193,0.107860,0.116994,0.131211,0.153730,0.192596"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022847,0.028512,0.033809,0.043482,0.061923,0.098314,0.170896", \ "0.024228,0.029892,0.035188,0.044860,0.063301,0.099695,0.172273", \ "0.029319,0.034946,0.040207,0.049837,0.068254,0.104654,0.177248", \ "0.036148,0.042014,0.047355,0.057024,0.075356,0.111670,0.184236", \ "0.040958,0.047435,0.053036,0.062747,0.081059,0.117358,0.189823", \ "0.043551,0.050687,0.056907,0.067064,0.085360,0.121464,0.193937", \ "0.043727,0.051433,0.058364,0.069475,0.088142,0.124201,0.196495"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007297,0.009441,0.011591,0.015555,0.022974,0.037502,0.067505", \ "0.007281,0.009428,0.011580,0.015546,0.022968,0.037499,0.067504", \ "0.007231,0.009396,0.011557,0.015529,0.022955,0.037489,0.067501", \ "0.007837,0.009788,0.011839,0.015727,0.023081,0.037556,0.067523", \ "0.010025,0.011860,0.013740,0.017309,0.024279,0.038237,0.067744", \ "0.012365,0.014208,0.016069,0.019468,0.025997,0.039568,0.068633", \ "0.014975,0.016843,0.018737,0.022151,0.028396,0.041193,0.069575"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005446,0.009050,0.012947,0.020983,0.037779,0.072133,0.141088", \ "0.005450,0.009051,0.012947,0.020984,0.037780,0.072126,0.141090", \ "0.005468,0.009073,0.012971,0.021000,0.037782,0.072133,0.141093", \ "0.006426,0.009771,0.013510,0.021313,0.037858,0.072142,0.141086", \ "0.007938,0.011184,0.014516,0.021869,0.038238,0.072297,0.141085", \ "0.009765,0.013241,0.016447,0.023056,0.038628,0.072557,0.141282", \ "0.012003,0.015631,0.019099,0.025272,0.039705,0.072934,0.141539"); } } timing () { related_pin : "S"; when : "A & !B"; sdf_cond : "(A == 1'b1) && (B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.064223,0.069681,0.074411,0.082150,0.094781,0.116076,0.154349", \ "0.065849,0.071307,0.076039,0.083781,0.096413,0.117709,0.155981", \ "0.070670,0.076133,0.080869,0.088619,0.101254,0.122551,0.160826", \ "0.075380,0.080845,0.085585,0.093339,0.105979,0.127275,0.165547", \ "0.079017,0.084388,0.089066,0.096749,0.109334,0.130635,0.168926", \ "0.080993,0.086377,0.091068,0.098746,0.111333,0.132546,0.170686", \ "0.081027,0.086410,0.091104,0.098803,0.111389,0.132657,0.170843"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.049080,0.055038,0.060521,0.070395,0.089012,0.125553,0.198280", \ "0.050614,0.056572,0.062054,0.071929,0.090548,0.127091,0.199814", \ "0.057246,0.063204,0.068686,0.078561,0.097182,0.133723,0.206448", \ "0.068738,0.074699,0.080182,0.090058,0.108679,0.145227,0.217950", \ "0.081518,0.087480,0.092960,0.102831,0.121482,0.158052,0.230784", \ "0.095699,0.101703,0.107204,0.117078,0.135665,0.172137,0.244896", \ "0.111596,0.117648,0.123176,0.133072,0.151671,0.188167,0.260770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008557,0.010603,0.012667,0.016528,0.023827,0.038205,0.068001", \ "0.008558,0.010600,0.012668,0.016527,0.023828,0.038205,0.068001", \ "0.008560,0.010601,0.012667,0.016526,0.023827,0.038205,0.068000", \ "0.008557,0.010601,0.012664,0.016524,0.023824,0.038202,0.068000", \ "0.008228,0.010311,0.012406,0.016309,0.023710,0.038180,0.068010", \ "0.008238,0.010328,0.012430,0.016329,0.023653,0.038015,0.067871", \ "0.008298,0.010395,0.012500,0.016401,0.023726,0.038092,0.067815"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006079,0.009720,0.013591,0.021529,0.038169,0.072397,0.141294", \ "0.006082,0.009720,0.013591,0.021529,0.038161,0.072401,0.141296", \ "0.006078,0.009719,0.013591,0.021530,0.038166,0.072387,0.141291", \ "0.006089,0.009731,0.013601,0.021537,0.038169,0.072400,0.141304", \ "0.006093,0.009732,0.013596,0.021557,0.038212,0.072415,0.141302", \ "0.006193,0.009830,0.013679,0.021572,0.038126,0.072351,0.141325", \ "0.006337,0.009959,0.013795,0.021665,0.038202,0.072297,0.141211"); } } internal_power () { related_pin : "A"; when : "!B & !S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.840872,7.202265,7.530728,8.017930,8.457083,8.713165,8.792092", \ "6.767551,7.144968,7.452999,7.959430,8.408011,8.657252,8.735979", \ "6.672762,7.030317,7.362665,7.835798,8.294652,8.548148,8.628103", \ "6.708328,7.000620,7.323323,7.793084,8.239482,8.495293,8.585794", \ "6.842191,7.124039,7.403023,7.886771,8.352654,8.619710,8.695884", \ "7.365343,7.477086,7.649361,8.051558,8.618915,9.020399,9.099032", \ "8.258326,8.333915,8.419126,8.707340,9.226460,9.682557,9.904143"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.589787,4.879406,5.006605,5.106538,5.303037,5.390558,5.437914", \ "4.525002,4.887172,4.962029,5.100802,5.171168,4.919527,5.305031", \ "4.505971,4.812606,4.983202,5.037893,5.046232,4.853867,5.185298", \ "4.781245,5.043478,5.182249,5.196018,5.303021,5.356318,5.424151", \ "5.463371,5.663956,5.695971,5.696908,5.675356,5.573738,5.750768", \ "6.412096,6.625896,6.644336,6.581141,6.466692,6.414666,6.138005", \ "7.823975,7.912104,7.972110,7.843064,7.559617,7.526332,7.191494"); } } internal_power () { related_pin : "A"; when : "B & !S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.796080,6.970345,7.183956,7.574028,7.984492,8.245973,8.332590", \ "6.751434,6.921937,7.148460,7.514729,7.927833,8.188728,8.275301", \ "6.635535,6.826249,7.038179,7.403453,7.816038,8.079036,8.171556", \ "6.624894,6.796616,6.989018,7.345629,7.764708,8.033467,8.125522", \ "6.839028,6.990592,7.170028,7.494336,7.883665,8.139453,8.227584", \ "7.295045,7.321678,7.412063,7.696611,8.155996,8.513387,8.598962", \ "8.161693,8.148601,8.150384,8.327944,8.737789,9.128468,9.347841"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.423096,4.771263,4.889984,5.082100,5.197963,4.936393,5.150858", \ "4.340404,4.724550,4.840312,4.979020,5.064442,5.238353,5.033470", \ "4.322677,4.666702,4.832822,4.950422,5.012968,4.757663,4.977276", \ "4.642598,4.912203,5.037023,5.039290,5.013326,5.210523,5.108152", \ "5.303532,5.502946,5.515970,5.456521,5.441698,5.345752,5.347083", \ "6.295746,6.474875,6.465520,6.457729,6.244476,5.907745,5.821202", \ "7.657302,7.728192,7.760878,7.690252,7.559417,7.323979,7.322007"); } } internal_power () { related_pin : "B"; when : "!A & S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.175079,6.503240,6.830115,7.280557,7.645351,7.854979,7.932717", \ "6.118300,6.461104,6.765770,7.219731,7.591661,7.801225,7.880537", \ "6.128090,6.461664,6.765389,7.201748,7.579503,7.789404,7.867434", \ "6.474303,6.753659,7.041683,7.434239,7.794270,8.008658,8.091241", \ "7.215392,7.355193,7.596953,7.994695,8.355368,8.547171,8.624575", \ "8.345350,8.348469,8.433365,8.718056,9.129173,9.419652,9.482066", \ "9.907633,9.797325,9.753923,9.902744,10.261940,10.538470,10.719950"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.476429,3.755940,3.864214,3.974791,4.003462,4.137430,3.820358", \ "3.471739,3.741445,3.811299,3.841125,3.882790,4.001281,4.070791", \ "3.378942,3.658370,3.754761,3.879724,3.863445,3.960740,3.708460", \ "3.550756,3.755798,3.785192,3.821350,3.942858,3.903426,3.694596", \ "3.992643,4.208945,4.242297,4.177436,4.182989,3.878621,4.126223", \ "4.699223,4.980922,5.047355,4.974005,4.950539,4.573631,4.812140", \ "5.669570,5.945593,6.125943,6.109419,5.994718,5.957242,5.365533"); } } internal_power () { related_pin : "B"; when : "A & S"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.161646,7.297155,7.492953,7.855286,8.325729,8.647060,8.785917", \ "7.109522,7.251177,7.459985,7.825734,8.266667,8.595232,8.738765", \ "7.095245,7.249518,7.413563,7.783807,8.245355,8.574652,8.717495", \ "7.289847,7.434209,7.615077,7.949317,8.407093,8.744982,8.888033", \ "8.060695,8.123580,8.240267,8.535605,8.913408,9.198847,9.335829", \ "9.111230,9.056791,9.078464,9.271335,9.691395,9.973741,10.076500", \ "10.561180,10.420170,10.352160,10.377770,10.683900,10.992650,11.150350"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.470976,3.760314,3.829777,3.963826,3.931306,4.090429,4.048056", \ "3.448393,3.740696,3.817584,3.904851,3.851020,4.055435,3.928451", \ "3.440401,3.667346,3.819648,3.892928,3.857902,3.953516,3.942143", \ "3.580249,3.796347,3.841811,3.921755,3.817667,3.941760,3.947218", \ "3.998390,4.233994,4.245104,4.232345,4.169934,4.288315,4.025805", \ "4.765247,4.956191,4.989198,4.967079,4.843824,4.411394,4.319148", \ "5.768447,5.871027,6.048399,6.106191,6.009745,5.850898,5.462140"); } } internal_power () { related_pin : "S"; when : "!A & B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.916731,8.201535,8.461505,8.882142,9.293499,9.548130,9.634011", \ "7.808305,8.100222,8.349447,8.762785,9.176138,9.436579,9.524423", \ "7.779025,8.062074,8.307275,8.724858,9.148782,9.418387,9.508302", \ "8.315798,8.559785,8.766092,9.141022,9.540780,9.800846,9.892903", \ "9.368021,9.482178,9.667071,10.046600,10.426650,10.680660,10.758000", \ "10.983030,10.978900,11.048740,11.276540,11.710530,12.100860,12.174110", \ "13.268920,13.193120,13.169420,13.267900,13.593440,13.960760,14.221490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.403573,4.663326,4.738568,4.868386,4.873533,4.747725,4.730925", \ "4.354162,4.602330,4.737591,4.830104,4.888314,4.660356,4.632967", \ "4.344981,4.606609,4.733766,4.803710,4.683908,4.950702,4.633920", \ "4.784083,4.942858,5.023179,5.005565,5.072321,5.115408,5.111736", \ "5.804109,5.947044,5.926751,5.804049,5.710818,5.705730,5.498441", \ "7.228552,7.472344,7.568501,7.467439,7.238618,7.070312,6.938937", \ "9.263816,9.421703,9.632294,9.637484,9.570417,9.369091,9.260715"); } } internal_power () { related_pin : "S"; when : "A & !B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.629981,7.765955,7.969179,8.313636,8.768427,9.102431,9.242952", \ "7.624314,7.771012,7.970994,8.310002,8.777431,9.100036,9.239804", \ "7.590568,7.743251,7.927972,8.287740,8.734814,9.070179,9.205023", \ "7.604281,7.800223,7.993767,8.338055,8.809901,9.139586,9.282938", \ "7.875735,8.007825,8.213658,8.612391,9.081267,9.402316,9.551395", \ "8.474533,8.657238,8.878497,9.236676,9.644620,9.930087,10.081350", \ "9.327904,9.513656,9.728957,10.108860,10.567620,10.837960,10.881790"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.641190,8.993346,9.103663,9.178209,9.291962,9.308771,9.529848", \ "8.601803,8.967954,9.052396,9.168719,9.146694,9.258489,9.374054", \ "8.689976,8.989785,9.128157,9.225154,9.227459,9.450455,9.356734", \ "8.820844,9.180607,9.260373,9.379075,9.378849,9.383308,9.116444", \ "9.060168,9.415457,9.618055,9.720228,9.811673,9.827832,9.890309", \ "9.395002,9.852098,9.993687,10.207960,10.267040,10.439250,10.231690", \ "10.102840,10.485150,10.731000,10.835660,11.025650,11.251900,10.813530"); } } } } /****************************************************************************************** Module : NAND2_X1 Cell Description : Combinational cell (NAND2_X1) with drive strength X1 *******************************************************************************************/ cell (NAND2_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 17.393360; leakage_power () { when : "!A1 & !A2"; value : 3.482556; } leakage_power () { when : "!A1 & A2"; value : 24.799456; } leakage_power () { when : "A1 & !A2"; value : 4.085038; } leakage_power () { when : "A1 & A2"; value : 37.206389; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.599032; fall_capacitance : 1.529196; rise_capacitance : 1.599032; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.664199; fall_capacitance : 1.502278; rise_capacitance : 1.664199; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 59.356700; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00683090,0.0100142,0.0139116,0.0216539,0.0370876,0.0679222,0.129575", \ "0.00801780,0.0112344,0.0151696,0.0229509,0.0384185,0.0692724,0.130935", \ "0.0110663,0.0155786,0.0201499,0.0279195,0.0433427,0.0741885,0.135850", \ "0.0127253,0.0190883,0.0256634,0.0364481,0.0533390,0.0839029,0.145413", \ "0.0128360,0.0209729,0.0293903,0.0433808,0.0656528,0.0997348,0.160709", \ "0.0112031,0.0210972,0.0313125,0.0483362,0.0756855,0.118141,0.182879", \ "0.00772654,0.0192683,0.0312780,0.0512843,0.0834863,0.133996,0.210841"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00743070,0.0112099,0.0157672,0.0247561,0.0426101,0.0782368,0.149445", \ "0.00896317,0.0127084,0.0173000,0.0263569,0.0442815,0.0799642,0.151206", \ "0.0141826,0.0189535,0.0236392,0.0325101,0.0503637,0.0860462,0.157306", \ "0.0198673,0.0266711,0.0336357,0.0448850,0.0628232,0.0981540,0.169220", \ "0.0262799,0.0348883,0.0438330,0.0586475,0.0818511,0.117889,0.188351", \ "0.0334985,0.0438815,0.0546771,0.0727012,0.101569,0.145562,0.216015", \ "0.0415987,0.0537162,0.0663517,0.0874425,0.121509,0.174517,0.253405"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00376100,0.00644406,0.00978480,0.0164658,0.0298164,0.0565256,0.109943", \ "0.00375484,0.00644493,0.00978762,0.0164644,0.0298190,0.0565239,0.109935", \ "0.00652247,0.00865044,0.0109402,0.0165623,0.0298184,0.0565245,0.109937", \ "0.0108210,0.0136816,0.0166969,0.0217745,0.0313966,0.0565209,0.109935", \ "0.0165636,0.0201004,0.0238534,0.0302379,0.0406327,0.0597444,0.109934", \ "0.0238974,0.0281323,0.0325754,0.0401642,0.0526647,0.0726144,0.112884", \ "0.0329635,0.0378907,0.0430574,0.0518038,0.0662468,0.0894970,0.126801"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00474878,0.00814768,0.0123804,0.0208480,0.0377848,0.0716838,0.139435", \ "0.00475427,0.00814708,0.0123814,0.0208446,0.0377762,0.0716641,0.139428", \ "0.00779760,0.00997800,0.0130179,0.0208500,0.0378031,0.0716776,0.139430", \ "0.0122628,0.0156758,0.0191464,0.0247382,0.0382858,0.0716833,0.139437", \ "0.0178385,0.0220827,0.0266676,0.0342116,0.0458454,0.0726908,0.139429", \ "0.0249336,0.0298045,0.0352101,0.0445099,0.0592803,0.0822832,0.139806", \ "0.0337631,0.0391600,0.0452534,0.0559346,0.0736025,0.100571,0.148264"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00817145,0.0113370,0.0152253,0.0229578,0.0383884,0.0692203,0.130874", \ "0.00937134,0.0126058,0.0165415,0.0243197,0.0397827,0.0706388,0.132301", \ "0.0121093,0.0160254,0.0203566,0.0282754,0.0438183,0.0747343,0.136442", \ "0.0140115,0.0195511,0.0252257,0.0347862,0.0514073,0.0824439,0.144225", \ "0.0141906,0.0216015,0.0291177,0.0413564,0.0610270,0.0943383,0.156313", \ "0.0125471,0.0218297,0.0312448,0.0465188,0.0704972,0.108454,0.173180", \ "0.00891092,0.0200583,0.0313815,0.0497697,0.0785145,0.122737,0.193697"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00960902,0.0133234,0.0178627,0.0268501,0.0447259,0.0803975,0.151618", \ "0.0111329,0.0148711,0.0194367,0.0284610,0.0463691,0.0820630,0.153295", \ "0.0172641,0.0214183,0.0258440,0.0347313,0.0525529,0.0881978,0.159431", \ "0.0245720,0.0305875,0.0369411,0.0474816,0.0651164,0.100423,0.171419", \ "0.0326637,0.0403045,0.0485061,0.0624101,0.0846696,0.120242,0.190648", \ "0.0418888,0.0510441,0.0608922,0.0777931,0.105452,0.148318,0.218405", \ "0.0524032,0.0630613,0.0744893,0.0941347,0.126671,0.178209,0.255940"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00376149,0.00644525,0.00978667,0.0164637,0.0298148,0.0565228,0.109937", \ "0.00376392,0.00644484,0.00978776,0.0164666,0.0298196,0.0565250,0.109933", \ "0.00511085,0.00743171,0.0103118,0.0165282,0.0298164,0.0565261,0.109940", \ "0.00829231,0.0106332,0.0133864,0.0189448,0.0306319,0.0565215,0.109938", \ "0.0128365,0.0155539,0.0185555,0.0240743,0.0350020,0.0581664,0.109936", \ "0.0184876,0.0217111,0.0251978,0.0312907,0.0422635,0.0641947,0.111586", \ "0.0251402,0.0289521,0.0330579,0.0400361,0.0518630,0.0735665,0.118078"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.00610365,0.00950804,0.0137567,0.0222567,0.0392340,0.0732071,0.141006", \ "0.00610415,0.00950897,0.0137533,0.0222545,0.0392389,0.0732087,0.141024", \ "0.00825893,0.0105569,0.0140425,0.0222551,0.0392462,0.0731843,0.141029", \ "0.0127792,0.0161796,0.0196065,0.0253773,0.0395483,0.0731882,0.141022", \ "0.0177729,0.0223745,0.0270895,0.0346684,0.0464205,0.0740197,0.141028", \ "0.0236048,0.0292324,0.0351104,0.0447833,0.0596935,0.0830242,0.141309", \ "0.0305580,0.0371253,0.0440048,0.0555212,0.0737673,0.100942,0.149320"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.212994,0.234417,0.246729,0.255173,0.261155,0.261605,0.263335", \ "0.135401,0.181486,0.204710,0.230474,0.244222,0.253184,0.257660", \ "0.184838,0.162509,0.174115,0.196926,0.221088,0.238944,0.249968", \ "0.574060,0.447395,0.355591,0.286746,0.267397,0.262673,0.263234", \ "1.219766,1.036191,0.878094,0.667720,0.482930,0.396363,0.336624", \ "2.123623,1.932772,1.728551,1.393477,1.017777,0.717470,0.536657", \ "3.265018,3.120956,2.897817,2.496625,1.911848,1.330952,0.922925"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("2.187802,2.234387,2.253697,2.278432,2.250605,2.177825,2.182250", \ "2.094055,2.155353,2.168601,2.214827,2.180665,2.092241,2.281954", \ "2.175292,2.175204,2.183357,2.204197,2.197215,2.050336,2.151607", \ "2.347667,2.383600,2.450050,2.360539,2.291470,2.211593,2.138461", \ "2.962435,2.915027,2.863327,2.880168,2.619055,2.400196,2.443539", \ "3.987173,3.857002,3.732910,3.555371,3.397883,3.003049,2.686844", \ "5.344307,5.208937,5.006094,4.659484,4.387559,3.989310,3.470508"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("0.221749,0.243688,0.250200,0.254407,0.258027,0.260887,0.264559", \ "0.137208,0.179399,0.210919,0.232810,0.247384,0.254428,0.258230", \ "0.103925,0.106991,0.137653,0.172593,0.210244,0.230864,0.245711", \ "0.318232,0.258656,0.210164,0.177366,0.198318,0.221707,0.240617", \ "0.771541,0.660832,0.549516,0.419369,0.298819,0.275181,0.266575", \ "1.447677,1.315705,1.161009,0.929086,0.664694,0.460851,0.377411", \ "2.333494,2.205217,2.048637,1.744271,1.316991,0.891795,0.619421"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.854900,3.709790,7.419590,14.839200,29.678300,59.356700"); values ("2.882249,2.920256,2.901346,2.934045,2.967850,2.990750,2.847699", \ "2.809175,2.838447,2.883208,2.908586,2.934143,2.893426,2.794761", \ "2.864434,2.881079,2.872034,2.861300,2.909710,2.880747,2.963371", \ "3.067170,3.156284,3.169332,3.078800,3.074477,2.970908,2.889094", \ "3.658064,3.628393,3.643311,3.621860,3.476744,3.253327,3.024012", \ "4.644175,4.578437,4.509557,4.351362,4.209204,3.895716,3.560440", \ "6.000043,5.937054,5.808603,5.534276,5.145665,4.652190,4.094244"); } } } } /****************************************************************************************** Module : NAND2_X2 Cell Description : Combinational cell (NAND2_X2) with drive strength X2 *******************************************************************************************/ cell (NAND2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 34.786630; leakage_power () { when : "!A1 & !A2"; value : 6.965101; } leakage_power () { when : "!A1 & A2"; value : 49.598890; } leakage_power () { when : "A1 & !A2"; value : 8.170060; } leakage_power () { when : "A1 & A2"; value : 74.412470; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.053103; fall_capacitance : 2.927184; rise_capacitance : 3.053103; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.450993; fall_capacitance : 3.142806; rise_capacitance : 3.450993; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 118.713000; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00656271,0.0101464,0.0140458,0.0217892,0.0372282,0.0680712,0.129741", \ "0.00774878,0.0113677,0.0153028,0.0230857,0.0385567,0.0694200,0.131102", \ "0.0106187,0.0157375,0.0202897,0.0280539,0.0434822,0.0743354,0.136012", \ "0.0120982,0.0193009,0.0258477,0.0366085,0.0534753,0.0840529,0.145580", \ "0.0120336,0.0212386,0.0296213,0.0435796,0.0658124,0.0998804,0.160878", \ "0.0102288,0.0213995,0.0315910,0.0485680,0.0758879,0.118306,0.183046", \ "0.00655911,0.0196221,0.0315893,0.0515592,0.0837206,0.134194,0.211013"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00712117,0.0113740,0.0159300,0.0249197,0.0427841,0.0784279,0.149678", \ "0.00866443,0.0128714,0.0174644,0.0265219,0.0444552,0.0801536,0.151433", \ "0.0137203,0.0191317,0.0237983,0.0326763,0.0505372,0.0862342,0.157541", \ "0.0192118,0.0269074,0.0338448,0.0450624,0.0629968,0.0983474,0.169451", \ "0.0254465,0.0351795,0.0440892,0.0588676,0.0820398,0.118075,0.188579", \ "0.0324828,0.0442223,0.0549767,0.0729599,0.101790,0.145759,0.216235", \ "0.0404064,0.0540998,0.0666923,0.0877360,0.121759,0.174736,0.253618"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00351044,0.00652730,0.00987343,0.0165603,0.0299302,0.0566637,0.110137", \ "0.00350460,0.00652780,0.00987423,0.0165608,0.0299293,0.0566647,0.110134", \ "0.00630501,0.00871204,0.0110034,0.0166520,0.0299295,0.0566634,0.110135", \ "0.0105277,0.0137574,0.0167632,0.0218368,0.0314937,0.0566633,0.110135", \ "0.0161769,0.0201868,0.0239339,0.0303068,0.0407031,0.0598706,0.110133", \ "0.0234118,0.0282122,0.0326593,0.0402356,0.0527294,0.0727015,0.113078", \ "0.0324175,0.0379671,0.0431419,0.0518756,0.0663152,0.0895680,0.126960"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00443575,0.00824833,0.0124836,0.0209576,0.0378956,0.0717922,0.139583", \ "0.00443539,0.00825100,0.0124863,0.0209558,0.0379067,0.0717859,0.139581", \ "0.00754865,0.0100398,0.0130990,0.0209574,0.0379108,0.0717944,0.139573", \ "0.0118947,0.0157585,0.0192176,0.0248079,0.0383800,0.0717967,0.139582", \ "0.0173880,0.0221860,0.0267590,0.0342909,0.0459168,0.0727978,0.139574", \ "0.0244120,0.0299154,0.0353166,0.0446040,0.0593542,0.0823507,0.139946", \ "0.0332042,0.0392772,0.0453671,0.0560389,0.0736881,0.100647,0.148373"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00787432,0.0114349,0.0153240,0.0230599,0.0384940,0.0693348,0.131004", \ "0.00906159,0.0127015,0.0166384,0.0244194,0.0398879,0.0707505,0.132432", \ "0.0116933,0.0161256,0.0204520,0.0283700,0.0439191,0.0748448,0.136567", \ "0.0133979,0.0196865,0.0253408,0.0348845,0.0515023,0.0825483,0.144349", \ "0.0133701,0.0217861,0.0292707,0.0414762,0.0611232,0.0944380,0.156431", \ "0.0115259,0.0220600,0.0314374,0.0466743,0.0706104,0.108548,0.173288", \ "0.00770017,0.0203399,0.0316168,0.0499585,0.0786534,0.122836,0.193790"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00924967,0.0134254,0.0179617,0.0269448,0.0448154,0.0804630,0.151660", \ "0.0107727,0.0149746,0.0195379,0.0285565,0.0464582,0.0821294,0.153335", \ "0.0168233,0.0215225,0.0259418,0.0348277,0.0526437,0.0882732,0.159470", \ "0.0239447,0.0307388,0.0370713,0.0475829,0.0652048,0.100493,0.171469", \ "0.0318718,0.0404952,0.0486694,0.0625449,0.0847638,0.120316,0.190692", \ "0.0409323,0.0512680,0.0610864,0.0779472,0.105563,0.148388,0.218456", \ "0.0512909,0.0633084,0.0747046,0.0943126,0.126803,0.178289,0.255983"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00351097,0.00652891,0.00987397,0.0165603,0.0299311,0.0566629,0.110134", \ "0.00351315,0.00652761,0.00987348,0.0165599,0.0299287,0.0566652,0.110137", \ "0.00489124,0.00750314,0.0103904,0.0166220,0.0299301,0.0566642,0.110137", \ "0.00805437,0.0107007,0.0134481,0.0190267,0.0307383,0.0566640,0.110139", \ "0.0125638,0.0156239,0.0186176,0.0241364,0.0350898,0.0583049,0.110138", \ "0.0181539,0.0217875,0.0252655,0.0313460,0.0423372,0.0643184,0.111790", \ "0.0247423,0.0290341,0.0331348,0.0400922,0.0519204,0.0736658,0.118269"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.00579025,0.00960394,0.0138495,0.0223375,0.0393262,0.0732511,0.141026", \ "0.00578864,0.00960243,0.0138480,0.0223407,0.0393234,0.0732544,0.141045", \ "0.00802471,0.0106273,0.0141190,0.0223386,0.0393166,0.0732453,0.141032", \ "0.0124045,0.0162604,0.0196674,0.0254356,0.0396274,0.0732474,0.141037", \ "0.0172778,0.0224807,0.0271785,0.0347278,0.0464629,0.0740541,0.141031", \ "0.0229963,0.0293603,0.0352147,0.0448598,0.0597445,0.0830530,0.141323", \ "0.0298393,0.0372697,0.0441280,0.0556217,0.0738326,0.100971,0.149325"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.411732,0.473056,0.494313,0.512645,0.521952,0.525599,0.527406", \ "0.272967,0.361485,0.416468,0.456050,0.487516,0.507068,0.519690", \ "0.392486,0.321732,0.347812,0.396147,0.444672,0.481569,0.501626", \ "1.177601,0.893342,0.711570,0.570510,0.537540,0.527699,0.528534", \ "2.483522,2.075322,1.747109,1.331098,0.971437,0.791711,0.679824", \ "4.242138,3.871095,3.441721,2.766833,2.026691,1.431168,1.074194", \ "6.592968,6.228771,5.795231,4.976029,3.813029,2.652086,1.845922"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("4.416038,4.527870,4.584721,4.602375,4.543472,4.569132,4.073192", \ "4.266307,4.374279,4.467287,4.487584,4.469003,4.544379,4.591903", \ "4.471083,4.408549,4.422605,4.490261,4.398273,4.609297,4.333103", \ "4.807114,4.931925,4.912842,4.775191,4.627797,4.462825,4.201733", \ "6.050989,5.914883,5.810296,5.842306,5.346570,4.900298,4.685053", \ "8.055601,7.774848,7.449864,7.070898,6.836077,6.217183,5.403504", \ "10.834370,10.459140,10.125540,9.386872,8.809422,8.011283,6.968875"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("0.439341,0.484302,0.503540,0.511159,0.522359,0.526223,0.528408", \ "0.270040,0.368760,0.420685,0.460193,0.493615,0.510572,0.521743", \ "0.210933,0.217546,0.270978,0.352040,0.418813,0.467058,0.497793", \ "0.664751,0.519562,0.420518,0.356595,0.398083,0.446054,0.481908", \ "1.569572,1.314460,1.093675,0.836217,0.600805,0.554305,0.539755", \ "2.942057,2.611365,2.321114,1.853726,1.328270,0.925277,0.755970", \ "4.710248,4.389020,4.083621,3.479691,2.620458,1.778620,1.240073"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.709780,7.419560,14.839100,29.678200,59.356500,118.713000"); values ("5.856903,5.893719,5.912114,5.883938,5.993770,6.049443,5.805737", \ "5.677467,5.791675,5.797898,5.793375,5.961489,5.890857,5.582982", \ "5.859964,5.865049,5.864677,5.804849,5.963565,5.943511,6.005675", \ "6.256619,6.428514,6.428814,6.252377,5.999291,6.163561,5.904369", \ "7.434480,7.404329,7.346138,7.298675,6.933834,6.557279,6.180566", \ "9.395824,9.246429,9.075277,8.856141,8.466440,7.855587,7.199783", \ "12.096430,11.933580,11.693150,11.098670,10.641780,9.303044,8.396234"); } } } } /****************************************************************************************** Module : NAND2_X4 Cell Description : Combinational cell (NAND2_X4) with drive strength X4 *******************************************************************************************/ cell (NAND2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 69.573240; leakage_power () { when : "!A1 & !A2"; value : 13.930180; } leakage_power () { when : "!A1 & A2"; value : 99.197450; } leakage_power () { when : "A1 & !A2"; value : 16.340170; } leakage_power () { when : "A1 & A2"; value : 148.825160; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.954965; fall_capacitance : 5.698021; rise_capacitance : 5.954965; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.201850; fall_capacitance : 5.615363; rise_capacitance : 6.201850; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 237.427000; function : "!(A1 & A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00616709,0.00999692,0.0139268,0.0217239,0.0372647,0.0683098,0.130380", \ "0.00734947,0.0112111,0.0151774,0.0230153,0.0385880,0.0696532,0.131734", \ "0.00995234,0.0155306,0.0201539,0.0279856,0.0435159,0.0745711,0.136650", \ "0.0111666,0.0189948,0.0256394,0.0365212,0.0535191,0.0842981,0.146225", \ "0.0108485,0.0208434,0.0293531,0.0434564,0.0658692,0.100138,0.161536", \ "0.00880319,0.0209282,0.0312687,0.0484222,0.0759517,0.118635,0.183723", \ "0.00494015,0.0190727,0.0312259,0.0514041,0.0838078,0.134588,0.211792"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00662973,0.0111626,0.0157319,0.0247337,0.0426125,0.0782841,0.149574", \ "0.00820155,0.0126559,0.0172595,0.0263296,0.0442782,0.0800060,0.151328", \ "0.0129546,0.0188646,0.0235996,0.0324834,0.0503564,0.0860824,0.157428", \ "0.0181161,0.0264666,0.0334992,0.0448231,0.0628163,0.0981902,0.169342", \ "0.0240317,0.0345830,0.0436014,0.0585021,0.0818018,0.117913,0.188463", \ "0.0307397,0.0434654,0.0543526,0.0724762,0.101449,0.145559,0.216112", \ "0.0383388,0.0531677,0.0659206,0.0871323,0.121316,0.174456,0.253488"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00313418,0.00633765,0.00970606,0.0164353,0.0298921,0.0567985,0.110610", \ "0.00315002,0.00633771,0.00970602,0.0164361,0.0298928,0.0567975,0.110614", \ "0.00594783,0.00855601,0.0108711,0.0165314,0.0298930,0.0567989,0.110613", \ "0.0100557,0.0135596,0.0166079,0.0217381,0.0314545,0.0568000,0.110613", \ "0.0155905,0.0199433,0.0237401,0.0301861,0.0406656,0.0599695,0.110612", \ "0.0227162,0.0279150,0.0324341,0.0400918,0.0526945,0.0727865,0.113484", \ "0.0316036,0.0376134,0.0428795,0.0517033,0.0662647,0.0896769,0.127281"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00393055,0.00794777,0.0121823,0.0206665,0.0376183,0.0715432,0.139385", \ "0.00393661,0.00794815,0.0121876,0.0206644,0.0376169,0.0715386,0.139390", \ "0.00712406,0.00984943,0.0128563,0.0206640,0.0376290,0.0715557,0.139376", \ "0.0112983,0.0154807,0.0189911,0.0246123,0.0381319,0.0715513,0.139384", \ "0.0166838,0.0218366,0.0264560,0.0340559,0.0457346,0.0725756,0.139389", \ "0.0236166,0.0295187,0.0349651,0.0443132,0.0591423,0.0821747,0.139757", \ "0.0323452,0.0388429,0.0449805,0.0557059,0.0734344,0.100477,0.148221"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00772592,0.0115258,0.0154446,0.0232324,0.0387680,0.0698114,0.131879", \ "0.00891849,0.0128074,0.0167763,0.0246121,0.0401814,0.0712473,0.133327", \ "0.0114387,0.0162125,0.0205762,0.0285516,0.0442016,0.0753286,0.137449", \ "0.0128684,0.0196863,0.0253988,0.0350101,0.0517307,0.0829777,0.145177", \ "0.0124865,0.0216448,0.0292261,0.0415291,0.0612871,0.0947886,0.157172", \ "0.0102974,0.0217583,0.0312644,0.0466401,0.0707053,0.108820,0.173924", \ "0.00615440,0.0198862,0.0313165,0.0498259,0.0786834,0.123039,0.194317"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00904334,0.0135028,0.0180699,0.0270982,0.0450367,0.0808110,0.152251", \ "0.0105500,0.0150375,0.0196325,0.0286956,0.0466671,0.0824621,0.153912", \ "0.0165166,0.0215714,0.0260248,0.0349549,0.0528433,0.0885994,0.160044", \ "0.0234773,0.0307595,0.0371322,0.0476954,0.0653902,0.100809,0.172026", \ "0.0312725,0.0404935,0.0487136,0.0626439,0.0849358,0.120612,0.191225", \ "0.0402046,0.0512431,0.0611148,0.0780388,0.105737,0.148671,0.218970", \ "0.0504127,0.0632554,0.0747169,0.0943921,0.126976,0.178576,0.256464"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00313365,0.00633759,0.00970601,0.0164357,0.0298916,0.0568031,0.110617", \ "0.00314190,0.00633701,0.00970608,0.0164365,0.0298936,0.0568031,0.110618", \ "0.00452658,0.00730328,0.0102191,0.0164938,0.0298919,0.0568005,0.110611", \ "0.00764449,0.0104861,0.0132537,0.0188794,0.0306875,0.0568016,0.110614", \ "0.0121385,0.0154059,0.0184144,0.0239565,0.0350053,0.0584134,0.110614", \ "0.0177282,0.0215815,0.0250759,0.0311720,0.0422194,0.0643658,0.112232", \ "0.0243042,0.0288429,0.0329533,0.0399305,0.0517955,0.0736550,0.118626"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.00543611,0.00947151,0.0137343,0.0222625,0.0393133,0.0734119,0.141513", \ "0.00543418,0.00947085,0.0137343,0.0222653,0.0393253,0.0734179,0.141521", \ "0.00770204,0.0104983,0.0140082,0.0222675,0.0393187,0.0734054,0.141518", \ "0.0118873,0.0160719,0.0195290,0.0253545,0.0396256,0.0734189,0.141512", \ "0.0165752,0.0222135,0.0269725,0.0346064,0.0464439,0.0741988,0.141522", \ "0.0221077,0.0290127,0.0349463,0.0446845,0.0596757,0.0831595,0.141786", \ "0.0287469,0.0368331,0.0437867,0.0553879,0.0737264,0.101032,0.149750"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.795787,0.940878,0.980508,1.014321,1.042872,1.047780,1.052940", \ "0.527188,0.716998,0.831193,0.921873,0.985745,1.018615,1.041402", \ "0.838523,0.654409,0.697793,0.801639,0.888976,0.958862,1.007789", \ "2.454897,1.823314,1.436914,1.141771,1.072669,1.059437,1.049585", \ "5.068604,4.189900,3.531058,2.676582,1.933285,1.575694,1.350992", \ "8.605884,7.786085,6.914839,5.578610,4.057340,2.851663,2.142791", \ "13.235730,12.471150,11.622380,9.965538,7.625804,5.289704,3.673908"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("8.166986,8.495328,8.594441,8.691525,8.609391,8.646809,7.609597", \ "7.860686,8.154851,8.220385,8.522434,8.288935,8.538425,8.648522", \ "8.323260,8.274073,8.298246,8.130525,8.225540,8.141688,8.136278", \ "8.882743,9.184216,9.303708,8.908565,8.785871,8.506107,8.176910", \ "11.553490,11.148990,10.919470,10.900720,10.121880,9.123990,8.455333", \ "15.609210,14.935910,14.499140,13.708560,12.961880,11.596370,10.273990", \ "21.069130,20.372630,19.596550,18.485200,17.021260,15.280880,13.398650"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("0.862071,0.961966,0.999599,1.026980,1.036169,1.051353,1.050079", \ "0.503871,0.732150,0.829867,0.918819,0.977742,1.015611,1.030162", \ "0.427762,0.406790,0.533287,0.697979,0.829107,0.930388,0.984144", \ "1.325747,1.014777,0.822933,0.685102,0.776430,0.876480,0.949940", \ "3.156729,2.573444,2.159684,1.634010,1.167042,1.082629,1.057983", \ "5.885011,5.199818,4.582405,3.654786,2.606754,1.811661,1.482378", \ "9.442441,8.756687,8.105801,6.912057,5.188303,3.496837,2.435082"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.419590,14.839200,29.678400,59.356800,118.714000,237.427000"); values ("11.315760,11.460410,11.543040,11.517010,11.510180,11.587380,10.779140", \ "11.006140,11.172480,11.300790,11.399130,11.469700,11.337620,11.733300", \ "11.310510,11.379930,11.421400,11.448120,11.347650,11.364470,11.245560", \ "12.048040,12.461490,12.443690,12.266280,11.934880,12.004030,10.948230", \ "14.447510,14.456700,14.320320,14.245730,13.453170,12.742510,11.600610", \ "18.419180,18.146470,17.781290,17.289450,16.497760,15.204870,13.636150", \ "23.805850,23.442160,23.085720,22.181160,20.925470,19.043810,16.905950"); } } } } /****************************************************************************************** Module : NAND3_X1 Cell Description : Combinational cell (NAND3_X1) with drive strength X1 *******************************************************************************************/ cell (NAND3_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 18.104768; leakage_power () { when : "!A1 & !A2 & !A3"; value : 3.318854; } leakage_power () { when : "!A1 & !A2 & A3"; value : 18.181680; } leakage_power () { when : "!A1 & A2 & !A3"; value : 4.208919; } leakage_power () { when : "!A1 & A2 & A3"; value : 39.493289; } leakage_power () { when : "A1 & !A2 & !A3"; value : 1.287225; } leakage_power () { when : "A1 & !A2 & A3"; value : 18.783568; } leakage_power () { when : "A1 & A2 & !A3"; value : 3.760507; } leakage_power () { when : "A1 & A2 & A3"; value : 55.804100; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.590286; fall_capacitance : 1.562033; rise_capacitance : 1.590286; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.621225; fall_capacitance : 1.528977; rise_capacitance : 1.621225; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.650377; fall_capacitance : 1.486273; rise_capacitance : 1.650377; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 58.364900; function : "!((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0106270,0.0150189,0.0204521,0.0312612,0.0528211,0.0959019,0.182032", \ "0.0116171,0.0160692,0.0215549,0.0324213,0.0540285,0.0971429,0.183289", \ "0.0157475,0.0207077,0.0261030,0.0369216,0.0585239,0.101654,0.187820", \ "0.0193780,0.0263217,0.0337702,0.0462819,0.0677259,0.110616,0.196655", \ "0.0218025,0.0305247,0.0399593,0.0560603,0.0822203,0.125293,0.210827", \ "0.0229784,0.0334449,0.0447189,0.0640615,0.0959700,0.146382,0.231434", \ "0.0227986,0.0349768,0.0480836,0.0705081,0.107693,0.167283,0.259623"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00871018,0.0123627,0.0168272,0.0256550,0.0432087,0.0782443,0.148275", \ "0.0102956,0.0139545,0.0184570,0.0273428,0.0449597,0.0800468,0.150098", \ "0.0159148,0.0202792,0.0247405,0.0335054,0.0510723,0.0861634,0.156243", \ "0.0219506,0.0283086,0.0349627,0.0458331,0.0634366,0.0982310,0.168139", \ "0.0282845,0.0364589,0.0451008,0.0595436,0.0823046,0.117803,0.187179", \ "0.0349808,0.0449429,0.0554868,0.0732041,0.101670,0.145159,0.214651", \ "0.0419931,0.0537537,0.0662110,0.0871212,0.120910,0.173490,0.251719"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00679143,0.0105304,0.0151990,0.0245079,0.0431182,0.0803197,0.154719", \ "0.00678230,0.0105337,0.0152008,0.0245070,0.0431211,0.0803214,0.154720", \ "0.00868887,0.0114117,0.0153618,0.0245126,0.0431208,0.0803223,0.154726", \ "0.0134024,0.0167902,0.0205175,0.0272572,0.0432675,0.0803239,0.154720", \ "0.0195185,0.0236709,0.0282353,0.0361148,0.0496310,0.0807857,0.154714", \ "0.0272393,0.0320833,0.0374056,0.0467151,0.0622995,0.0890573,0.154764", \ "0.0366657,0.0422381,0.0482990,0.0588760,0.0768222,0.106137,0.161500"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00590237,0.00922069,0.0133822,0.0217143,0.0383556,0.0717067,0.138338", \ "0.00590098,0.00921893,0.0133840,0.0217116,0.0383710,0.0716908,0.138343", \ "0.00862909,0.0106444,0.0138254,0.0217150,0.0383782,0.0717054,0.138348", \ "0.0137150,0.0167664,0.0199737,0.0253408,0.0388193,0.0716960,0.138338", \ "0.0199651,0.0238266,0.0280574,0.0351592,0.0463499,0.0727316,0.138341", \ "0.0277209,0.0322640,0.0373247,0.0460773,0.0601747,0.0824918,0.138784", \ "0.0371983,0.0423600,0.0481647,0.0583067,0.0751402,0.101189,0.147580"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0132486,0.0176220,0.0230453,0.0338468,0.0554021,0.0984776,0.184611", \ "0.0143873,0.0188431,0.0243247,0.0351848,0.0567866,0.0998942,0.186044", \ "0.0179330,0.0227927,0.0283453,0.0392957,0.0609973,0.104187,0.190390", \ "0.0217264,0.0280977,0.0349584,0.0470653,0.0690569,0.112351,0.198638", \ "0.0242983,0.0326117,0.0414376,0.0562927,0.0811043,0.125139,0.211518", \ "0.0256306,0.0358432,0.0466473,0.0648364,0.0942932,0.142622,0.229637", \ "0.0256549,0.0377379,0.0504973,0.0719806,0.106742,0.161842,0.253481"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0110324,0.0146474,0.0191030,0.0279369,0.0455128,0.0805845,0.150607", \ "0.0125676,0.0162205,0.0207104,0.0295854,0.0471977,0.0822932,0.152333", \ "0.0187687,0.0225913,0.0269794,0.0357693,0.0533362,0.0884177,0.158456", \ "0.0263676,0.0320498,0.0381530,0.0483764,0.0657390,0.100533,0.170399", \ "0.0343258,0.0416384,0.0496073,0.0631972,0.0850729,0.120152,0.189493", \ "0.0428712,0.0517651,0.0614439,0.0781049,0.105435,0.147858,0.217007", \ "0.0520957,0.0625694,0.0739267,0.0934887,0.125845,0.177051,0.254174"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00679588,0.0105334,0.0151947,0.0245091,0.0431214,0.0803299,0.154731", \ "0.00679297,0.0105334,0.0151966,0.0245131,0.0431173,0.0803307,0.154734", \ "0.00783778,0.0109871,0.0152789,0.0245072,0.0431171,0.0803271,0.154720", \ "0.0112401,0.0143257,0.0181555,0.0259449,0.0432390,0.0803211,0.154725", \ "0.0164735,0.0198816,0.0238148,0.0312816,0.0464965,0.0806377,0.154708", \ "0.0229615,0.0268627,0.0313139,0.0392956,0.0541894,0.0847501,0.154800", \ "0.0305433,0.0350497,0.0401388,0.0491111,0.0648213,0.0944412,0.158114"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00725451,0.0105871,0.0147629,0.0231180,0.0398145,0.0731923,0.139863", \ "0.00725122,0.0105831,0.0147629,0.0231156,0.0398177,0.0731939,0.139860", \ "0.00898343,0.0113621,0.0149249,0.0231159,0.0398010,0.0731852,0.139863", \ "0.0142049,0.0172386,0.0204116,0.0260130,0.0400822,0.0731884,0.139865", \ "0.0200250,0.0241390,0.0284847,0.0356112,0.0469329,0.0740083,0.139879", \ "0.0267941,0.0318834,0.0373178,0.0463893,0.0606077,0.0832095,0.140206", \ "0.0347495,0.0407855,0.0472125,0.0580415,0.0753822,0.101593,0.148591"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0143923,0.0187673,0.0241915,0.0349918,0.0565461,0.0996242,0.185758", \ "0.0154809,0.0199391,0.0254229,0.0362844,0.0578849,0.100992,0.187142", \ "0.0179478,0.0226522,0.0281927,0.0391369,0.0608334,0.104020,0.190218", \ "0.0203291,0.0257701,0.0319957,0.0436704,0.0656415,0.108897,0.195168", \ "0.0214664,0.0284276,0.0358781,0.0489414,0.0725492,0.116495,0.202789", \ "0.0206765,0.0294616,0.0386781,0.0541352,0.0801728,0.126644,0.213600", \ "0.0180776,0.0286386,0.0397134,0.0580998,0.0878106,0.137730,0.227870"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.0126551,0.0163879,0.0209375,0.0298815,0.0475642,0.0827277,0.152849", \ "0.0141913,0.0179479,0.0225154,0.0314782,0.0491764,0.0843497,0.154457", \ "0.0206956,0.0243250,0.0288070,0.0376988,0.0553430,0.0904881,0.160578", \ "0.0296506,0.0349389,0.0406972,0.0504761,0.0677931,0.102640,0.172557", \ "0.0390577,0.0458596,0.0533607,0.0663292,0.0875114,0.122314,0.191683", \ "0.0493642,0.0575451,0.0665918,0.0824358,0.108821,0.150342,0.219254", \ "0.0607943,0.0703151,0.0808396,0.0992913,0.130405,0.180386,0.256521"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00679148,0.0105321,0.0151981,0.0245083,0.0431178,0.0803213,0.154732", \ "0.00679121,0.0105332,0.0152009,0.0245123,0.0431179,0.0803222,0.154721", \ "0.00740546,0.0108299,0.0152586,0.0245101,0.0431141,0.0803292,0.154727", \ "0.00938277,0.0126980,0.0169808,0.0255876,0.0433031,0.0803256,0.154726", \ "0.0136719,0.0167238,0.0205469,0.0286192,0.0456305,0.0807896,0.154710", \ "0.0197782,0.0229439,0.0266827,0.0341095,0.0500131,0.0838594,0.155053", \ "0.0271665,0.0306637,0.0347048,0.0422031,0.0571148,0.0895124,0.157956"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.00858567,0.0118925,0.0160440,0.0243759,0.0410694,0.0744709,0.141196", \ "0.00858054,0.0118914,0.0160456,0.0243771,0.0410627,0.0744614,0.141187", \ "0.00953106,0.0122271,0.0160427,0.0243754,0.0410634,0.0744668,0.141186", \ "0.0147565,0.0177024,0.0208067,0.0266558,0.0412069,0.0744513,0.141199", \ "0.0204870,0.0245548,0.0288599,0.0359380,0.0474195,0.0750809,0.141195", \ "0.0268285,0.0319682,0.0374531,0.0465841,0.0608496,0.0837727,0.141416", \ "0.0340474,0.0401825,0.0467574,0.0578406,0.0754131,0.101790,0.149403"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.523620,0.538965,0.551079,0.556548,0.561151,0.564018,0.564418", \ "0.459570,0.484698,0.509668,0.529672,0.543887,0.554682,0.559331", \ "0.434385,0.457202,0.470452,0.498312,0.517651,0.538469,0.550091", \ "0.728991,0.630651,0.581024,0.559124,0.551408,0.553714,0.557387", \ "1.306597,1.153240,1.010684,0.831268,0.727155,0.657699,0.616287", \ "2.170611,1.965158,1.760932,1.459438,1.140559,0.930355,0.781393", \ "3.276307,3.084566,2.831754,2.426623,1.913607,1.439055,1.113950"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("2.471990,2.511474,2.530868,2.543207,2.469269,2.426696,2.462465", \ "2.396924,2.457967,2.490998,2.520563,2.474151,2.408284,2.398648", \ "2.437786,2.454276,2.472123,2.485767,2.515611,2.557297,2.275786", \ "2.573428,2.622787,2.665344,2.563628,2.570714,2.503315,2.518353", \ "3.070520,3.031841,3.033047,3.042125,2.821054,2.614283,2.614428", \ "3.980969,3.890484,3.754150,3.607207,3.390311,3.167125,2.744516", \ "5.186864,5.100011,4.942323,4.628834,4.319824,3.887655,3.452880"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.530592,0.549848,0.556334,0.559187,0.557272,0.563878,0.563430", \ "0.468449,0.491525,0.518326,0.536904,0.547013,0.556274,0.560056", \ "0.376791,0.411169,0.437773,0.474864,0.507930,0.533446,0.547475", \ "0.499665,0.452481,0.442696,0.458520,0.481563,0.513479,0.535377", \ "0.891595,0.787195,0.695486,0.588620,0.552055,0.546002,0.550013", \ "1.545308,1.386511,1.225350,1.015889,0.795064,0.689102,0.631267", \ "2.416590,2.250065,2.047272,1.729577,1.344535,1.006992,0.823188"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("3.197330,3.233730,3.252385,3.274205,3.256473,3.290973,3.008384", \ "3.146314,3.186150,3.207811,3.222704,3.186349,3.235119,3.259784", \ "3.159107,3.163520,3.191927,3.170117,3.139861,3.178267,3.135699", \ "3.311728,3.405078,3.389065,3.369027,3.331550,3.333032,3.048855", \ "3.776555,3.792055,3.792899,3.730869,3.605002,3.484930,3.159241", \ "4.651997,4.582821,4.521547,4.434085,4.299545,3.973590,3.636008", \ "5.852630,5.790690,5.656346,5.454307,5.199051,4.846904,4.365165"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("0.533708,0.547974,0.553638,0.560867,0.563029,0.562555,0.564869", \ "0.466494,0.489783,0.513080,0.536682,0.550026,0.558009,0.561376", \ "0.361949,0.404266,0.430603,0.472027,0.505765,0.533029,0.546888", \ "0.463291,0.427578,0.405092,0.435914,0.465240,0.505383,0.529225", \ "0.800036,0.715904,0.640779,0.547825,0.513491,0.523989,0.539815", \ "1.392877,1.275620,1.149673,0.961666,0.759142,0.649793,0.612275", \ "2.250542,2.106246,1.948839,1.682860,1.316886,0.968498,0.803068"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.823900,3.647810,7.295610,14.591200,29.182500,58.364900"); values ("3.771169,3.818867,3.851701,3.811760,3.854296,3.769549,3.829391", \ "3.704501,3.761029,3.788784,3.774201,3.775021,3.916161,3.915918", \ "3.714127,3.769711,3.785776,3.835670,3.795874,3.853596,3.928641", \ "3.971302,4.032681,4.015139,3.995079,3.942249,3.919794,3.850300", \ "4.446128,4.457801,4.453042,4.449182,4.322560,4.170403,3.964832", \ "5.329988,5.273777,5.237729,5.075601,4.942684,4.694519,4.456538", \ "6.607653,6.561654,6.459292,6.217368,5.888463,5.541937,4.879614"); } } } } /****************************************************************************************** Module : NAND3_X2 Cell Description : Combinational cell (NAND3_X2) with drive strength X2 *******************************************************************************************/ cell (NAND3_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 36.209558; leakage_power () { when : "!A1 & !A2 & !A3"; value : 6.637686; } leakage_power () { when : "!A1 & !A2 & A3"; value : 36.363459; } leakage_power () { when : "!A1 & A2 & !A3"; value : 8.417816; } leakage_power () { when : "!A1 & A2 & A3"; value : 78.986600; } leakage_power () { when : "A1 & !A2 & !A3"; value : 2.574455; } leakage_power () { when : "A1 & !A2 & A3"; value : 37.567141; } leakage_power () { when : "A1 & A2 & !A3"; value : 7.520995; } leakage_power () { when : "A1 & A2 & A3"; value : 111.608310; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.977805; fall_capacitance : 2.927174; rise_capacitance : 2.977805; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.286375; fall_capacitance : 3.097864; rise_capacitance : 3.286375; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.558898; fall_capacitance : 3.238075; rise_capacitance : 3.558898; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 116.272000; function : "!((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0106314,0.0155490,0.0209578,0.0317206,0.0531912,0.0960924,0.181864", \ "0.0116204,0.0166063,0.0220648,0.0328820,0.0543977,0.0973282,0.183118", \ "0.0157484,0.0212560,0.0266082,0.0373800,0.0588942,0.101842,0.187645", \ "0.0193655,0.0270867,0.0344072,0.0467654,0.0680903,0.110804,0.196483", \ "0.0217743,0.0314875,0.0407650,0.0566769,0.0826215,0.125476,0.210659", \ "0.0229267,0.0345831,0.0456768,0.0648047,0.0964557,0.146577,0.231268", \ "0.0227435,0.0362827,0.0491740,0.0713617,0.108256,0.167509,0.259455"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00873033,0.0128211,0.0172662,0.0260642,0.0435634,0.0784925,0.148307", \ "0.0103148,0.0144153,0.0188979,0.0277553,0.0453144,0.0802857,0.150126", \ "0.0159207,0.0207661,0.0251709,0.0339117,0.0514283,0.0864021,0.156264", \ "0.0219417,0.0290037,0.0355405,0.0462716,0.0637800,0.0984733,0.168169", \ "0.0282686,0.0373433,0.0458431,0.0601123,0.0826897,0.118040,0.187203", \ "0.0349524,0.0460120,0.0563806,0.0738924,0.102137,0.145399,0.214664", \ "0.0419609,0.0550035,0.0672579,0.0879222,0.121454,0.173761,0.251720"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00676818,0.0109555,0.0155994,0.0248759,0.0434144,0.0804715,0.154583", \ "0.00675394,0.0109550,0.0156007,0.0248763,0.0434154,0.0804745,0.154579", \ "0.00866127,0.0117348,0.0157270,0.0248782,0.0434128,0.0804732,0.154580", \ "0.0133709,0.0171298,0.0208133,0.0275279,0.0435449,0.0804703,0.154582", \ "0.0194975,0.0240854,0.0285797,0.0363809,0.0498334,0.0809364,0.154579", \ "0.0272145,0.0325504,0.0377989,0.0470251,0.0625060,0.0891667,0.154640", \ "0.0366348,0.0427573,0.0487366,0.0592134,0.0770407,0.106228,0.161395"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00587466,0.00960393,0.0137533,0.0220544,0.0386676,0.0719055,0.138369", \ "0.00587834,0.00960735,0.0137541,0.0220564,0.0386753,0.0719018,0.138381", \ "0.00861773,0.0109063,0.0141393,0.0220651,0.0386704,0.0719155,0.138382", \ "0.0136994,0.0170828,0.0202282,0.0255826,0.0390986,0.0719085,0.138364", \ "0.0199432,0.0242254,0.0284005,0.0354154,0.0465534,0.0729244,0.138371", \ "0.0277061,0.0327282,0.0377314,0.0463915,0.0603926,0.0826429,0.138813", \ "0.0371748,0.0428812,0.0486224,0.0586687,0.0753858,0.101326,0.147623"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0132204,0.0181201,0.0235189,0.0342739,0.0557400,0.0986352,0.184407", \ "0.0143584,0.0193471,0.0248019,0.0356131,0.0571224,0.100048,0.185839", \ "0.0178942,0.0233026,0.0288243,0.0397260,0.0613356,0.104342,0.190189", \ "0.0216729,0.0287565,0.0355115,0.0475135,0.0693949,0.112510,0.198437", \ "0.0242469,0.0334689,0.0421436,0.0568164,0.0814550,0.125295,0.211315", \ "0.0255797,0.0368939,0.0475173,0.0654770,0.0946965,0.142777,0.229431", \ "0.0255716,0.0389738,0.0515247,0.0727443,0.107213,0.162002,0.253262"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0110065,0.0150595,0.0194981,0.0283017,0.0458224,0.0807705,0.150583", \ "0.0125407,0.0166359,0.0211075,0.0299511,0.0475086,0.0824900,0.152306", \ "0.0187368,0.0229931,0.0273707,0.0361334,0.0536467,0.0886176,0.158433", \ "0.0263200,0.0326412,0.0386520,0.0487547,0.0660413,0.100729,0.170378", \ "0.0342585,0.0424053,0.0502555,0.0637045,0.0854031,0.120339,0.189463", \ "0.0427918,0.0526865,0.0622283,0.0787129,0.105844,0.148049,0.216975", \ "0.0520001,0.0636406,0.0748445,0.0942046,0.126329,0.177269,0.254133"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00676807,0.0109590,0.0155989,0.0248782,0.0434095,0.0804738,0.154590", \ "0.00676739,0.0109537,0.0156007,0.0248763,0.0434103,0.0804721,0.154588", \ "0.00781245,0.0113670,0.0156589,0.0248790,0.0434110,0.0804706,0.154592", \ "0.0112057,0.0146634,0.0184772,0.0262622,0.0435237,0.0804758,0.154583", \ "0.0164175,0.0202300,0.0241127,0.0315656,0.0467484,0.0807923,0.154577", \ "0.0228706,0.0272526,0.0316387,0.0395737,0.0544030,0.0848830,0.154680", \ "0.0304691,0.0354990,0.0405085,0.0493959,0.0650191,0.0945465,0.158006"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00722716,0.0109640,0.0151307,0.0234552,0.0401120,0.0733774,0.139875", \ "0.00723063,0.0109663,0.0151261,0.0234477,0.0401106,0.0733951,0.139876", \ "0.00897452,0.0116622,0.0152615,0.0234548,0.0401101,0.0733859,0.139870", \ "0.0141800,0.0175508,0.0206690,0.0262640,0.0403621,0.0733845,0.139888", \ "0.0199887,0.0245579,0.0288281,0.0358654,0.0471363,0.0741990,0.139878", \ "0.0267512,0.0323939,0.0377436,0.0467057,0.0608175,0.0833628,0.140217", \ "0.0346934,0.0413780,0.0477046,0.0584245,0.0756265,0.101718,0.148609"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0143674,0.0192664,0.0246657,0.0354206,0.0568864,0.0997834,0.185555", \ "0.0154532,0.0204459,0.0259018,0.0367143,0.0582249,0.101153,0.186940", \ "0.0179157,0.0231624,0.0286728,0.0395682,0.0611736,0.104177,0.190018", \ "0.0202880,0.0263480,0.0325155,0.0441147,0.0659821,0.109057,0.194966", \ "0.0214094,0.0291488,0.0364767,0.0494230,0.0728996,0.116655,0.202589", \ "0.0205957,0.0303637,0.0394182,0.0546864,0.0805453,0.126799,0.213403", \ "0.0179767,0.0297203,0.0405910,0.0587475,0.0882215,0.137882,0.227649"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.0126289,0.0168088,0.0213361,0.0302439,0.0478612,0.0828895,0.152747", \ "0.0141637,0.0183705,0.0229154,0.0318415,0.0494752,0.0845137,0.154378", \ "0.0206666,0.0247362,0.0292027,0.0380607,0.0556423,0.0906530,0.160503", \ "0.0296023,0.0354938,0.0411665,0.0508369,0.0680845,0.102808,0.172465", \ "0.0389958,0.0465689,0.0539692,0.0668035,0.0878208,0.122479,0.191608", \ "0.0492919,0.0583952,0.0673248,0.0830073,0.109204,0.150502,0.219173", \ "0.0607112,0.0713067,0.0816720,0.0999492,0.130845,0.180570,0.256431"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00676629,0.0109544,0.0155992,0.0248764,0.0434143,0.0804748,0.154587", \ "0.00676557,0.0109550,0.0156000,0.0248771,0.0434144,0.0804735,0.154584", \ "0.00738096,0.0112261,0.0156458,0.0248789,0.0434138,0.0804774,0.154585", \ "0.00935265,0.0130753,0.0173487,0.0259241,0.0435889,0.0804750,0.154588", \ "0.0136416,0.0170496,0.0208725,0.0289403,0.0459022,0.0809377,0.154582", \ "0.0197404,0.0232668,0.0269801,0.0343840,0.0502702,0.0840054,0.154930", \ "0.0271163,0.0310140,0.0350127,0.0424542,0.0573272,0.0896475,0.157835"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("0.00856419,0.0122725,0.0164088,0.0247107,0.0413488,0.0746227,0.141130", \ "0.00855973,0.0122709,0.0164091,0.0247090,0.0413450,0.0746252,0.141134", \ "0.00951890,0.0125574,0.0163925,0.0247079,0.0413408,0.0746203,0.141135", \ "0.0147393,0.0180035,0.0210578,0.0269143,0.0414751,0.0746241,0.141145", \ "0.0204579,0.0249643,0.0291992,0.0361815,0.0476161,0.0752498,0.141150", \ "0.0267902,0.0324721,0.0378760,0.0468957,0.0610473,0.0838988,0.141392", \ "0.0340043,0.0407833,0.0472633,0.0582117,0.0756506,0.101889,0.149376"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("1.241221,1.262913,1.276561,1.290370,1.306974,1.306138,1.309942", \ "1.100188,1.172994,1.207255,1.244884,1.276012,1.286718,1.298918", \ "1.066635,1.096934,1.124089,1.174809,1.217482,1.253895,1.280203", \ "1.637147,1.431237,1.332046,1.296796,1.275479,1.284703,1.294570", \ "2.798681,2.454546,2.174422,1.831476,1.634812,1.490168,1.410733", \ "4.522892,4.073163,3.663096,3.084639,2.448025,2.027863,1.739182", \ "6.736977,6.306993,5.816524,5.005337,3.993743,3.043778,2.402295"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("5.110315,5.214534,5.190927,5.170957,5.102329,4.953141,5.071120", \ "4.993003,5.110400,5.117579,5.147483,5.244761,5.330447,4.944202", \ "5.059351,5.099634,5.111835,5.075551,5.191364,5.216739,4.699081", \ "5.342058,5.459212,5.509636,5.409320,5.191170,5.080302,5.352796", \ "6.383963,6.297198,6.242690,6.188822,5.801994,5.464362,5.376272", \ "8.159727,7.904071,7.632539,7.271383,7.204093,6.434507,5.818790", \ "10.572000,10.346310,9.997748,9.536348,8.850185,8.215392,7.053724"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("1.242950,1.279533,1.287045,1.301798,1.309552,1.302978,1.305259", \ "1.119743,1.168808,1.218837,1.254966,1.272754,1.290802,1.295386", \ "0.929348,1.009255,1.058645,1.137909,1.192028,1.239627,1.270025", \ "1.197005,1.074927,1.066588,1.093223,1.144706,1.202911,1.249613", \ "1.966747,1.733551,1.561828,1.348646,1.288497,1.269821,1.280493", \ "3.276987,2.908077,2.598394,2.189872,1.764706,1.562296,1.436785", \ "5.025583,4.618462,4.234549,3.617806,2.848233,2.195810,1.825537"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("6.572351,6.647590,6.651879,6.742255,6.679672,6.691172,6.846102", \ "6.467320,6.537437,6.568022,6.676967,6.673126,6.585910,6.679441", \ "6.516129,6.525149,6.533388,6.625229,6.667874,6.560902,6.432718", \ "6.822620,7.021010,6.911669,6.908579,6.870288,6.779870,6.657139", \ "7.753046,7.746687,7.728193,7.758283,7.447746,7.082122,7.138458", \ "9.502648,9.351547,9.246196,9.016328,8.772564,8.224965,7.574426", \ "11.851130,11.700180,11.542340,11.155730,10.476060,9.452556,8.894908"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("1.236617,1.275427,1.286978,1.293547,1.309442,1.304231,1.305904", \ "1.115653,1.181991,1.216180,1.251879,1.276799,1.294304,1.303224", \ "0.905861,0.996262,1.057664,1.128377,1.197528,1.238092,1.271419", \ "1.101281,1.023329,0.999411,1.050432,1.122238,1.187141,1.237680", \ "1.752154,1.600018,1.459057,1.276224,1.209114,1.231289,1.255323", \ "2.971492,2.700130,2.465707,2.098060,1.695034,1.490100,1.401336", \ "4.681846,4.384280,4.081476,3.537947,2.795798,2.113748,1.784409"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.633500,7.267000,14.534000,29.068000,58.136000,116.272000"); values ("7.716342,7.838989,7.863807,7.852279,7.803148,8.017942,8.199741", \ "7.586596,7.729304,7.777056,7.811879,7.937402,7.696506,7.618552", \ "7.628071,7.738694,7.733228,7.786358,7.934155,7.906498,8.032275", \ "8.056799,8.230209,8.203077,8.134314,7.924230,7.698444,7.875332", \ "9.091984,9.082253,9.105502,9.056204,8.845635,8.511379,8.249171", \ "10.861430,10.763720,10.597160,10.346160,10.109270,9.585905,9.092252", \ "13.414870,13.249270,13.099600,12.570980,12.152580,11.188830,10.199830"); } } } } /****************************************************************************************** Module : NAND3_X4 Cell Description : Combinational cell (NAND3_X4) with drive strength X4 *******************************************************************************************/ cell (NAND3_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 72.419123; leakage_power () { when : "!A1 & !A2 & !A3"; value : 13.275350; } leakage_power () { when : "!A1 & !A2 & A3"; value : 72.726830; } leakage_power () { when : "!A1 & A2 & !A3"; value : 16.835621; } leakage_power () { when : "!A1 & A2 & A3"; value : 157.973310; } leakage_power () { when : "A1 & !A2 & !A3"; value : 5.148880; } leakage_power () { when : "A1 & !A2 & A3"; value : 75.134295; } leakage_power () { when : "A1 & A2 & !A3"; value : 15.041967; } leakage_power () { when : "A1 & A2 & A3"; value : 223.216730; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.251026; fall_capacitance : 6.164825; rise_capacitance : 6.251026; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.913977; fall_capacitance : 6.552261; rise_capacitance : 6.913977; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 7.155898; fall_capacitance : 6.528717; rise_capacitance : 7.155898; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 233.154000; function : "!((A1 & A2) & A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0102029,0.0154177,0.0208421,0.0316345,0.0531626,0.0961770,0.182175", \ "0.0111832,0.0164730,0.0219477,0.0327955,0.0543688,0.0974129,0.183430", \ "0.0152127,0.0211193,0.0264920,0.0372941,0.0588659,0.101928,0.187959", \ "0.0186159,0.0268861,0.0342501,0.0466722,0.0680649,0.110893,0.196800", \ "0.0208331,0.0312281,0.0405644,0.0565556,0.0825898,0.125570,0.210982", \ "0.0218052,0.0342671,0.0454339,0.0646511,0.0964134,0.146674,0.231593", \ "0.0214203,0.0359088,0.0488871,0.0711815,0.108205,0.167617,0.259785"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00836388,0.0127075,0.0171661,0.0259884,0.0435358,0.0785629,0.148574", \ "0.00995249,0.0143001,0.0187976,0.0276782,0.0452887,0.0803564,0.150396", \ "0.0154320,0.0206430,0.0250729,0.0338386,0.0514048,0.0864801,0.156536", \ "0.0212385,0.0288177,0.0354004,0.0461905,0.0637578,0.0985499,0.168442", \ "0.0273687,0.0370979,0.0456566,0.0600013,0.0826645,0.118118,0.187480", \ "0.0338531,0.0457095,0.0561496,0.0737509,0.102103,0.145488,0.214946", \ "0.0406644,0.0546422,0.0669812,0.0877533,0.121411,0.173868,0.252001"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00637972,0.0108206,0.0154842,0.0247911,0.0433896,0.0805644,0.154911", \ "0.00636542,0.0108222,0.0154848,0.0247916,0.0433907,0.0805700,0.154916", \ "0.00835192,0.0116286,0.0156174,0.0247917,0.0433895,0.0805706,0.154914", \ "0.0129841,0.0170088,0.0207185,0.0274574,0.0435228,0.0805655,0.154914", \ "0.0190141,0.0239281,0.0284567,0.0363011,0.0498136,0.0810258,0.154910", \ "0.0266677,0.0323568,0.0376469,0.0469219,0.0624719,0.0892398,0.154973", \ "0.0359804,0.0425184,0.0485486,0.0590848,0.0769847,0.106280,0.161689"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00554079,0.00948853,0.0136471,0.0219712,0.0386258,0.0719395,0.138552", \ "0.00553818,0.00948549,0.0136447,0.0219768,0.0386224,0.0719321,0.138568", \ "0.00838004,0.0108229,0.0140482,0.0219786,0.0386311,0.0719375,0.138562", \ "0.0133576,0.0169811,0.0201494,0.0255143,0.0390522,0.0719296,0.138560", \ "0.0195174,0.0240919,0.0282957,0.0353456,0.0465126,0.0729463,0.138562", \ "0.0271990,0.0325687,0.0376045,0.0463105,0.0603526,0.0826515,0.138986", \ "0.0366024,0.0426930,0.0484730,0.0585663,0.0753441,0.101340,0.147757"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0127897,0.0179836,0.0233983,0.0341830,0.0557060,0.0987152,0.184714", \ "0.0139156,0.0192073,0.0246793,0.0355210,0.0570889,0.100129,0.186146", \ "0.0173831,0.0231560,0.0286976,0.0396314,0.0612997,0.104421,0.190492", \ "0.0209715,0.0285661,0.0353570,0.0474091,0.0693567,0.112584,0.198736", \ "0.0233254,0.0332228,0.0419486,0.0566860,0.0814093,0.125370,0.211622", \ "0.0244550,0.0365928,0.0472818,0.0653171,0.0946347,0.142850,0.229737", \ "0.0242444,0.0386184,0.0512458,0.0725622,0.107142,0.162078,0.253561"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0106413,0.0149412,0.0193940,0.0282249,0.0457968,0.0808504,0.150873", \ "0.0121706,0.0165161,0.0210027,0.0298733,0.0474813,0.0825614,0.152598", \ "0.0183066,0.0228774,0.0272672,0.0360573,0.0536228,0.0886915,0.158728", \ "0.0256999,0.0324667,0.0385185,0.0486742,0.0660191,0.100811,0.170674", \ "0.0334660,0.0421786,0.0500759,0.0635934,0.0853774,0.120425,0.189757", \ "0.0418281,0.0524109,0.0620053,0.0785789,0.105812,0.148141,0.217273", \ "0.0508665,0.0633085,0.0745835,0.0940416,0.126287,0.177379,0.254432"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00638405,0.0108232,0.0154826,0.0247917,0.0433869,0.0805630,0.154916", \ "0.00638518,0.0108206,0.0154823,0.0247914,0.0433910,0.0805684,0.154911", \ "0.00748003,0.0112477,0.0155471,0.0247916,0.0433866,0.0805688,0.154917", \ "0.0108768,0.0145475,0.0183755,0.0261912,0.0435002,0.0805683,0.154914", \ "0.0160380,0.0201023,0.0240123,0.0314890,0.0467311,0.0808887,0.154915", \ "0.0224287,0.0271026,0.0315185,0.0394873,0.0543774,0.0849696,0.155013", \ "0.0299443,0.0353121,0.0403749,0.0492820,0.0649792,0.0946163,0.158329"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00690228,0.0108516,0.0150271,0.0233749,0.0400658,0.0734333,0.140105", \ "0.00690311,0.0108533,0.0150253,0.0233727,0.0400681,0.0734381,0.140095", \ "0.00876984,0.0115691,0.0151624,0.0233748,0.0400648,0.0734238,0.140103", \ "0.0138374,0.0174560,0.0205885,0.0262014,0.0403170,0.0734284,0.140104", \ "0.0195298,0.0244265,0.0287259,0.0357963,0.0470966,0.0742350,0.140102", \ "0.0261803,0.0322233,0.0376105,0.0466241,0.0607817,0.0833944,0.140438", \ "0.0340105,0.0411760,0.0475485,0.0583168,0.0755812,0.101739,0.148770"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0139573,0.0191512,0.0245661,0.0353506,0.0568737,0.0998846,0.185881", \ "0.0150308,0.0203271,0.0258001,0.0366434,0.0582118,0.101252,0.187268", \ "0.0174584,0.0230409,0.0285693,0.0394955,0.0611598,0.104278,0.190345", \ "0.0197373,0.0262032,0.0323985,0.0440390,0.0659674,0.109159,0.195293", \ "0.0206679,0.0289702,0.0363379,0.0493356,0.0728814,0.116755,0.202912", \ "0.0196535,0.0301336,0.0392484,0.0545770,0.0805220,0.126900,0.213734", \ "0.0168349,0.0294424,0.0403811,0.0586231,0.0881869,0.137986,0.227977"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.0122714,0.0167136,0.0212584,0.0301975,0.0478727,0.0830107,0.153095", \ "0.0138019,0.0182741,0.0228361,0.0317944,0.0494859,0.0846341,0.154729", \ "0.0202954,0.0246408,0.0291237,0.0380143,0.0556546,0.0907744,0.160853", \ "0.0290583,0.0353573,0.0410697,0.0507860,0.0680953,0.102932,0.172824", \ "0.0383106,0.0463918,0.0538300,0.0667348,0.0878252,0.122605,0.191956", \ "0.0484613,0.0581692,0.0671535,0.0829151,0.109209,0.150632,0.219529", \ "0.0597461,0.0710344,0.0814718,0.0998370,0.130849,0.180719,0.256781"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00638433,0.0108207,0.0154823,0.0247906,0.0433871,0.0805633,0.154917", \ "0.00638357,0.0108199,0.0154837,0.0247918,0.0433909,0.0805644,0.154911", \ "0.00701763,0.0110998,0.0155292,0.0247916,0.0433897,0.0805628,0.154921", \ "0.00902237,0.0129490,0.0172387,0.0258478,0.0435660,0.0805643,0.154912", \ "0.0133190,0.0169369,0.0207647,0.0288628,0.0458820,0.0810338,0.154919", \ "0.0193960,0.0231520,0.0268762,0.0343056,0.0502459,0.0840997,0.155258", \ "0.0267396,0.0308789,0.0348999,0.0423729,0.0572960,0.0897307,0.158165"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("0.00825151,0.0121683,0.0163159,0.0246384,0.0413234,0.0746860,0.141395", \ "0.00824508,0.0121678,0.0163156,0.0246373,0.0413158,0.0746772,0.141402", \ "0.00928476,0.0124640,0.0163031,0.0246372,0.0413117,0.0746951,0.141381", \ "0.0144168,0.0179184,0.0209941,0.0268576,0.0414492,0.0746870,0.141401", \ "0.0200107,0.0248423,0.0291016,0.0361228,0.0475858,0.0753111,0.141398", \ "0.0262293,0.0323128,0.0377555,0.0468199,0.0610138,0.0839365,0.141634", \ "0.0333364,0.0405836,0.0471145,0.0581186,0.0756168,0.101920,0.149572"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("2.424943,2.540135,2.560994,2.588026,2.607102,2.620016,2.621748", \ "2.182186,2.339446,2.413995,2.500792,2.547611,2.583230,2.608593", \ "2.104114,2.197132,2.244165,2.352884,2.454774,2.521749,2.568619", \ "3.348097,2.865794,2.678475,2.586018,2.560760,2.571903,2.591303", \ "5.710033,4.910912,4.356577,3.670631,3.267754,2.994145,2.831408", \ "9.120214,8.141062,7.338990,6.166956,4.905353,4.075216,3.487097", \ "13.494290,12.615250,11.651290,10.026170,7.987897,6.106636,4.808307"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("9.978955,10.119080,10.204470,10.200880,9.939372,9.642868,9.791211", \ "9.696110,9.978046,10.025520,10.208400,9.947122,9.855259,9.556140", \ "9.933373,9.961087,9.957258,10.082930,10.013100,10.334260,10.386910", \ "10.474120,10.799380,10.736360,10.630830,10.088500,9.879538,10.028730", \ "12.503530,12.243810,12.256100,12.167450,11.774550,10.605470,10.410800", \ "16.064670,15.631850,15.217790,14.420880,14.172840,12.611780,11.597570", \ "21.013810,20.394630,19.797540,18.559940,17.260540,16.168540,13.765130"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("2.499216,2.557663,2.585489,2.610037,2.615327,2.623010,2.627386", \ "2.221791,2.362375,2.444354,2.497953,2.558866,2.598652,2.610903", \ "1.832306,2.028120,2.133112,2.263364,2.399307,2.501348,2.560263", \ "2.398697,2.168438,2.116799,2.200075,2.304908,2.425420,2.508521", \ "3.987433,3.484735,3.138185,2.700975,2.572474,2.553089,2.572013", \ "6.585536,5.859692,5.230312,4.402838,3.537086,3.128973,2.894404", \ "10.084260,9.294790,8.506755,7.249938,5.710977,4.385973,3.674118"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("12.963900,13.097640,13.136690,13.116620,13.030400,13.340580,13.305080", \ "12.673920,12.876470,12.944290,12.997990,13.016410,13.029540,12.972590", \ "12.779270,12.854700,12.893360,12.862590,12.725790,12.999410,12.479020", \ "13.410780,13.800540,13.657550,13.485770,13.462180,13.285630,13.471590", \ "15.307730,15.307830,15.142150,15.143120,14.624360,14.229140,13.897370", \ "18.730500,18.522800,18.224540,17.686500,16.950340,16.175850,14.489530", \ "23.554040,23.223750,22.743810,21.976910,20.724120,18.748610,17.411950"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("2.502251,2.566859,2.590122,2.597650,2.620400,2.626835,2.625653", \ "2.210938,2.357645,2.434249,2.512048,2.557077,2.591527,2.605837", \ "1.790291,1.979356,2.107815,2.264903,2.399557,2.494318,2.558211", \ "2.220869,2.065137,2.005102,2.110644,2.247681,2.390138,2.479282", \ "3.550666,3.187397,2.917975,2.560848,2.424202,2.465953,2.525367", \ "6.016980,5.434321,4.939015,4.209456,3.396858,2.982786,2.820859", \ "9.463245,8.743647,8.186963,7.094170,5.617344,4.249380,3.588919"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.286060,14.572100,29.144300,58.288500,116.577000,233.154000"); values ("15.170530,15.395570,15.565760,15.585360,15.777170,16.009530,15.239850", \ "14.895420,15.142740,15.201390,15.409930,15.638600,15.854260,15.022950", \ "14.958300,15.134720,15.335780,15.290850,15.386920,15.804740,15.652370", \ "15.825110,16.209600,16.177810,16.104340,15.614270,15.474290,15.341490", \ "17.827680,17.894770,17.989780,17.871370,17.367600,16.487590,16.259640", \ "21.548580,21.304000,21.033030,20.509140,19.686560,18.896330,17.781040", \ "26.571400,26.307110,25.909800,25.152280,23.703480,21.966770,19.471250"); } } } } /****************************************************************************************** Module : NAND4_X1 Cell Description : Combinational cell (NAND4_X1) with drive strength X1 *******************************************************************************************/ cell (NAND4_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 18.126843; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 3.312518; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 18.018000; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 4.294631; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 32.879561; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 3.575660; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 18.907966; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 4.460451; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 54.182403; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 1.171214; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 15.986358; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 2.103157; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 33.480017; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 1.188106; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 18.459101; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 3.614811; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 74.395530; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.522092; fall_capacitance : 1.522092; rise_capacitance : 1.521361; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.595210; fall_capacitance : 1.535299; rise_capacitance : 1.595210; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.638090; fall_capacitance : 1.535576; rise_capacitance : 1.638090; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.659913; fall_capacitance : 1.487643; rise_capacitance : 1.659913; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 55.999800; function : "!(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0155892,0.0209716,0.0277283,0.0411918,0.0680519,0.121726,0.229035", \ "0.0164825,0.0219318,0.0287472,0.0422761,0.0691915,0.122906,0.230241", \ "0.0209193,0.0261421,0.0329022,0.0464169,0.0733602,0.127116,0.234480", \ "0.0268061,0.0338372,0.0416867,0.0553368,0.0819352,0.135475,0.242730", \ "0.0315346,0.0403717,0.0502922,0.0676334,0.0964746,0.149239,0.256000", \ "0.0354265,0.0459005,0.0576459,0.0783605,0.113290,0.169747,0.275380", \ "0.0384389,0.0504445,0.0639911,0.0877898,0.128295,0.194398,0.302008"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0103340,0.0137594,0.0180254,0.0264838,0.0433172,0.0769211,0.144086", \ "0.0119704,0.0154148,0.0197153,0.0282223,0.0451094,0.0787490,0.145952", \ "0.0180377,0.0218239,0.0260078,0.0344261,0.0512719,0.0849161,0.152116", \ "0.0247632,0.0304362,0.0365728,0.0467668,0.0635951,0.0969813,0.164023", \ "0.0313615,0.0387742,0.0468522,0.0605236,0.0823233,0.116475,0.183031", \ "0.0379666,0.0470705,0.0569999,0.0739153,0.101326,0.143467,0.210402", \ "0.0444711,0.0552900,0.0670887,0.0871779,0.119900,0.171015,0.247281"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0112985,0.0159067,0.0217178,0.0333045,0.0564173,0.102647,0.195041", \ "0.0112872,0.0159083,0.0217108,0.0332947,0.0564135,0.102643,0.195047", \ "0.0117968,0.0158796,0.0216444,0.0332881,0.0564168,0.102651,0.195050", \ "0.0167753,0.0205458,0.0250015,0.0342466,0.0564089,0.102631,0.195030", \ "0.0234335,0.0278861,0.0329703,0.0421649,0.0596614,0.102622,0.195027", \ "0.0315994,0.0367150,0.0426155,0.0531791,0.0714607,0.106821,0.195020", \ "0.0413748,0.0471869,0.0538531,0.0658038,0.0864375,0.121413,0.197127"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.00743186,0.0105830,0.0145736,0.0225736,0.0385551,0.0705000,0.134419", \ "0.00742832,0.0105847,0.0145762,0.0225565,0.0385462,0.0704961,0.134413", \ "0.00953994,0.0115935,0.0148531,0.0225630,0.0385481,0.0704921,0.134411", \ "0.0153574,0.0179753,0.0208583,0.0259394,0.0389637,0.0705000,0.134416", \ "0.0223291,0.0256740,0.0294821,0.0360020,0.0465561,0.0716679,0.134412", \ "0.0308337,0.0348154,0.0394128,0.0474633,0.0606522,0.0818061,0.135058", \ "0.0411049,0.0456724,0.0510040,0.0603866,0.0761043,0.100837,0.144634"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0195664,0.0249326,0.0316803,0.0451326,0.0719907,0.125657,0.232972", \ "0.0206218,0.0260648,0.0328735,0.0463913,0.0732994,0.127009,0.234344", \ "0.0244346,0.0299443,0.0368196,0.0504403,0.0774689,0.131275,0.238682", \ "0.0300131,0.0366982,0.0443813,0.0584679,0.0855811,0.139491,0.246987", \ "0.0350104,0.0435310,0.0530424,0.0695809,0.0984961,0.152479,0.260029", \ "0.0391625,0.0494645,0.0609674,0.0808984,0.114164,0.170915,0.278497", \ "0.0425158,0.0545516,0.0679778,0.0912546,0.130023,0.193260,0.302980"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0126840,0.0160929,0.0203571,0.0288271,0.0456909,0.0793448,0.146534", \ "0.0143163,0.0177575,0.0220524,0.0305575,0.0474548,0.0811327,0.148338", \ "0.0206542,0.0240684,0.0282968,0.0367489,0.0536120,0.0872831,0.154495", \ "0.0288575,0.0339910,0.0396645,0.0492891,0.0659426,0.0993599,0.166421", \ "0.0370085,0.0437211,0.0512099,0.0641278,0.0851059,0.118867,0.185449", \ "0.0453423,0.0535678,0.0627475,0.0787059,0.105075,0.146215,0.212845", \ "0.0538440,0.0636035,0.0744741,0.0933511,0.124757,0.174595,0.249795"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0113055,0.0159077,0.0217134,0.0333002,0.0564231,0.102631,0.195051", \ "0.0113025,0.0159126,0.0217184,0.0333066,0.0564259,0.102645,0.195048", \ "0.0116487,0.0159430,0.0217114,0.0332896,0.0564192,0.102634,0.195050", \ "0.0149708,0.0188083,0.0236313,0.0338952,0.0564235,0.102628,0.195034", \ "0.0206131,0.0245511,0.0292690,0.0386341,0.0581975,0.102623,0.195030", \ "0.0277183,0.0321198,0.0372935,0.0468717,0.0653303,0.104841,0.195022", \ "0.0360616,0.0410194,0.0467911,0.0573114,0.0762806,0.113178,0.196142"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.00879090,0.0119584,0.0159685,0.0239868,0.0400046,0.0720339,0.136030", \ "0.00879575,0.0119570,0.0159688,0.0239861,0.0400079,0.0720521,0.136028", \ "0.00999824,0.0124556,0.0160344,0.0239793,0.0400033,0.0720408,0.136026", \ "0.0158133,0.0184261,0.0212872,0.0266616,0.0402591,0.0720309,0.136033", \ "0.0224853,0.0260228,0.0299112,0.0364544,0.0471539,0.0729659,0.136020", \ "0.0302040,0.0346240,0.0395024,0.0478102,0.0611116,0.0825462,0.136541", \ "0.0391892,0.0444689,0.0502892,0.0602463,0.0764005,0.101295,0.145692"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0219453,0.0273085,0.0340566,0.0475088,0.0743648,0.128037,0.235351", \ "0.0230338,0.0284792,0.0352874,0.0488061,0.0757166,0.129421,0.236758", \ "0.0260111,0.0315100,0.0383844,0.0520061,0.0790346,0.132843,0.240247", \ "0.0298352,0.0360390,0.0434418,0.0574712,0.0845639,0.138470,0.245969", \ "0.0333401,0.0408785,0.0493910,0.0648092,0.0932815,0.147307,0.254839", \ "0.0355448,0.0448406,0.0551034,0.0729149,0.103816,0.159986,0.267492", \ "0.0365456,0.0476015,0.0597027,0.0805224,0.115281,0.175034,0.284449"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0145540,0.0180564,0.0224026,0.0309746,0.0479459,0.0817039,0.149019", \ "0.0161108,0.0196354,0.0239996,0.0325923,0.0495801,0.0833530,0.150666", \ "0.0224548,0.0258805,0.0301982,0.0387505,0.0557104,0.0894659,0.156784", \ "0.0319698,0.0367794,0.0421502,0.0513808,0.0680464,0.101555,0.168705", \ "0.0415000,0.0477714,0.0548502,0.0672135,0.0875555,0.121090,0.187750", \ "0.0514158,0.0590500,0.0676904,0.0829181,0.108432,0.148739,0.215167", \ "0.0618916,0.0708440,0.0810129,0.0989198,0.129198,0.177920,0.252175"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0113090,0.0159090,0.0217136,0.0332884,0.0564223,0.102649,0.195047", \ "0.0113036,0.0159058,0.0217102,0.0332908,0.0564269,0.102637,0.195032", \ "0.0114946,0.0159314,0.0217120,0.0332887,0.0564177,0.102641,0.195047", \ "0.0136661,0.0178417,0.0231359,0.0338414,0.0564129,0.102637,0.195034", \ "0.0182294,0.0219713,0.0267992,0.0369307,0.0579575,0.102638,0.195022", \ "0.0250984,0.0288435,0.0334516,0.0427531,0.0625319,0.104657,0.195021", \ "0.0335110,0.0375146,0.0423812,0.0516114,0.0701727,0.110219,0.196404"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0101223,0.0132659,0.0172523,0.0252514,0.0412836,0.0733692,0.137417", \ "0.0101204,0.0132640,0.0172528,0.0252515,0.0412802,0.0733539,0.137414", \ "0.0107093,0.0134322,0.0172306,0.0252562,0.0412670,0.0733431,0.137439", \ "0.0163006,0.0188520,0.0216540,0.0273372,0.0414158,0.0733459,0.137416", \ "0.0229151,0.0264216,0.0302811,0.0367891,0.0476450,0.0740731,0.137420", \ "0.0303110,0.0347578,0.0396792,0.0480415,0.0613909,0.0831328,0.137808", \ "0.0387079,0.0440733,0.0500159,0.0601420,0.0765126,0.101552,0.146524"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0230571,0.0284224,0.0351718,0.0486235,0.0754798,0.129150,0.236462", \ "0.0241135,0.0295592,0.0363683,0.0498849,0.0767963,0.130505,0.237840", \ "0.0264185,0.0319198,0.0387967,0.0524203,0.0794505,0.133257,0.240664", \ "0.0286368,0.0345326,0.0417544,0.0556908,0.0827737,0.136668,0.244155", \ "0.0302636,0.0368592,0.0446169,0.0593465,0.0874205,0.141452,0.248959", \ "0.0304283,0.0383769,0.0472706,0.0632570,0.0926877,0.148262,0.255763", \ "0.0286933,0.0382682,0.0487819,0.0669127,0.0984343,0.156053,0.265113"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0157663,0.0194264,0.0239114,0.0326563,0.0498006,0.0836952,0.151177", \ "0.0173192,0.0209924,0.0254860,0.0342404,0.0513942,0.0852944,0.152750", \ "0.0237106,0.0272549,0.0316934,0.0404065,0.0575287,0.0914072,0.158849", \ "0.0343156,0.0389244,0.0441081,0.0530678,0.0698742,0.103513,0.170781", \ "0.0450968,0.0510720,0.0578796,0.0698261,0.0896742,0.123076,0.189836", \ "0.0565259,0.0637304,0.0719738,0.0866227,0.111412,0.151007,0.217279", \ "0.0689214,0.0772869,0.0868732,0.103954,0.133246,0.180961,0.254369"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0113097,0.0159105,0.0217096,0.0332921,0.0564262,0.102630,0.195042", \ "0.0113048,0.0159053,0.0217102,0.0332980,0.0564306,0.102635,0.195051", \ "0.0113834,0.0159156,0.0217136,0.0333051,0.0564189,0.102641,0.195038", \ "0.0127488,0.0171935,0.0227473,0.0337296,0.0564156,0.102632,0.195028", \ "0.0155995,0.0196437,0.0249531,0.0358614,0.0577160,0.102712,0.195033", \ "0.0211874,0.0247889,0.0294952,0.0395067,0.0608705,0.104482,0.195018", \ "0.0289242,0.0324358,0.0368364,0.0459320,0.0657811,0.108556,0.196535"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("0.0115314,0.0146643,0.0186271,0.0265816,0.0425418,0.0745651,0.138730", \ "0.0115093,0.0146560,0.0186213,0.0265769,0.0425374,0.0745717,0.138702", \ "0.0116185,0.0145381,0.0185333,0.0265646,0.0425503,0.0745832,0.138683", \ "0.0169270,0.0194188,0.0221624,0.0281373,0.0425735,0.0745622,0.138689", \ "0.0235488,0.0269927,0.0307773,0.0372099,0.0481984,0.0751244,0.138661", \ "0.0307484,0.0351600,0.0400490,0.0483636,0.0616735,0.0836722,0.138946", \ "0.0387300,0.0440464,0.0500058,0.0601909,0.0766297,0.101758,0.147258"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("1.018729,1.031030,1.031002,1.042424,1.042139,1.048034,1.044124", \ "0.953103,0.978463,0.996564,1.018126,1.024914,1.038267,1.040639", \ "0.917656,0.937507,0.953326,0.980585,0.997730,1.020896,1.028807", \ "1.096232,1.055959,1.038169,1.014759,1.023896,1.021540,1.032968", \ "1.605762,1.478746,1.362499,1.246686,1.172929,1.114212,1.082736", \ "2.376467,2.204461,2.024563,1.778761,1.539233,1.358670,1.229583", \ "3.438495,3.232941,3.008170,2.641619,2.195779,1.817345,1.524954"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("2.748930,2.752810,2.785312,2.778617,2.711440,2.824684,2.719278", \ "2.704632,2.743122,2.763191,2.732572,2.730476,2.822099,2.664606", \ "2.709231,2.733320,2.751997,2.767517,2.770230,2.826516,2.548206", \ "2.850479,2.946654,2.904317,2.895686,2.811474,2.655244,2.790659", \ "3.257430,3.238799,3.210150,3.253177,3.095288,2.893815,2.876050", \ "4.030101,3.932992,3.836218,3.747420,3.679814,3.299683,2.979148", \ "5.150833,5.047066,4.889349,4.661153,4.390897,4.076111,3.635850"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("1.023473,1.033965,1.033232,1.045389,1.047319,1.040710,1.045582", \ "0.972840,0.983001,1.003445,1.020808,1.030536,1.033651,1.040577", \ "0.874485,0.903775,0.931446,0.958386,0.993674,1.008055,1.027269", \ "0.899929,0.902453,0.907791,0.922265,0.950816,0.987452,1.014037", \ "1.220433,1.135112,1.068458,1.012832,0.999509,1.008571,1.016041", \ "1.792063,1.659037,1.522490,1.348304,1.205962,1.130914,1.083697", \ "2.631989,2.453341,2.254648,1.974296,1.649623,1.408421,1.251583"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("3.495636,3.487336,3.524136,3.530592,3.568271,3.439938,3.587719", \ "3.466555,3.495151,3.502142,3.522386,3.570598,3.540770,3.522371", \ "3.458542,3.480205,3.483805,3.512687,3.425588,3.554879,3.565522", \ "3.607583,3.630409,3.651378,3.626785,3.599689,3.546322,3.316647", \ "3.960908,3.965530,3.985114,3.921481,3.762847,3.769676,3.739800", \ "4.707729,4.677055,4.576990,4.479815,4.458155,4.178373,3.876437", \ "5.782778,5.738181,5.619128,5.444301,5.246609,4.743128,4.525992"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("1.028558,1.029620,1.036327,1.039631,1.039533,1.045847,1.042781", \ "0.967211,0.989777,1.006635,1.019101,1.026812,1.036400,1.037719", \ "0.871895,0.895729,0.925109,0.955493,0.984339,1.013323,1.024886", \ "0.847402,0.860799,0.880652,0.902899,0.937699,0.980415,1.004700", \ "1.105708,1.047290,0.990201,0.957046,0.955038,0.981035,1.000774", \ "1.629789,1.519003,1.406490,1.259938,1.127103,1.070462,1.054175", \ "2.449888,2.293852,2.127799,1.863244,1.563760,1.329213,1.208953"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("4.095020,4.151354,4.171747,4.154461,4.146869,4.243803,4.097895", \ "4.037763,4.112416,4.134347,4.099613,4.196695,4.200070,3.996984", \ "4.050074,4.057955,4.099324,4.101870,4.123952,4.191940,4.202658", \ "4.241156,4.255043,4.245238,4.195849,4.174360,4.265945,4.252589", \ "4.601490,4.624678,4.621898,4.641262,4.520239,4.415984,4.271802", \ "5.376302,5.348312,5.312345,5.215925,5.031215,4.857254,4.662963", \ "6.507485,6.446712,6.342238,6.201801,5.923064,5.483738,5.052253"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("1.030671,1.028191,1.040233,1.045323,1.040911,1.043473,1.046908", \ "0.972459,0.988807,1.000689,1.015303,1.023532,1.034002,1.041806", \ "0.864231,0.896346,0.925236,0.947340,0.981816,1.012296,1.024296", \ "0.837870,0.845479,0.867781,0.897252,0.940970,0.972193,1.005356", \ "1.077515,1.024034,0.974689,0.929271,0.944114,0.973916,0.997268", \ "1.578164,1.476210,1.378302,1.236826,1.090208,1.058549,1.048678", \ "2.364354,2.239020,2.096536,1.861437,1.559904,1.311978,1.203236"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.749990,3.499990,6.999970,13.999900,27.999900,55.999800"); values ("4.607538,4.655405,4.687278,4.728936,4.723795,4.761594,4.903425", \ "4.555494,4.600410,4.632705,4.743130,4.789552,4.703792,4.787562", \ "4.556724,4.607727,4.660984,4.711902,4.738099,4.772075,4.656140", \ "4.814367,4.819929,4.828512,4.849481,4.864743,4.891226,4.900918", \ "5.202140,5.206151,5.207057,5.243879,5.139754,4.859995,4.999319", \ "6.022714,5.971316,5.939026,5.795495,5.673944,5.549075,5.128330", \ "7.226536,7.184698,7.075033,6.826000,6.701488,6.302162,5.838626"); } } } } /****************************************************************************************** Module : NAND4_X2 Cell Description : Combinational cell (NAND4_X2) with drive strength X2 *******************************************************************************************/ cell (NAND4_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 36.253723; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 6.625014; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 36.036110; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 8.589262; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 65.759342; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 7.151319; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 37.816031; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 8.920879; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 108.365070; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 2.342429; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 31.972819; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 4.206305; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 66.960025; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 2.376203; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 36.918193; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 7.229622; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 148.790950; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.922235; fall_capacitance : 2.922235; rise_capacitance : 2.922096; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.274809; fall_capacitance : 3.151637; rise_capacitance : 3.274809; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.476345; fall_capacitance : 3.275519; rise_capacitance : 3.476345; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.821420; fall_capacitance : 3.498593; rise_capacitance : 3.821420; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 111.542000; function : "!(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0157711,0.0218345,0.0285622,0.0419696,0.0687230,0.122184,0.229067", \ "0.0166661,0.0228032,0.0295867,0.0430555,0.0698651,0.123363,0.230272", \ "0.0211013,0.0270032,0.0337392,0.0471986,0.0740362,0.127574,0.234509", \ "0.0270424,0.0348884,0.0425949,0.0561021,0.0826001,0.135936,0.242767", \ "0.0318621,0.0416906,0.0514434,0.0685488,0.0971364,0.149695,0.256045", \ "0.0357874,0.0474447,0.0589966,0.0794700,0.114089,0.170202,0.275422", \ "0.0388231,0.0522501,0.0655339,0.0890574,0.129225,0.194913,0.302051"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0105033,0.0143685,0.0186230,0.0270602,0.0438619,0.0774030,0.144439", \ "0.0121391,0.0160268,0.0203148,0.0288033,0.0456534,0.0792297,0.146290", \ "0.0182288,0.0224135,0.0265954,0.0350017,0.0518161,0.0853916,0.152460", \ "0.0250201,0.0313330,0.0373402,0.0473808,0.0641293,0.0974548,0.164372", \ "0.0316840,0.0399279,0.0478487,0.0613306,0.0829219,0.116936,0.183371", \ "0.0383470,0.0484727,0.0582139,0.0748975,0.102064,0.143959,0.210728", \ "0.0449282,0.0569406,0.0685183,0.0883351,0.120764,0.171590,0.247587"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0114402,0.0166367,0.0224184,0.0339608,0.0570005,0.103060,0.195151", \ "0.0114302,0.0166330,0.0224200,0.0339596,0.0569994,0.103066,0.195144", \ "0.0119239,0.0165743,0.0223731,0.0339568,0.0570047,0.103059,0.195140", \ "0.0168911,0.0211137,0.0255160,0.0348207,0.0569979,0.103054,0.195143", \ "0.0235559,0.0285173,0.0335393,0.0426719,0.0601375,0.103048,0.195141", \ "0.0317333,0.0374256,0.0432645,0.0537174,0.0718828,0.107187,0.195136", \ "0.0415869,0.0480190,0.0545636,0.0663961,0.0868820,0.121715,0.197251"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.00753975,0.0110960,0.0150822,0.0230534,0.0390057,0.0709183,0.134716", \ "0.00753830,0.0110980,0.0150824,0.0230612,0.0390115,0.0709116,0.134718", \ "0.00958919,0.0119725,0.0152986,0.0230558,0.0390050,0.0709197,0.134720", \ "0.0154360,0.0183504,0.0211831,0.0262835,0.0393896,0.0709221,0.134720", \ "0.0224230,0.0261573,0.0299108,0.0363474,0.0468511,0.0720507,0.134719", \ "0.0309593,0.0353890,0.0399271,0.0478827,0.0609762,0.0820930,0.135372", \ "0.0412119,0.0463082,0.0515829,0.0608671,0.0764757,0.101100,0.144880"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0197137,0.0257598,0.0324798,0.0458790,0.0726266,0.126083,0.232966", \ "0.0207717,0.0269013,0.0336776,0.0471378,0.0739389,0.127432,0.234338", \ "0.0245818,0.0307842,0.0376269,0.0511901,0.0781090,0.131705,0.238678", \ "0.0301981,0.0376634,0.0452444,0.0592135,0.0862201,0.139918,0.246986", \ "0.0352474,0.0447642,0.0540931,0.0704190,0.0991315,0.152907,0.260037", \ "0.0394448,0.0509557,0.0622457,0.0819020,0.114872,0.171333,0.278495", \ "0.0428329,0.0563119,0.0694683,0.0924197,0.130840,0.193687,0.302958"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0127986,0.0166410,0.0208896,0.0293312,0.0461419,0.0796800,0.146668", \ "0.0144308,0.0183103,0.0225872,0.0310642,0.0479080,0.0814668,0.148468", \ "0.0207748,0.0246091,0.0288268,0.0372519,0.0540650,0.0876323,0.154625", \ "0.0290289,0.0347572,0.0403203,0.0498050,0.0663847,0.0997046,0.166553", \ "0.0372215,0.0447177,0.0520738,0.0648194,0.0855912,0.119204,0.185579", \ "0.0456020,0.0547749,0.0638018,0.0795571,0.105681,0.146564,0.212962", \ "0.0541518,0.0650237,0.0757046,0.0943485,0.125471,0.175000,0.249900"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0114476,0.0166346,0.0224189,0.0339622,0.0570025,0.103061,0.195141", \ "0.0114481,0.0166375,0.0224179,0.0339568,0.0570006,0.103056,0.195148", \ "0.0117840,0.0166608,0.0224201,0.0339582,0.0570006,0.103067,0.195141", \ "0.0150859,0.0194244,0.0242279,0.0345164,0.0570082,0.103054,0.195143", \ "0.0207359,0.0251303,0.0298318,0.0391715,0.0587386,0.103053,0.195140", \ "0.0278726,0.0327781,0.0378665,0.0473788,0.0657941,0.105243,0.195134", \ "0.0362301,0.0417436,0.0474342,0.0578164,0.0767228,0.113521,0.196258"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.00889005,0.0124611,0.0164562,0.0244507,0.0404297,0.0723532,0.136161", \ "0.00888792,0.0124612,0.0164557,0.0244384,0.0404261,0.0723719,0.136173", \ "0.0100643,0.0128840,0.0164908,0.0244467,0.0404151,0.0723735,0.136170", \ "0.0158953,0.0187958,0.0216032,0.0270105,0.0406485,0.0723610,0.136185", \ "0.0226002,0.0265214,0.0303385,0.0367924,0.0474278,0.0732652,0.136178", \ "0.0303362,0.0352331,0.0400301,0.0482249,0.0614030,0.0827759,0.136687", \ "0.0393468,0.0451965,0.0509113,0.0607333,0.0767443,0.101497,0.145822"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0220956,0.0281427,0.0348623,0.0482608,0.0750103,0.128466,0.235350", \ "0.0231889,0.0293209,0.0360987,0.0495597,0.0763635,0.129856,0.236762", \ "0.0261656,0.0323584,0.0392009,0.0527636,0.0796830,0.133276,0.240250", \ "0.0300062,0.0369517,0.0442956,0.0582255,0.0852147,0.138903,0.245976", \ "0.0335568,0.0419690,0.0503386,0.0656232,0.0939376,0.147744,0.254854", \ "0.0358294,0.0461715,0.0562480,0.0738247,0.104520,0.160421,0.267510", \ "0.0369302,0.0491864,0.0610519,0.0815869,0.116044,0.175477,0.284448"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0146694,0.0186136,0.0229381,0.0314756,0.0483821,0.0820082,0.149069", \ "0.0162259,0.0201943,0.0245363,0.0330934,0.0500172,0.0836552,0.150729", \ "0.0225630,0.0264304,0.0307312,0.0392504,0.0561480,0.0897733,0.156868", \ "0.0321242,0.0374942,0.0427677,0.0518652,0.0684752,0.101865,0.168779", \ "0.0417014,0.0487042,0.0556670,0.0678681,0.0880192,0.121394,0.187824", \ "0.0516586,0.0601874,0.0686862,0.0837288,0.109010,0.149057,0.215229", \ "0.0621599,0.0721969,0.0821633,0.0998547,0.129875,0.178294,0.252235"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0114487,0.0166390,0.0224159,0.0339598,0.0570093,0.103059,0.195144", \ "0.0114496,0.0166345,0.0224197,0.0339623,0.0570089,0.103054,0.195141", \ "0.0116316,0.0166563,0.0224165,0.0339557,0.0570019,0.103061,0.195140", \ "0.0137890,0.0185157,0.0237731,0.0344805,0.0570093,0.103055,0.195145", \ "0.0183382,0.0225570,0.0273905,0.0375200,0.0585140,0.103066,0.195138", \ "0.0252107,0.0294120,0.0340025,0.0432692,0.0630415,0.105069,0.195131", \ "0.0335966,0.0381236,0.0429436,0.0521095,0.0706461,0.110601,0.196527"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0102267,0.0137668,0.0177413,0.0257067,0.0416764,0.0736233,0.137464", \ "0.0102249,0.0137655,0.0177403,0.0257048,0.0416790,0.0736219,0.137465", \ "0.0107955,0.0138835,0.0177208,0.0257077,0.0416665,0.0736237,0.137495", \ "0.0163912,0.0192210,0.0219593,0.0276952,0.0417815,0.0736245,0.137478", \ "0.0230333,0.0269165,0.0307025,0.0371172,0.0479283,0.0743233,0.137474", \ "0.0304586,0.0353767,0.0402095,0.0484465,0.0616643,0.0833197,0.137865", \ "0.0389064,0.0448143,0.0506372,0.0606354,0.0768479,0.101719,0.146571"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0232123,0.0292587,0.0359787,0.0493771,0.0761261,0.129583,0.236467", \ "0.0242724,0.0304040,0.0371805,0.0506415,0.0774436,0.130939,0.237843", \ "0.0265774,0.0327720,0.0396158,0.0531812,0.0801029,0.133693,0.240667", \ "0.0288081,0.0354307,0.0426028,0.0564535,0.0834266,0.137110,0.244167", \ "0.0304595,0.0378231,0.0455101,0.0601412,0.0880801,0.141895,0.248973", \ "0.0306668,0.0395276,0.0482588,0.0641062,0.0933773,0.148709,0.255789", \ "0.0289658,0.0396483,0.0499453,0.0678376,0.0991560,0.156500,0.265131"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0158799,0.0199971,0.0244521,0.0331524,0.0502234,0.0839796,0.151146", \ "0.0174341,0.0215640,0.0260277,0.0347373,0.0518170,0.0855796,0.152775", \ "0.0238183,0.0278148,0.0322309,0.0409034,0.0579521,0.0916909,0.158843", \ "0.0344610,0.0396159,0.0447061,0.0535446,0.0702934,0.103797,0.170814", \ "0.0452843,0.0519705,0.0586536,0.0704488,0.0901052,0.123353,0.189838", \ "0.0567561,0.0648063,0.0729021,0.0873813,0.111957,0.151288,0.217288", \ "0.0691790,0.0785434,0.0879431,0.104832,0.133883,0.181294,0.254366"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0114498,0.0166376,0.0224246,0.0339581,0.0570087,0.103056,0.195141", \ "0.0114470,0.0166356,0.0224161,0.0339588,0.0570034,0.103064,0.195141", \ "0.0115219,0.0166415,0.0224192,0.0339618,0.0570082,0.103057,0.195144", \ "0.0128885,0.0178930,0.0234082,0.0343743,0.0569990,0.103054,0.195152", \ "0.0157210,0.0202971,0.0256118,0.0364925,0.0582854,0.103128,0.195143", \ "0.0213008,0.0253610,0.0300630,0.0400905,0.0614122,0.104906,0.195135", \ "0.0290537,0.0329726,0.0373654,0.0464529,0.0663003,0.108962,0.196658"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("0.0116387,0.0151592,0.0191075,0.0270277,0.0429261,0.0748251,0.138658", \ "0.0116171,0.0151520,0.0191037,0.0270249,0.0429319,0.0748306,0.138712", \ "0.0117092,0.0150193,0.0190226,0.0270164,0.0429291,0.0748277,0.138650", \ "0.0170152,0.0197719,0.0224733,0.0285041,0.0429498,0.0748183,0.138695", \ "0.0236710,0.0274730,0.0311929,0.0375236,0.0484695,0.0753734,0.138654", \ "0.0309108,0.0357765,0.0405755,0.0487721,0.0619456,0.0838554,0.138948", \ "0.0389168,0.0447940,0.0506302,0.0606803,0.0769583,0.101905,0.147264"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("2.018489,2.060283,2.070423,2.072727,2.088653,2.095433,2.086095", \ "1.925081,1.964679,2.006614,2.038308,2.061150,2.075314,2.075462", \ "1.853496,1.881304,1.921009,1.962544,2.001220,2.042873,2.060874", \ "2.178061,2.095434,2.058830,2.037016,2.041719,2.056890,2.063641", \ "3.189750,2.919541,2.700023,2.501662,2.341674,2.234523,2.162506", \ "4.755543,4.344670,4.013681,3.524747,3.053495,2.710422,2.453848", \ "6.878736,6.409200,5.950249,5.245367,4.371027,3.623563,3.042241"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("5.952394,6.047888,6.018506,6.085336,5.892542,6.084601,5.778267", \ "5.852582,5.980459,5.987791,6.071336,6.097048,5.969535,5.865455", \ "5.952236,5.977129,5.994941,6.061537,5.940576,5.778258,6.103293", \ "6.168460,6.340745,6.305747,6.232420,6.249314,6.220386,5.926441", \ "6.990592,6.872874,6.864030,6.967697,6.692669,6.459557,6.097829", \ "8.560323,8.310540,8.090528,8.018066,7.830180,7.091029,6.967580", \ "10.743010,10.528860,10.233250,9.836301,9.253526,8.517254,7.619653"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("2.035630,2.071089,2.068444,2.087612,2.091474,2.095443,2.093045", \ "1.946506,1.972727,2.015573,2.027219,2.058127,2.076690,2.078576", \ "1.738993,1.816262,1.861694,1.923209,1.987148,2.029123,2.053073", \ "1.795263,1.808837,1.808740,1.867291,1.923919,1.970230,2.025511", \ "2.433198,2.262727,2.125901,2.045955,2.017513,2.009679,2.040028", \ "3.589229,3.276592,3.011434,2.683959,2.397505,2.252984,2.163345", \ "5.241331,4.841309,4.459827,3.922562,3.287021,2.809655,2.501535"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("7.482558,7.504757,7.499693,7.578010,7.517347,7.585503,7.579855", \ "7.400518,7.479607,7.475547,7.563219,7.523346,7.408695,7.448422", \ "7.397498,7.421685,7.479343,7.517299,7.560807,7.501268,7.215557", \ "7.675100,7.808691,7.728712,7.723662,7.653817,7.508597,7.737048", \ "8.402756,8.427472,8.404920,8.450894,8.177168,7.846617,7.885448", \ "9.867052,9.763690,9.592639,9.478436,9.344490,8.728672,8.115158", \ "12.014960,11.912640,11.684630,11.422940,10.899480,10.313840,9.459856"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("2.053928,2.063002,2.070937,2.077404,2.094996,2.086141,2.083878", \ "1.923886,1.985728,2.010749,2.037306,2.067776,2.067233,2.084602", \ "1.734154,1.807252,1.850494,1.921756,1.981125,2.031128,2.052732", \ "1.702848,1.727771,1.760571,1.816820,1.889695,1.955588,2.018369", \ "2.219425,2.078895,1.974719,1.913334,1.929038,1.956582,2.010217", \ "3.267775,2.998326,2.793852,2.506290,2.238771,2.153836,2.107435", \ "4.909317,4.559124,4.209239,3.709147,3.104482,2.649160,2.416475"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("8.739589,8.790578,8.864242,8.881699,8.945990,8.866330,8.733561", \ "8.625513,8.704539,8.722735,8.777262,8.747499,8.734215,8.845621", \ "8.599844,8.659124,8.722544,8.726057,8.643783,8.735092,8.856213", \ "8.942129,8.984933,8.954077,9.020922,9.006157,8.915707,8.766393", \ "9.663933,9.678059,9.776687,9.713457,9.587830,9.325882,8.868708", \ "11.238760,11.154550,11.090880,10.835170,10.729610,10.253720,9.773467", \ "13.516130,13.336900,13.117900,12.797680,12.443480,11.609620,10.685410"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("2.050053,2.060020,2.080053,2.077603,2.092899,2.081296,2.092002", \ "1.921156,1.983722,2.009321,2.038022,2.062832,2.063201,2.081890", \ "1.718432,1.801939,1.847650,1.920848,1.969323,2.019050,2.057534", \ "1.684296,1.698656,1.741985,1.790387,1.882489,1.957887,2.008687", \ "2.141791,2.036378,1.943694,1.866988,1.896511,1.942611,1.992471", \ "3.137173,2.925886,2.739633,2.457735,2.202512,2.120743,2.094947", \ "4.705752,4.439578,4.167605,3.713307,3.105421,2.627207,2.403632"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.485690,6.971380,13.942800,27.885500,55.771000,111.542000"); values ("9.703272,9.788982,9.957403,10.024580,10.025830,9.899708,10.254260", \ "9.629119,9.692465,9.851030,9.951969,9.983849,10.194400,10.046460", \ "9.608355,9.728896,9.810848,9.911559,9.959910,9.935756,10.202730", \ "10.117150,10.099110,10.122570,10.059580,10.097590,10.250200,10.275560", \ "10.861590,10.942950,10.994690,10.908560,10.585800,10.235670,10.460590", \ "12.500860,12.421280,12.376710,12.192740,11.864410,11.552840,10.914210", \ "14.960050,14.821370,14.629490,14.202260,13.740850,12.984340,12.141490"); } } } } /****************************************************************************************** Module : NAND4_X4 Cell Description : Combinational cell (NAND4_X4) with drive strength X4 *******************************************************************************************/ cell (NAND4_X4) { drive_strength : 4; area : 4.788000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 72.506878; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 13.249940; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 72.071670; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 17.178447; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 131.517650; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 14.302574; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 75.631479; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 17.841758; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 216.727280; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 4.684863; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 63.945139; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 8.412548; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 133.918944; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 4.752341; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 73.835956; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 14.459211; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 297.580250; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.652047; fall_capacitance : 5.652047; rise_capacitance : 5.627042; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.794997; fall_capacitance : 5.577139; rise_capacitance : 5.794997; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 5.905165; fall_capacitance : 5.536274; rise_capacitance : 5.905165; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.095535; fall_capacitance : 5.502966; rise_capacitance : 6.095535; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 222.778000; function : "!(((A1 & A2) & A3) & A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0140539,0.0205672,0.0273809,0.0409454,0.0680033,0.122062,0.230137", \ "0.0149394,0.0215388,0.0284108,0.0420418,0.0691567,0.123253,0.231354", \ "0.0194687,0.0257896,0.0325906,0.0462081,0.0733507,0.127491,0.235619", \ "0.0249378,0.0335470,0.0414436,0.0551568,0.0819452,0.135875,0.243897", \ "0.0293418,0.0401207,0.0501086,0.0675428,0.0965301,0.149666,0.257200", \ "0.0329233,0.0456841,0.0575172,0.0783668,0.113478,0.170210,0.276615", \ "0.0355881,0.0503226,0.0639328,0.0878920,0.128620,0.195035,0.303287"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.00955836,0.0136720,0.0179303,0.0263686,0.0431566,0.0766696,0.143645", \ "0.0111971,0.0153271,0.0196182,0.0281072,0.0449492,0.0785003,0.145503", \ "0.0170676,0.0217495,0.0259292,0.0343222,0.0511219,0.0846726,0.151682", \ "0.0232611,0.0302300,0.0364005,0.0466336,0.0634506,0.0967483,0.163602", \ "0.0293472,0.0384216,0.0465448,0.0602688,0.0821077,0.116239,0.182607", \ "0.0353851,0.0465448,0.0565372,0.0735113,0.100977,0.143149,0.209972", \ "0.0413189,0.0545508,0.0664402,0.0866164,0.119401,0.170548,0.246814"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0102493,0.0158531,0.0217215,0.0334088,0.0567322,0.103352,0.196526", \ "0.0102077,0.0158439,0.0217186,0.0334131,0.0567328,0.103344,0.196530", \ "0.0108665,0.0157533,0.0216031,0.0334102,0.0567322,0.103349,0.196529", \ "0.0157670,0.0203620,0.0249139,0.0342886,0.0567293,0.103347,0.196534", \ "0.0222147,0.0276479,0.0328089,0.0421267,0.0598795,0.103334,0.196527", \ "0.0301853,0.0364127,0.0424004,0.0530791,0.0715720,0.107395,0.196528", \ "0.0398452,0.0468571,0.0535836,0.0656523,0.0864820,0.121841,0.198513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.00661249,0.0103729,0.0143556,0.0223234,0.0382646,0.0701583,0.133931", \ "0.00660926,0.0103709,0.0143544,0.0223191,0.0382629,0.0701600,0.133919", \ "0.00908296,0.0114283,0.0146549,0.0223249,0.0382748,0.0701500,0.133923", \ "0.0145617,0.0177781,0.0206818,0.0257678,0.0387175,0.0701551,0.133922", \ "0.0213238,0.0254156,0.0292513,0.0357976,0.0463736,0.0713723,0.133920", \ "0.0296553,0.0345142,0.0391408,0.0472105,0.0604234,0.0815587,0.134619", \ "0.0397144,0.0453065,0.0506917,0.0600996,0.0758289,0.100584,0.144273"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0188519,0.0253462,0.0321504,0.0457066,0.0727590,0.126812,0.234888", \ "0.0198906,0.0264880,0.0333551,0.0469782,0.0740856,0.128178,0.236276", \ "0.0236823,0.0303635,0.0373007,0.0510325,0.0782632,0.132461,0.240630", \ "0.0289182,0.0370898,0.0448161,0.0590060,0.0863348,0.140645,0.248908", \ "0.0333973,0.0438748,0.0534463,0.0700805,0.0991870,0.153587,0.261923", \ "0.0370486,0.0497328,0.0613221,0.0813803,0.114844,0.171966,0.280343", \ "0.0399602,0.0548055,0.0683030,0.0917159,0.130726,0.194302,0.304769"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0122762,0.0163801,0.0206502,0.0291193,0.0459626,0.0795553,0.146624", \ "0.0138880,0.0180337,0.0223338,0.0308382,0.0477138,0.0813325,0.148411", \ "0.0201792,0.0243317,0.0285697,0.0370220,0.0538723,0.0874838,0.154567", \ "0.0281085,0.0343165,0.0399634,0.0495533,0.0661883,0.0995597,0.166493", \ "0.0359770,0.0440932,0.0515537,0.0644255,0.0853417,0.119053,0.185508", \ "0.0440044,0.0539484,0.0631009,0.0790109,0.105314,0.146369,0.212888", \ "0.0521841,0.0639606,0.0747981,0.0936370,0.124973,0.174707,0.249806"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0102804,0.0158532,0.0217159,0.0334067,0.0567369,0.103346,0.196526", \ "0.0102787,0.0158531,0.0217168,0.0334072,0.0567320,0.103344,0.196529", \ "0.0106784,0.0158923,0.0217188,0.0334071,0.0567352,0.103352,0.196529", \ "0.0140136,0.0186792,0.0235720,0.0339771,0.0567397,0.103348,0.196535", \ "0.0196658,0.0244166,0.0291918,0.0386470,0.0584646,0.103347,0.196537", \ "0.0267620,0.0320461,0.0372246,0.0468750,0.0655151,0.105483,0.196532", \ "0.0350951,0.0409901,0.0467957,0.0573264,0.0764445,0.113708,0.197603"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.00819968,0.0119744,0.0159682,0.0239663,0.0399555,0.0719212,0.135790", \ "0.00820022,0.0119748,0.0159701,0.0239658,0.0399566,0.0719335,0.135788", \ "0.00952402,0.0124371,0.0160200,0.0239683,0.0399635,0.0719373,0.135785", \ "0.0151471,0.0183358,0.0212029,0.0265946,0.0401973,0.0719161,0.135795", \ "0.0215952,0.0258992,0.0298026,0.0363519,0.0470558,0.0728517,0.135795", \ "0.0290651,0.0344468,0.0393539,0.0476740,0.0609920,0.0824100,0.136312", \ "0.0377681,0.0442267,0.0500987,0.0600881,0.0762594,0.101140,0.145466"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0215857,0.0280801,0.0348841,0.0484400,0.0754929,0.129547,0.237621", \ "0.0226589,0.0292562,0.0361236,0.0497467,0.0768552,0.130947,0.239044", \ "0.0256938,0.0323596,0.0392951,0.0530267,0.0802570,0.134453,0.242620", \ "0.0293751,0.0368820,0.0443395,0.0584548,0.0857571,0.140055,0.248317", \ "0.0324782,0.0416784,0.0502106,0.0657144,0.0943712,0.148793,0.257104", \ "0.0341309,0.0455130,0.0558295,0.0737124,0.104795,0.161329,0.269624", \ "0.0346090,0.0481139,0.0602970,0.0812194,0.116151,0.176226,0.286392"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0142224,0.0184692,0.0228384,0.0314319,0.0484051,0.0821107,0.149309", \ "0.0157646,0.0200411,0.0244281,0.0330408,0.0500312,0.0837493,0.150950", \ "0.0221294,0.0262616,0.0306055,0.0391835,0.0561499,0.0898565,0.157056", \ "0.0314760,0.0372741,0.0426125,0.0517867,0.0684611,0.101936,0.168977", \ "0.0408498,0.0484099,0.0554430,0.0677332,0.0879784,0.121451,0.188003", \ "0.0506167,0.0598021,0.0683781,0.0835251,0.108921,0.149087,0.215401", \ "0.0608990,0.0716906,0.0817654,0.0995803,0.129728,0.178289,0.252376"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0102809,0.0158521,0.0217149,0.0334141,0.0567391,0.103344,0.196534", \ "0.0102790,0.0158544,0.0217149,0.0334059,0.0567392,0.103343,0.196533", \ "0.0104898,0.0158806,0.0217180,0.0334056,0.0567367,0.103341,0.196538", \ "0.0126206,0.0177062,0.0230650,0.0339131,0.0567391,0.103341,0.196527", \ "0.0171919,0.0217363,0.0266448,0.0369300,0.0582193,0.103358,0.196535", \ "0.0240931,0.0286327,0.0332730,0.0426618,0.0626990,0.105316,0.196528", \ "0.0325729,0.0373949,0.0422810,0.0515304,0.0702642,0.110771,0.197879"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.00970226,0.0134427,0.0174160,0.0253850,0.0413731,0.0733651,0.137295", \ "0.00970051,0.0134433,0.0174154,0.0253886,0.0413731,0.0733576,0.137291", \ "0.0102967,0.0135462,0.0173831,0.0253852,0.0413653,0.0733540,0.137297", \ "0.0157703,0.0188494,0.0216404,0.0273704,0.0414823,0.0733596,0.137305", \ "0.0221672,0.0263918,0.0302504,0.0367524,0.0476225,0.0740693,0.137294", \ "0.0293359,0.0347001,0.0396314,0.0479818,0.0613148,0.0830516,0.137703", \ "0.0375102,0.0439666,0.0499304,0.0600758,0.0764251,0.101438,0.146390"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0229510,0.0294428,0.0362464,0.0498019,0.0768535,0.130909,0.238982", \ "0.0240110,0.0306078,0.0374749,0.0510970,0.0782046,0.132297,0.240394", \ "0.0264266,0.0330886,0.0400250,0.0537574,0.0809875,0.135183,0.243347", \ "0.0286994,0.0358252,0.0430905,0.0571033,0.0843902,0.138678,0.246934", \ "0.0301627,0.0381163,0.0459048,0.0607093,0.0889641,0.143384,0.251667", \ "0.0298814,0.0395525,0.0484389,0.0644856,0.0940794,0.150018,0.258309", \ "0.0275032,0.0392589,0.0497885,0.0679659,0.0996316,0.157580,0.267394"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0154562,0.0199323,0.0244643,0.0332610,0.0504395,0.0843096,0.151595", \ "0.0169955,0.0214865,0.0260275,0.0348338,0.0520205,0.0858958,0.153185", \ "0.0234223,0.0277257,0.0322110,0.0409808,0.0581397,0.0919950,0.159269", \ "0.0340016,0.0395670,0.0447112,0.0536118,0.0704545,0.104083,0.171195", \ "0.0447532,0.0519488,0.0586896,0.0705478,0.0902625,0.123612,0.190233", \ "0.0561408,0.0648040,0.0729643,0.0875051,0.112144,0.151538,0.217672", \ "0.0684866,0.0785441,0.0880037,0.104971,0.134089,0.181548,0.254716"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0102791,0.0158522,0.0217148,0.0334068,0.0567376,0.103352,0.196528", \ "0.0102799,0.0158519,0.0217204,0.0334116,0.0567383,0.103347,0.196528", \ "0.0103554,0.0158629,0.0217180,0.0334064,0.0567354,0.103341,0.196535", \ "0.0116661,0.0170599,0.0226692,0.0337980,0.0567348,0.103343,0.196534", \ "0.0144493,0.0193885,0.0248012,0.0358606,0.0579724,0.103402,0.196526", \ "0.0200093,0.0243995,0.0291933,0.0393913,0.0610368,0.105129,0.196529", \ "0.0278587,0.0320654,0.0365242,0.0457158,0.0658439,0.109113,0.197994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("0.0112976,0.0150313,0.0189828,0.0269064,0.0428256,0.0747617,0.138685", \ "0.0112613,0.0150175,0.0189759,0.0269058,0.0428214,0.0747649,0.138691", \ "0.0112850,0.0148162,0.0188537,0.0268868,0.0428255,0.0747650,0.138679", \ "0.0165127,0.0195059,0.0222423,0.0283032,0.0428204,0.0747592,0.138689", \ "0.0229434,0.0270724,0.0308592,0.0372566,0.0482667,0.0752816,0.138678", \ "0.0299691,0.0352462,0.0401239,0.0484177,0.0616864,0.0837049,0.138992", \ "0.0377473,0.0441215,0.0500678,0.0602429,0.0766334,0.101691,0.147245"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("4.069972,4.124954,4.141210,4.142961,4.183580,4.183524,4.179963", \ "3.811774,3.945172,3.999654,4.059461,4.109669,4.139342,4.154168", \ "3.687800,3.768218,3.806849,3.908854,4.014891,4.084004,4.116852", \ "4.491802,4.225644,4.145717,4.105416,4.091683,4.111115,4.136896", \ "6.571578,5.929243,5.463265,5.022302,4.683033,4.471232,4.339074", \ "9.750413,8.847081,8.114223,7.105524,6.134850,5.430011,4.926435", \ "13.973100,12.974080,12.003830,10.561930,8.777110,7.260565,6.112752"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("10.423920,10.612340,10.586640,10.676570,10.739420,10.802890,10.600280", \ "10.254540,10.459050,10.531790,10.639620,10.544140,10.916270,10.393550", \ "10.465300,10.478420,10.569850,10.634990,10.724540,10.533340,9.955893", \ "10.947530,11.307180,11.173380,11.100530,10.860120,10.586890,10.928710", \ "12.543000,12.394670,12.416350,12.394550,12.107000,11.107980,11.286040", \ "15.723230,15.358690,14.878220,14.338170,14.235490,12.712200,11.914350", \ "20.094530,19.680470,19.098340,18.298400,17.241060,16.013900,14.327510"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("4.089108,4.142991,4.163171,4.184611,4.187913,4.186571,4.183427", \ "3.842096,3.937494,4.028925,4.091281,4.138159,4.149795,4.167593", \ "3.445180,3.616335,3.717316,3.836458,3.949376,4.057572,4.116445", \ "3.563363,3.546673,3.593837,3.692450,3.830773,3.956149,4.050265", \ "4.842506,4.468997,4.193109,4.037556,3.978793,4.021686,4.068832", \ "7.192220,6.473941,5.966494,5.299219,4.747768,4.449039,4.300219", \ "10.515890,9.645524,8.861465,7.755590,6.470653,5.541811,4.962704"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("13.880710,14.023950,14.076990,14.039090,13.975530,14.146220,13.512460", \ "13.755800,13.900450,14.008800,14.047630,14.015680,13.715080,14.212210", \ "13.700070,13.863490,13.965260,14.023480,14.119940,14.264500,13.755520", \ "14.331220,14.599540,14.502550,14.334790,14.234250,14.272420,14.115720", \ "15.807660,15.754130,15.890190,15.682120,15.447120,14.729340,14.622200", \ "18.741710,18.585440,18.352170,17.989910,17.534890,16.542300,15.582900", \ "22.994960,22.770320,22.449780,21.698440,20.945750,19.735030,17.484720"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("4.090892,4.129468,4.166386,4.168204,4.195868,4.198424,4.191850", \ "3.857374,3.956886,4.026337,4.066475,4.128105,4.160158,4.171838", \ "3.402189,3.588248,3.702076,3.833156,3.945003,4.065726,4.118782", \ "3.370974,3.399024,3.477126,3.616303,3.776991,3.926224,4.033247", \ "4.375550,4.088687,3.890164,3.773140,3.814790,3.906646,4.003370", \ "6.457548,5.869929,5.465026,4.889923,4.412507,4.238045,4.180810", \ "9.738446,8.917956,8.280215,7.251793,6.062474,5.214172,4.762948"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("16.541280,16.767740,16.867080,16.885340,17.147580,17.427990,16.880590", \ "16.360150,16.622880,16.726070,16.825630,17.023030,16.989890,16.784820", \ "16.307440,16.475650,16.599160,16.630830,16.679310,16.932710,17.126440", \ "17.101440,17.258240,17.200470,17.068420,17.245120,17.276380,16.817780", \ "18.496690,18.665550,18.629640,18.730800,18.336090,17.815240,17.532140", \ "21.662760,21.418050,21.348840,20.870940,20.422980,19.578470,19.064030", \ "26.113650,25.828290,25.547670,24.972450,24.031260,22.714310,20.395350"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("4.049672,4.133061,4.156956,4.178281,4.171377,4.184848,4.184976", \ "3.833090,3.959130,4.010118,4.070566,4.121257,4.143976,4.159960", \ "3.413818,3.557563,3.679140,3.827765,3.957757,4.055067,4.111329", \ "3.349432,3.370948,3.457332,3.588903,3.740240,3.895792,4.008890", \ "4.216153,3.991922,3.812857,3.679350,3.744084,3.871587,3.983306", \ "6.145192,5.697472,5.324193,4.786431,4.278036,4.163854,4.138409", \ "9.226497,8.653081,8.096082,7.199474,6.017209,5.118195,4.718811"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,6.961810,13.923600,27.847200,55.694500,111.389000,222.778000"); values ("18.701470,19.071310,19.314090,19.610580,19.556710,20.261070,20.350750", \ "18.485800,18.864120,19.168380,19.321030,19.359940,20.022280,19.628460", \ "18.467480,18.818330,19.012040,19.332360,19.692450,19.822050,19.938860", \ "19.549310,19.567000,19.597940,19.813800,19.621570,20.150070,20.080080", \ "21.127390,21.248660,21.373970,21.319210,21.106310,20.815480,20.471480", \ "24.405260,24.262460,24.205290,23.997440,23.472500,22.301040,21.002580", \ "29.255390,29.071670,28.745720,28.042180,27.105620,25.559900,24.142480"); } } } } /****************************************************************************************** Module : NOR2_X1 Cell Description : Combinational cell (NOR2_X1) with drive strength X1 *******************************************************************************************/ cell (NOR2_X1) { drive_strength : 1; area : 0.798000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 21.199545; leakage_power () { when : "!A1 & !A2"; value : 20.201390; } leakage_power () { when : "!A1 & A2"; value : 16.331524; } leakage_power () { when : "A1 & !A2"; value : 18.682213; } leakage_power () { when : "A1 & A2"; value : 29.583055; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.714471; fall_capacitance : 1.413093; rise_capacitance : 1.714471; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.651345; fall_capacitance : 1.563845; rise_capacitance : 1.651345; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 26.702900; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00397653,0.00457466,0.00561917,0.00767508,0.0117463,0.0198523,0.0360422", \ "0.00547127,0.00612776,0.00717302,0.00923719,0.0133237,0.0214435,0.0376394", \ "0.00708289,0.00833137,0.0102828,0.0135525,0.0187348,0.0269866,0.0431312", \ "0.00643480,0.00830202,0.0112466,0.0161774,0.0240229,0.0360290,0.0538992", \ "0.00293644,0.00546114,0.00944399,0.0161209,0.0267481,0.0430097,0.0672757", \ "-0.00379899,-0.000610666,0.00441892,0.0128928,0.0264160,0.0470897,0.0779240", \ "-0.0140251,-0.0102114,-0.00415957,0.00610983,0.0225956,0.0478418,0.0854223"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.0136008,0.0161505,0.0205760,0.0292340,0.0462805,0.0801242,0.147594", \ "0.0142633,0.0167540,0.0211519,0.0298650,0.0470595,0.0810783,0.148696", \ "0.0202027,0.0226399,0.0267281,0.0350583,0.0519006,0.0857406,0.153365", \ "0.0282210,0.0316593,0.0372260,0.0469299,0.0634650,0.0965651,0.163573", \ "0.0381015,0.0422278,0.0490020,0.0610840,0.0815179,0.115113,0.181020", \ "0.0503320,0.0550648,0.0628653,0.0768972,0.101146,0.141009,0.207020", \ "0.0651817,0.0704989,0.0792584,0.0950330,0.122530,0.168656,0.242490"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00192441,0.00241800,0.00329640,0.00505627,0.00857388,0.0156136,0.0296933", \ "0.00229084,0.00263097,0.00334789,0.00505560,0.00857493,0.0156143,0.0296906", \ "0.00496431,0.00546654,0.00628416,0.00770012,0.0100546,0.0157583,0.0296920", \ "0.00901917,0.00972130,0.0108365,0.0127747,0.0160420,0.0212913,0.0311837", \ "0.0146046,0.0155328,0.0169758,0.0194491,0.0235598,0.0302682,0.0408153", \ "0.0217895,0.0229675,0.0247841,0.0278616,0.0328706,0.0409102,0.0537573", \ "0.0307010,0.0320830,0.0343082,0.0380597,0.0440742,0.0535166,0.0684779"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00925353,0.0114438,0.0153346,0.0230870,0.0385689,0.0694912,0.131322", \ "0.00923899,0.0114351,0.0153281,0.0230855,0.0385719,0.0694893,0.131336", \ "0.0106741,0.0122810,0.0155251,0.0230745,0.0385612,0.0694951,0.131321", \ "0.0150971,0.0171566,0.0205109,0.0261442,0.0390364,0.0694916,0.131332", \ "0.0198950,0.0223274,0.0263725,0.0335905,0.0456412,0.0707776,0.131310", \ "0.0259774,0.0286131,0.0331032,0.0414302,0.0559056,0.0796181,0.132171", \ "0.0337201,0.0364492,0.0411901,0.0502381,0.0665863,0.0939909,0.140955"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00472393,0.00540779,0.00657457,0.00879917,0.0130580,0.0213323,0.0376546", \ "0.00629543,0.00693648,0.00806589,0.0102660,0.0145163,0.0227903,0.0391080", \ "0.00927083,0.0103694,0.0121301,0.0151498,0.0200517,0.0282832,0.0445464", \ "0.0102356,0.0118496,0.0144441,0.0189354,0.0262861,0.0378013,0.0553077", \ "0.00868257,0.0108072,0.0142617,0.0202440,0.0301054,0.0456175,0.0692253", \ "0.00432232,0.00694968,0.0112580,0.0187413,0.0311253,0.0507080,0.0805915", \ "-0.00304520,0.0000894997,0.00520117,0.0141618,0.0290812,0.0527577,0.0889743"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.0178889,0.0203641,0.0247052,0.0332770,0.0502508,0.0840404,0.151487", \ "0.0192451,0.0217153,0.0260761,0.0347140,0.0518128,0.0857314,0.153273", \ "0.0246571,0.0270960,0.0313811,0.0399222,0.0569605,0.0909276,0.158599", \ "0.0312175,0.0343558,0.0395533,0.0490443,0.0661483,0.0999614,0.167564", \ "0.0392881,0.0430442,0.0492194,0.0603554,0.0800611,0.114710,0.181992", \ "0.0502610,0.0545883,0.0616735,0.0743384,0.0965600,0.135176,0.203264", \ "0.0638348,0.0687954,0.0768215,0.0911095,0.115886,0.158487,0.231895"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00300425,0.00351939,0.00441594,0.00617569,0.00967005,0.0166809,0.0307525", \ "0.00305393,0.00349247,0.00433902,0.00614244,0.00966310,0.0166806,0.0307539", \ "0.00584754,0.00631333,0.00706597,0.00838823,0.0107451,0.0167514,0.0307539", \ "0.00989689,0.0105785,0.0116608,0.0135566,0.0167388,0.0218673,0.0320190", \ "0.0152589,0.0161736,0.0176097,0.0200946,0.0242415,0.0309153,0.0413661", \ "0.0219825,0.0231823,0.0250230,0.0281583,0.0332701,0.0414592,0.0543490", \ "0.0301402,0.0316403,0.0339615,0.0378497,0.0440369,0.0537411,0.0689432"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.00927223,0.0114475,0.0153336,0.0230816,0.0385694,0.0695096,0.131328", \ "0.00927230,0.0114488,0.0153345,0.0230826,0.0385682,0.0695035,0.131326", \ "0.00966647,0.0116198,0.0153697,0.0230869,0.0385573,0.0694880,0.131340", \ "0.0131167,0.0150779,0.0184572,0.0248153,0.0388177,0.0694866,0.131316", \ "0.0175180,0.0195635,0.0231238,0.0300543,0.0431848,0.0704324,0.131317", \ "0.0228810,0.0249988,0.0287245,0.0360090,0.0500223,0.0762877,0.132145", \ "0.0293967,0.0315297,0.0354040,0.0429882,0.0576561,0.0854526,0.137995"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("-0.000055,-0.000060,-0.000069,-0.000086,-0.000120,-0.000189,-0.000325", \ "-0.000151,-0.000156,-0.000165,-0.000182,-0.000216,-0.000285,-0.000421", \ "-0.000481,-0.000488,-0.000499,-0.000519,-0.000553,-0.000621,-0.000757", \ "0.187001,0.160315,0.121679,0.061956,-0.001192,-0.001266,-0.001401", \ "0.662601,0.643308,0.601920,0.511644,0.369809,0.198877,0.055376", \ "1.326703,1.313179,1.281010,1.188932,1.015478,0.736290,0.431502", \ "2.189006,2.160164,2.156372,2.074392,1.910406,1.567171,1.081542"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("2.279085,2.309995,2.334245,2.361774,2.341132,2.358828,2.323780", \ "2.183965,2.210105,2.235214,2.265974,2.297592,2.297056,2.388799", \ "2.289895,2.290272,2.274935,2.278708,2.288484,2.230689,2.223377", \ "2.579097,2.603714,2.581552,2.535439,2.455046,2.329977,2.302410", \ "2.996472,3.014908,3.019224,3.030057,2.905084,2.685096,2.565892", \ "3.848592,3.811292,3.751715,3.706139,3.640467,3.374255,3.040097", \ "5.031936,4.971705,4.878433,4.745349,4.547846,4.362998,3.866994"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("0.094418,0.112558,0.141221,0.177459,0.213026,0.241028,0.267542", \ "0.041193,0.061087,0.090868,0.123500,0.163324,0.196394,0.224394", \ "0.137688,0.131384,0.117578,0.110211,0.143262,0.176132,0.204906", \ "0.445987,0.432912,0.400605,0.356256,0.290579,0.242925,0.244928", \ "0.968318,0.939075,0.897219,0.820903,0.696313,0.539203,0.401624", \ "1.697998,1.678036,1.633209,1.541975,1.367222,1.104411,0.803717", \ "2.618448,2.621268,2.598576,2.511614,2.323979,1.971829,1.498249"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.834466,1.668930,3.337860,6.675730,13.351500,26.702900"); values ("3.119090,3.124753,3.151451,3.149111,3.189892,3.145055,3.144990", \ "3.040093,3.053102,3.072367,3.097260,3.109630,3.166432,3.113197", \ "3.003223,3.006930,3.019595,3.010954,3.018522,3.074478,3.004708", \ "3.032980,3.066874,3.052614,3.029996,3.060958,3.065708,3.009352", \ "3.143002,3.179152,3.188104,3.288992,3.205339,3.163511,3.068550", \ "3.760972,3.716639,3.693231,3.650377,3.614061,3.457819,3.297959", \ "4.720636,4.647560,4.574232,4.446132,4.254458,4.100897,3.760544"); } } } } /****************************************************************************************** Module : NOR2_X2 Cell Description : Combinational cell (NOR2_X2) with drive strength X2 *******************************************************************************************/ cell (NOR2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 42.399074; leakage_power () { when : "!A1 & !A2"; value : 40.402780; } leakage_power () { when : "!A1 & A2"; value : 32.663147; } leakage_power () { when : "A1 & !A2"; value : 37.364382; } leakage_power () { when : "A1 & A2"; value : 59.165987; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.293307; fall_capacitance : 2.698867; rise_capacitance : 3.293307; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.346923; fall_capacitance : 3.178326; rise_capacitance : 3.346923; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 53.405800; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00379194,0.00462864,0.00567275,0.00772858,0.0117973,0.0198982,0.0360758", \ "0.00524203,0.00617938,0.00722496,0.00928882,0.0133720,0.0214858,0.0376719", \ "0.00663093,0.00839885,0.0103454,0.0136071,0.0187825,0.0270282,0.0431615", \ "0.00572800,0.00838577,0.0113156,0.0162406,0.0240785,0.0360705,0.0539303", \ "0.00196496,0.00555581,0.00952359,0.0161903,0.0268035,0.0430503,0.0673009", \ "-0.00502825,-0.000508837,0.00450286,0.0129669,0.0264758,0.0471324,0.0779389", \ "-0.0155186,-0.0101176,-0.00408132,0.00618404,0.0226569,0.0478814,0.0854363"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.0125984,0.0161618,0.0205884,0.0292458,0.0462939,0.0801276,0.147596", \ "0.0133049,0.0167651,0.0211674,0.0298788,0.0470734,0.0810870,0.148697", \ "0.0191535,0.0226568,0.0267454,0.0350761,0.0519160,0.0857516,0.153376", \ "0.0268122,0.0316685,0.0372340,0.0469402,0.0634853,0.0965799,0.163591", \ "0.0364112,0.0422290,0.0490089,0.0610900,0.0815285,0.115129,0.181039", \ "0.0483925,0.0550683,0.0628681,0.0769003,0.101146,0.141013,0.207033", \ "0.0629969,0.0704829,0.0792496,0.0950333,0.122529,0.168650,0.242488"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00173746,0.00242250,0.00330092,0.00505932,0.00857681,0.0156137,0.0296873", \ "0.00217272,0.00263029,0.00335049,0.00505918,0.00857634,0.0156137,0.0296868", \ "0.00474924,0.00546198,0.00627801,0.00769552,0.0100519,0.0157569,0.0296889", \ "0.00872331,0.00970722,0.0108224,0.0127651,0.0160347,0.0212887,0.0311797", \ "0.0141994,0.0155056,0.0169533,0.0194367,0.0235456,0.0302542,0.0408076", \ "0.0212827,0.0229129,0.0247391,0.0278287,0.0328411,0.0408840,0.0537338", \ "0.0300853,0.0320208,0.0342396,0.0379988,0.0440253,0.0534738,0.0684412"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00839910,0.0114428,0.0153327,0.0230824,0.0385708,0.0694960,0.131328", \ "0.00837981,0.0114349,0.0153307,0.0230835,0.0385676,0.0694998,0.131329", \ "0.0101196,0.0122972,0.0155287,0.0230750,0.0385647,0.0694925,0.131335", \ "0.0142593,0.0171557,0.0205119,0.0261542,0.0390347,0.0694991,0.131338", \ "0.0189254,0.0223193,0.0263663,0.0335947,0.0456537,0.0707804,0.131319", \ "0.0249329,0.0285912,0.0330892,0.0414231,0.0558985,0.0796280,0.132177", \ "0.0326045,0.0364144,0.0411790,0.0502277,0.0665704,0.0939835,0.140970"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00444717,0.00540689,0.00657475,0.00880036,0.0130595,0.0213330,0.0376509", \ "0.00604366,0.00693656,0.00806709,0.0102680,0.0145183,0.0227908,0.0391059", \ "0.00881422,0.0103747,0.0121358,0.0151535,0.0200528,0.0282840,0.0445417", \ "0.00957501,0.0118606,0.0144558,0.0189436,0.0262874,0.0377970,0.0553034", \ "0.00781073,0.0108292,0.0142809,0.0202565,0.0301071,0.0456125,0.0692175", \ "0.00324582,0.00699176,0.0112820,0.0187573,0.0311404,0.0506963,0.0805752", \ "-0.00431964,0.000126371,0.00523220,0.0141832,0.0290933,0.0527474,0.0889554"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.0168891,0.0203453,0.0246893,0.0332592,0.0502337,0.0840156,0.151459", \ "0.0182602,0.0217027,0.0260629,0.0347011,0.0517934,0.0857070,0.153253", \ "0.0236709,0.0270781,0.0313660,0.0399098,0.0569484,0.0909033,0.158574", \ "0.0299049,0.0343220,0.0395224,0.0490235,0.0661369,0.0999494,0.167546", \ "0.0377075,0.0430048,0.0491731,0.0603163,0.0800317,0.114696,0.181973", \ "0.0484401,0.0545452,0.0616126,0.0742890,0.0965152,0.135140,0.203244", \ "0.0617770,0.0687261,0.0767573,0.0910496,0.115833,0.158433,0.231850"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00280304,0.00352241,0.00441858,0.00617819,0.00967244,0.0166827,0.0307574", \ "0.00289462,0.00349435,0.00434056,0.00614536,0.00966529,0.0166825,0.0307575", \ "0.00565274,0.00631189,0.00706618,0.00838992,0.0107457,0.0167526,0.0307580", \ "0.00961172,0.0105668,0.0116524,0.0135498,0.0167340,0.0218665,0.0320283", \ "0.0148560,0.0161396,0.0175855,0.0200769,0.0242259,0.0309050,0.0413679", \ "0.0214524,0.0231184,0.0249817,0.0281274,0.0332440,0.0414260,0.0543285", \ "0.0294646,0.0315577,0.0338944,0.0377952,0.0439920,0.0536982,0.0689077"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.00841168,0.0114494,0.0153330,0.0230871,0.0385600,0.0695040,0.131333", \ "0.00841834,0.0114500,0.0153357,0.0230868,0.0385686,0.0694952,0.131332", \ "0.00894031,0.0116224,0.0153709,0.0230846,0.0385642,0.0694910,0.131339", \ "0.0123518,0.0150696,0.0184575,0.0248242,0.0388258,0.0695016,0.131325", \ "0.0167120,0.0195508,0.0231184,0.0300514,0.0431899,0.0704464,0.131322", \ "0.0220573,0.0249786,0.0287077,0.0359996,0.0500216,0.0762974,0.132161", \ "0.0285353,0.0315225,0.0353757,0.0429698,0.0576459,0.0854499,0.138028"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("-0.000108,-0.000121,-0.000138,-0.000173,-0.000241,-0.000378,-0.000651", \ "-0.000299,-0.000313,-0.000330,-0.000365,-0.000433,-0.000570,-0.000843", \ "-0.000956,-0.000977,-0.000999,-0.001039,-0.001107,-0.001243,-0.001516", \ "0.563513,0.501761,0.428293,0.305303,0.148675,0.014221,0.005680", \ "1.533345,1.459287,1.374739,1.205990,0.921602,0.576881,0.290436", \ "2.839215,2.815043,2.737811,2.563030,2.210362,1.649445,1.040829", \ "4.557010,4.539345,4.467224,4.337234,3.999615,3.305234,2.339107"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("4.367509,4.440314,4.482412,4.517911,4.563713,4.503435,4.443291", \ "4.201147,4.235616,4.282276,4.328757,4.412360,4.380819,4.352314", \ "4.407221,4.400810,4.384668,4.354517,4.371258,4.304172,4.431976", \ "4.973802,5.021666,4.950049,4.866964,4.752663,4.428198,4.529813", \ "5.848767,5.844265,5.866674,5.855369,5.603119,5.388219,4.929981", \ "7.541632,7.437436,7.318036,7.208103,7.137506,6.474665,5.877747", \ "9.964807,9.758475,9.583793,9.314005,8.888199,8.508076,7.741319"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("0.339185,0.417890,0.472647,0.531773,0.604569,0.666291,0.720126", \ "0.239311,0.299907,0.367995,0.430588,0.509283,0.579530,0.632157", \ "0.457165,0.448740,0.419681,0.409647,0.471563,0.537937,0.593197", \ "1.092341,1.044297,0.982239,0.892590,0.762296,0.668804,0.672881", \ "2.129723,2.059830,1.976794,1.814119,1.568547,1.255339,0.984709", \ "3.576856,3.528843,3.442073,3.252828,2.907656,2.380832,1.782304", \ "5.412841,5.432878,5.355427,5.198648,4.820235,4.112021,3.168800"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.668930,3.337860,6.675730,13.351500,26.702900,53.405800"); values ("6.017326,6.066120,6.099010,6.157765,6.131694,6.198633,6.088381", \ "5.878077,5.923219,5.970125,5.976157,6.079840,6.141160,5.968012", \ "5.797452,5.831470,5.856205,5.884306,5.899886,6.003626,5.845202", \ "5.838527,5.949729,5.911135,5.923016,5.883161,5.965349,5.819319", \ "6.125560,6.175084,6.192625,6.361310,6.274131,6.179860,5.879412", \ "7.346283,7.250240,7.202791,7.122396,7.062171,6.821858,6.622329", \ "9.292969,9.111799,8.961007,8.681627,8.329314,8.031219,7.362850"); } } } } /****************************************************************************************** Module : NOR2_X4 Cell Description : Combinational cell (NOR2_X4) with drive strength X4 *******************************************************************************************/ cell (NOR2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 84.798143; leakage_power () { when : "!A1 & !A2"; value : 80.805670; } leakage_power () { when : "!A1 & A2"; value : 65.326206; } leakage_power () { when : "A1 & !A2"; value : 74.728830; } leakage_power () { when : "A1 & A2"; value : 118.331864; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.773059; fall_capacitance : 5.594648; rise_capacitance : 6.773059; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.683366; fall_capacitance : 6.338564; rise_capacitance : 6.683366; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 106.811000; function : "!(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00364637,0.00460777,0.00565542,0.00771466,0.0117889,0.0199004,0.0360969", \ "0.00505858,0.00615750,0.00720633,0.00927380,0.0133624,0.0214873,0.0376939", \ "0.00628039,0.00834278,0.0103020,0.0135787,0.0187699,0.0270296,0.0431828", \ "0.00519508,0.00829174,0.0112449,0.0161888,0.0240506,0.0360692,0.0539527", \ "0.00124674,0.00542348,0.00941555,0.0161121,0.0267606,0.0430417,0.0673270", \ "-0.00592905,-0.000684648,0.00436152,0.0128648,0.0264144,0.0471169,0.0779730", \ "-0.0165982,-0.0103373,-0.00425926,0.00605511,0.0225763,0.0478569,0.0854712"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.0119130,0.0160000,0.0204355,0.0291034,0.0461659,0.0800222,0.147539", \ "0.0126537,0.0166077,0.0210146,0.0297354,0.0469454,0.0809799,0.148644", \ "0.0184166,0.0225139,0.0266023,0.0349391,0.0517922,0.0856520,0.153328", \ "0.0258367,0.0314484,0.0370445,0.0467896,0.0633634,0.0964850,0.163548", \ "0.0352578,0.0419619,0.0487735,0.0608962,0.0813877,0.115041,0.181002", \ "0.0470619,0.0547546,0.0625925,0.0766722,0.100974,0.140908,0.207000", \ "0.0614915,0.0701231,0.0789359,0.0947734,0.122328,0.168522,0.242449"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00160890,0.00238996,0.00326915,0.00502943,0.00855070,0.0155962,0.0296840", \ "0.00209889,0.00260569,0.00332233,0.00502952,0.00855049,0.0155965,0.0296894", \ "0.00460654,0.00543012,0.00624788,0.00767334,0.0100319,0.0157405,0.0296885", \ "0.00851454,0.00966187,0.0107819,0.0127327,0.0160095,0.0212721,0.0311792", \ "0.0139287,0.0154394,0.0168965,0.0193900,0.0235181,0.0302304,0.0408004", \ "0.0209347,0.0228164,0.0246609,0.0277654,0.0328064,0.0408628,0.0537349", \ "0.0296590,0.0318855,0.0341343,0.0379216,0.0439665,0.0534493,0.0684350"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00781238,0.0112882,0.0151792,0.0229388,0.0384288,0.0693750,0.131249", \ "0.00778494,0.0112844,0.0151821,0.0229407,0.0384283,0.0693725,0.131255", \ "0.00975019,0.0121747,0.0153928,0.0229289,0.0384319,0.0693923,0.131256", \ "0.0136562,0.0170057,0.0203780,0.0260381,0.0389087,0.0693925,0.131262", \ "0.0182351,0.0221327,0.0262021,0.0334575,0.0455499,0.0706691,0.131249", \ "0.0241965,0.0283845,0.0329002,0.0412580,0.0557662,0.0795247,0.132115", \ "0.0318750,0.0361891,0.0409735,0.0500418,0.0664138,0.0938722,0.140888"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00428563,0.00539074,0.00656211,0.00879119,0.0130535,0.0213298,0.0376494", \ "0.00589454,0.00692111,0.00805420,0.0102580,0.0145112,0.0227858,0.0391036", \ "0.00852127,0.0103354,0.0121038,0.0151324,0.0200439,0.0282797,0.0445402", \ "0.00914040,0.0117929,0.0144018,0.0189012,0.0262634,0.0377852,0.0553033", \ "0.00723703,0.0107381,0.0141984,0.0201935,0.0300657,0.0455882,0.0692081", \ "0.00252103,0.00687004,0.0111718,0.0186686,0.0310661,0.0506550,0.0805598", \ "-0.00518680,-0.0000308310,0.00509019,0.0140676,0.0290098,0.0526873,0.0889289"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.0162601,0.0202193,0.0245706,0.0331503,0.0501383,0.0839477,0.151436", \ "0.0176381,0.0215732,0.0259409,0.0345874,0.0516952,0.0856359,0.153224", \ "0.0230420,0.0269497,0.0312456,0.0397971,0.0568486,0.0908325,0.158548", \ "0.0290516,0.0341494,0.0393717,0.0489006,0.0660412,0.0998766,0.167520", \ "0.0366787,0.0427911,0.0489878,0.0601650,0.0799235,0.114635,0.181959", \ "0.0472562,0.0543007,0.0614048,0.0741204,0.0963910,0.135069,0.203239", \ "0.0604159,0.0684466,0.0765178,0.0908601,0.115695,0.158355,0.231845"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00266245,0.00348534,0.00438105,0.00614073,0.00963416,0.0166433,0.0307167", \ "0.00278869,0.00346031,0.00430437,0.00610780,0.00962731,0.0166436,0.0307182", \ "0.00551838,0.00627482,0.00703405,0.00836094,0.0107153,0.0167157,0.0307184", \ "0.00941040,0.0105122,0.0116043,0.0135071,0.0166982,0.0218426,0.0319902", \ "0.0145857,0.0160618,0.0175190,0.0200150,0.0241733,0.0308657,0.0413318", \ "0.0210932,0.0230067,0.0248871,0.0280502,0.0331823,0.0413793,0.0542863", \ "0.0289922,0.0314065,0.0337681,0.0376930,0.0439075,0.0536316,0.0688608"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.00783372,0.0112951,0.0151842,0.0229377,0.0384266,0.0693867,0.131256", \ "0.00784056,0.0113009,0.0151842,0.0229418,0.0384331,0.0693859,0.131253", \ "0.00844648,0.0114874,0.0152232,0.0229424,0.0384357,0.0693738,0.131263", \ "0.0118185,0.0149294,0.0183237,0.0247035,0.0386985,0.0693788,0.131250", \ "0.0161610,0.0193945,0.0229721,0.0299191,0.0430746,0.0703403,0.131257", \ "0.0214872,0.0248126,0.0285481,0.0358535,0.0498967,0.0761951,0.132100", \ "0.0279484,0.0313368,0.0352079,0.0428121,0.0575026,0.0853386,0.137961"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("-0.000210,-0.000241,-0.000276,-0.000345,-0.000482,-0.000755,-0.001302", \ "-0.000594,-0.000625,-0.000659,-0.000728,-0.000865,-0.001139,-0.001686", \ "-0.001905,-0.001951,-0.001997,-0.002077,-0.002214,-0.002486,-0.003032", \ "1.169286,1.021026,0.862651,0.615536,0.302750,0.038364,0.020205", \ "3.102010,2.949735,2.765948,2.419151,1.851855,1.163036,0.594850", \ "5.673391,5.630290,5.458192,5.126686,4.429248,3.308605,2.091367", \ "9.039228,9.036991,8.944912,8.693793,7.990809,6.628651,4.687746"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("8.490174,8.753059,8.852487,8.917438,9.050916,9.046270,8.746797", \ "8.245834,8.357980,8.452594,8.561144,8.790353,8.872300,8.565854", \ "8.617871,8.615873,8.622005,8.553576,8.635888,8.457484,8.362804", \ "9.719653,9.933659,9.778821,9.653569,9.441735,8.868230,9.027681", \ "11.565940,11.525810,11.601660,11.591260,11.145030,10.676110,9.794715", \ "14.989710,14.694710,14.539150,14.296360,13.971110,13.147830,11.953770", \ "19.808240,19.425840,19.072930,18.486390,17.761540,16.844180,15.355360"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("0.681613,0.816380,0.923620,1.068343,1.213073,1.330468,1.434165", \ "0.445623,0.598059,0.729573,0.873873,1.021820,1.157995,1.268921", \ "0.952748,0.898811,0.837542,0.823430,0.948786,1.082281,1.186181", \ "2.203066,2.094808,1.970535,1.797086,1.531578,1.338415,1.349009", \ "4.284146,4.109839,3.951969,3.640220,3.143074,2.519642,1.982502", \ "7.168954,7.042342,6.892055,6.518530,5.816233,4.770031,3.577758", \ "10.844460,10.861840,10.744150,10.415640,9.628487,8.232216,6.350014"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.337840,6.675690,13.351400,26.702700,53.405500,106.811000"); values ("11.928620,12.015810,12.083460,12.115070,12.068710,12.041250,12.032960", \ "11.633730,11.729510,11.807030,11.845350,12.028390,12.156360,11.792880", \ "11.481350,11.547110,11.571030,11.644990,11.728910,11.863230,11.646500", \ "11.489000,11.736940,11.709310,11.733010,11.733220,11.708430,11.571120", \ "12.055300,12.118130,12.274750,12.590440,12.407830,12.154860,12.023480", \ "14.555820,14.396050,14.237770,14.045320,14.070360,13.586500,13.108610", \ "18.480980,18.121280,17.785630,17.225860,16.595460,16.095470,14.700560"); } } } } /****************************************************************************************** Module : NOR3_X1 Cell Description : Combinational cell (NOR3_X1) with drive strength X1 *******************************************************************************************/ cell (NOR3_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 26.831667; leakage_power () { when : "!A1 & !A2 & !A3"; value : 30.294440; } leakage_power () { when : "!A1 & !A2 & A3"; value : 16.178382; } leakage_power () { when : "!A1 & A2 & !A3"; value : 16.410350; } leakage_power () { when : "!A1 & A2 & A3"; value : 29.552236; } leakage_power () { when : "A1 & !A2 & !A3"; value : 18.760258; } leakage_power () { when : "A1 & !A2 & A3"; value : 29.589705; } leakage_power () { when : "A1 & A2 & !A3"; value : 29.661906; } leakage_power () { when : "A1 & A2 & A3"; value : 44.206062; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.763571; fall_capacitance : 1.400501; rise_capacitance : 1.763571; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.663842; fall_capacitance : 1.476803; rise_capacitance : 1.663842; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.616298; fall_capacitance : 1.552718; rise_capacitance : 1.616298; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 16.021700; function : "!((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00431446,0.00471956,0.00547233,0.00686944,0.00946368,0.0143096,0.0233824", \ "0.00589299,0.00630289,0.00705530,0.00845632,0.0110613,0.0159190,0.0250039", \ "0.00797604,0.00878062,0.0101754,0.0124710,0.0160909,0.0215609,0.0305747", \ "0.00754686,0.00878910,0.0109277,0.0144440,0.0199730,0.0283141,0.0405207", \ "0.00376553,0.00547923,0.00844097,0.0133061,0.0209224,0.0323576,0.0490200", \ "-0.00386421,-0.00164784,0.00217112,0.00844389,0.0182906,0.0330291,0.0544133", \ "-0.0156422,-0.0129452,-0.00827214,-0.000574265,0.0115615,0.0297817,0.0561516"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0208929,0.0235663,0.0285228,0.0376643,0.0545610,0.0859747,0.144658", \ "0.0209346,0.0235769,0.0285193,0.0377185,0.0547841,0.0864410,0.145376", \ "0.0263957,0.0288021,0.0334213,0.0422276,0.0588689,0.0902110,0.149071", \ "0.0372797,0.0401647,0.0452760,0.0539467,0.0698710,0.100434,0.158452", \ "0.0499104,0.0534152,0.0595996,0.0703279,0.0883217,0.118352,0.175260", \ "0.0652647,0.0692529,0.0762931,0.0886722,0.109680,0.144050,0.200545", \ "0.0837937,0.0881972,0.0960620,0.109811,0.133382,0.172460,0.234959"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00221999,0.00255694,0.00319029,0.00438063,0.00661547,0.0108142,0.0186982", \ "0.00248084,0.00273134,0.00325238,0.00438095,0.00661575,0.0108142,0.0186977", \ "0.00520755,0.00554235,0.00613168,0.00713413,0.00876612,0.0116436,0.0186993", \ "0.00932400,0.00979513,0.0106088,0.0119859,0.0142421,0.0178104,0.0232403", \ "0.0150408,0.0156681,0.0167237,0.0184971,0.0213575,0.0258620,0.0328262", \ "0.0224714,0.0232564,0.0245932,0.0268045,0.0303165,0.0357657,0.0441204", \ "0.0316275,0.0325802,0.0342385,0.0369499,0.0411922,0.0476649,0.0574394"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0170266,0.0193642,0.0237020,0.0317586,0.0467855,0.0749079,0.127593", \ "0.0169194,0.0192925,0.0236668,0.0317451,0.0467821,0.0748934,0.127594", \ "0.0166040,0.0188110,0.0233330,0.0316576,0.0467715,0.0748872,0.127601", \ "0.0208883,0.0229004,0.0260577,0.0326962,0.0465882,0.0748749,0.127605", \ "0.0257112,0.0279533,0.0319960,0.0391771,0.0510907,0.0755439,0.127591", \ "0.0317508,0.0341749,0.0385891,0.0464892,0.0601421,0.0827064,0.128544", \ "0.0392757,0.0418172,0.0464369,0.0548986,0.0698121,0.0949129,0.136905"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00519670,0.00565846,0.00650087,0.00802519,0.0107776,0.0157830,0.0249984", \ "0.00680176,0.00724299,0.00806217,0.00956816,0.0123120,0.0173154,0.0265313", \ "0.0101517,0.0108732,0.0121311,0.0142486,0.0176407,0.0228781,0.0320269", \ "0.0112472,0.0123286,0.0142270,0.0174146,0.0225422,0.0304574,0.0422465", \ "0.00929456,0.0107551,0.0133398,0.0176768,0.0246778,0.0354562,0.0515003", \ "0.00381539,0.00566011,0.00895367,0.0145024,0.0234398,0.0372545,0.0577513", \ "-0.00551171,-0.00325684,0.000728259,0.00747409,0.0184143,0.0353476,0.0605139"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0318365,0.0344555,0.0393215,0.0483562,0.0651527,0.0964816,0.155109", \ "0.0323554,0.0349804,0.0398732,0.0489792,0.0659132,0.0974215,0.156224", \ "0.0371033,0.0396838,0.0445017,0.0535098,0.0703549,0.101853,0.160772", \ "0.0450367,0.0479711,0.0532130,0.0622877,0.0790086,0.110339,0.169118", \ "0.0547106,0.0580992,0.0641505,0.0748183,0.0932597,0.124715,0.183121", \ "0.0685612,0.0723794,0.0791490,0.0910264,0.111402,0.145912,0.204582", \ "0.0862637,0.0905100,0.0980573,0.111221,0.133632,0.171252,0.234101"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00333224,0.00367822,0.00432026,0.00551140,0.00773071,0.0119004,0.0197658", \ "0.00330376,0.00361755,0.00423986,0.00546724,0.00771393,0.0118969,0.0197649", \ "0.00603670,0.00634721,0.00688689,0.00781503,0.00934280,0.0124382,0.0197738", \ "0.0102269,0.0106717,0.0114498,0.0127836,0.0149636,0.0184209,0.0237504", \ "0.0158181,0.0164260,0.0174623,0.0192141,0.0220455,0.0265336,0.0334181", \ "0.0229003,0.0237010,0.0250275,0.0272540,0.0308127,0.0362972,0.0447111", \ "0.0314583,0.0324719,0.0341691,0.0369616,0.0413246,0.0479295,0.0578604"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0171617,0.0194505,0.0237316,0.0317626,0.0467855,0.0749019,0.127605", \ "0.0171695,0.0194555,0.0237334,0.0317630,0.0467844,0.0749048,0.127598", \ "0.0172182,0.0194838,0.0237491,0.0317643,0.0467736,0.0749081,0.127613", \ "0.0201329,0.0219530,0.0254833,0.0325171,0.0468188,0.0748854,0.127601", \ "0.0248779,0.0269396,0.0307572,0.0378045,0.0502069,0.0755738,0.127578", \ "0.0305149,0.0326165,0.0365568,0.0438050,0.0570986,0.0807916,0.128568", \ "0.0372274,0.0393723,0.0433779,0.0508498,0.0646165,0.0895201,0.134372"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00489358,0.00539583,0.00631671,0.00798399,0.0109730,0.0163081,0.0258925", \ "0.00663666,0.00709060,0.00794841,0.00954738,0.0124763,0.0177692,0.0273309", \ "0.0105781,0.0112999,0.0125665,0.0146930,0.0181023,0.0233862,0.0327791", \ "0.0126284,0.0136839,0.0155368,0.0186756,0.0237316,0.0315693,0.0432674", \ "0.0119064,0.0133047,0.0157772,0.0199647,0.0267597,0.0373115,0.0531264", \ "0.00800309,0.00975269,0.0128544,0.0181163,0.0266688,0.0400270,0.0600936", \ "0.000770225,0.00284517,0.00652999,0.0128186,0.0231374,0.0393430,0.0637585"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0353171,0.0379385,0.0428061,0.0518406,0.0686392,0.0999687,0.158595", \ "0.0363380,0.0389643,0.0438568,0.0529642,0.0698974,0.101404,0.160207", \ "0.0414084,0.0439920,0.0488144,0.0578260,0.0746739,0.106177,0.165099", \ "0.0487903,0.0515541,0.0564891,0.0654907,0.0822412,0.113616,0.172417", \ "0.0557597,0.0588770,0.0645165,0.0746436,0.0926076,0.124119,0.182710", \ "0.0647234,0.0681819,0.0743529,0.0853537,0.104745,0.138637,0.197722", \ "0.0779050,0.0816607,0.0883524,0.100156,0.120729,0.156488,0.218621"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.00371566,0.00413171,0.00488779,0.00623964,0.00864510,0.0129633,0.0208795", \ "0.00366448,0.00401392,0.00470695,0.00608035,0.00853782,0.0129035,0.0208536", \ "0.00673789,0.00703853,0.00756395,0.00846825,0.00995640,0.0132117,0.0207750", \ "0.0112745,0.0117049,0.0124571,0.0137268,0.0158195,0.0191602,0.0243960", \ "0.0172012,0.0177725,0.0187508,0.0204034,0.0231089,0.0274243,0.0341374", \ "0.0246516,0.0253862,0.0266129,0.0286902,0.0320373,0.0373062,0.0455216", \ "0.0336428,0.0345756,0.0361389,0.0387047,0.0427734,0.0490370,0.0587048"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.0171655,0.0194495,0.0237347,0.0317579,0.0467827,0.0749118,0.127609", \ "0.0171707,0.0194568,0.0237329,0.0317609,0.0467856,0.0748962,0.127604", \ "0.0171949,0.0194708,0.0237432,0.0317605,0.0467794,0.0748993,0.127605", \ "0.0186768,0.0206918,0.0245568,0.0320380,0.0467920,0.0749121,0.127613", \ "0.0223706,0.0245225,0.0285307,0.0359169,0.0491902,0.0754107,0.127576", \ "0.0276389,0.0297208,0.0336409,0.0410496,0.0548425,0.0798691,0.128642", \ "0.0347567,0.0367029,0.0404421,0.0476398,0.0613601,0.0870414,0.133979"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("-0.000074,-0.000077,-0.000084,-0.000095,-0.000118,-0.000159,-0.000237", \ "-0.000215,-0.000218,-0.000224,-0.000236,-0.000259,-0.000300,-0.000378", \ "-0.000704,-0.000708,-0.000717,-0.000731,-0.000754,-0.000795,-0.000872", \ "0.166076,0.158231,0.142444,0.111514,0.069049,0.005704,-0.001818", \ "0.517527,0.516061,0.501531,0.466331,0.402952,0.301392,0.176149", \ "1.039081,1.030377,1.015516,0.987376,0.920906,0.789891,0.589468", \ "1.704057,1.691894,1.688818,1.675746,1.615869,1.484802,1.227875"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("2.558513,2.573835,2.592740,2.600144,2.610423,2.579631,2.656412", \ "2.449695,2.463631,2.483350,2.513856,2.528809,2.598825,2.612488", \ "2.512499,2.517670,2.502210,2.512147,2.526808,2.505820,2.570329", \ "2.789297,2.786943,2.759134,2.730565,2.691259,2.649139,2.547674", \ "3.209321,3.233631,3.227049,3.177601,3.095908,3.005015,2.860955", \ "3.798979,3.786280,3.770256,3.783508,3.712725,3.590393,3.415864", \ "4.743947,4.706486,4.661937,4.600354,4.518476,4.368867,4.086230"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.158850,0.175037,0.195276,0.220808,0.248254,0.274961,0.300337", \ "0.145459,0.155724,0.178296,0.204449,0.236267,0.269066,0.297941", \ "0.231710,0.230621,0.224723,0.212037,0.234483,0.265967,0.295556", \ "0.467208,0.461053,0.449818,0.431320,0.396780,0.343978,0.337050", \ "0.846675,0.838767,0.825995,0.792400,0.736402,0.649382,0.537715", \ "1.384787,1.382003,1.374307,1.336845,1.270971,1.146105,0.963557", \ "2.097283,2.101201,2.091932,2.068444,2.007574,1.861609,1.616107"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("3.382532,3.374967,3.400307,3.410936,3.423785,3.367900,3.432362", \ "3.296942,3.298369,3.315245,3.343052,3.358183,3.405431,3.405025", \ "3.250530,3.260083,3.271395,3.282997,3.287620,3.288263,3.326701", \ "3.266935,3.254743,3.261772,3.271531,3.246920,3.304470,3.324452", \ "3.423482,3.454268,3.436255,3.424132,3.405047,3.341054,3.362335", \ "3.774491,3.763699,3.767619,3.790466,3.776979,3.668434,3.559226", \ "4.480532,4.462000,4.416637,4.373084,4.333377,4.194966,4.020601"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("0.217335,0.245444,0.292230,0.372457,0.463777,0.559114,0.640752", \ "0.188800,0.211360,0.252862,0.325196,0.401318,0.503885,0.587057", \ "0.307770,0.303683,0.312004,0.327438,0.385934,0.468284,0.546534", \ "0.604669,0.593766,0.591832,0.587286,0.574339,0.544272,0.586387", \ "1.044963,1.039054,1.026937,0.995362,0.950554,0.880612,0.789017", \ "1.678094,1.676765,1.657061,1.614576,1.541387,1.414339,1.240848", \ "2.509368,2.506414,2.493267,2.464993,2.378788,2.211980,1.945678"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.686498,1.289000,2.420290,4.544440,8.532860,16.021700"); values ("4.105695,4.117560,4.131326,4.130375,4.141322,4.136601,4.087146", \ "4.032953,4.030886,4.046355,4.081814,4.071633,4.066158,4.147581", \ "3.976647,3.986229,3.997581,3.999578,4.032234,4.053779,4.074529", \ "3.968993,3.978069,3.968081,3.986093,3.990921,4.006146,3.978031", \ "3.989311,4.043787,4.028266,4.036689,4.010361,3.987730,4.048120", \ "4.181694,4.166861,4.198799,4.214575,4.227611,4.216595,4.171628", \ "4.887143,4.844836,4.802830,4.760977,4.725662,4.653998,4.502717"); } } } } /****************************************************************************************** Module : NOR3_X2 Cell Description : Combinational cell (NOR3_X2) with drive strength X2 *******************************************************************************************/ cell (NOR3_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 53.663264; leakage_power () { when : "!A1 & !A2 & !A3"; value : 60.588880; } leakage_power () { when : "!A1 & !A2 & A3"; value : 32.356753; } leakage_power () { when : "!A1 & A2 & !A3"; value : 32.820579; } leakage_power () { when : "!A1 & A2 & A3"; value : 59.104347; } leakage_power () { when : "A1 & !A2 & !A3"; value : 37.520472; } leakage_power () { when : "A1 & !A2 & A3"; value : 59.179291; } leakage_power () { when : "A1 & A2 & !A3"; value : 59.323684; } leakage_power () { when : "A1 & A2 & A3"; value : 88.412108; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.365192; fall_capacitance : 2.640894; rise_capacitance : 3.365192; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.430457; fall_capacitance : 3.040295; rise_capacitance : 3.430457; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.442792; fall_capacitance : 3.319872; rise_capacitance : 3.442792; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 31.738300; function : "!((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00431130,0.00470989,0.00533308,0.00656312,0.00899529,0.0138239,0.0234505", \ "0.00588391,0.00629148,0.00691397,0.00814650,0.0105886,0.0154307,0.0250685", \ "0.00792398,0.00872264,0.00988958,0.0119664,0.0154642,0.0210582,0.0306384", \ "0.00744394,0.00867022,0.0104655,0.0136470,0.0189959,0.0275231,0.0405823", \ "0.00360122,0.00529948,0.00778147,0.0121826,0.0195573,0.0312540,0.0490822", \ "-0.00407982,-0.00189216,0.00130356,0.00697929,0.0165057,0.0315979,0.0544870", \ "-0.0159392,-0.0132580,-0.00935972,-0.00239399,0.00934813,0.0279953,0.0562335"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0205256,0.0231429,0.0272298,0.0352687,0.0510848,0.0823493,0.144513", \ "0.0205805,0.0231555,0.0272282,0.0353095,0.0512816,0.0828019,0.145233", \ "0.0260778,0.0284128,0.0322027,0.0399043,0.0554358,0.0866046,0.148944", \ "0.0368733,0.0397114,0.0439639,0.0518053,0.0665391,0.0968890,0.158333", \ "0.0494254,0.0528577,0.0579920,0.0675819,0.0847732,0.114892,0.175135", \ "0.0646902,0.0685856,0.0744474,0.0854734,0.105507,0.140307,0.200420", \ "0.0831725,0.0874916,0.0939818,0.106242,0.128659,0.168173,0.234798"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00217936,0.00250815,0.00302980,0.00407550,0.00616769,0.0103510,0.0187227", \ "0.00244881,0.00269067,0.00311402,0.00407570,0.00616723,0.0103508,0.0187220", \ "0.00516065,0.00548797,0.00597966,0.00688155,0.00844937,0.0112867,0.0187229", \ "0.00925867,0.00972185,0.0103951,0.0116380,0.0138063,0.0174364,0.0232595", \ "0.0149517,0.0155621,0.0164474,0.0180465,0.0207957,0.0253801,0.0328291", \ "0.0223407,0.0231082,0.0242227,0.0262281,0.0296320,0.0351730,0.0441126", \ "0.0314797,0.0324095,0.0337791,0.0362312,0.0403609,0.0469715,0.0574155"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0166880,0.0189654,0.0225395,0.0296136,0.0436595,0.0716185,0.127451", \ "0.0165670,0.0188882,0.0224965,0.0296019,0.0436617,0.0716252,0.127453", \ "0.0162886,0.0184356,0.0221045,0.0294722,0.0436455,0.0716313,0.127457", \ "0.0205836,0.0226201,0.0251746,0.0308638,0.0435746,0.0716204,0.127451", \ "0.0253814,0.0275543,0.0309082,0.0373014,0.0486299,0.0725139,0.127446", \ "0.0314009,0.0337526,0.0373816,0.0444076,0.0573909,0.0801329,0.128417", \ "0.0389173,0.0413350,0.0451518,0.0526366,0.0667884,0.0921372,0.136791"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00513385,0.00558737,0.00628673,0.00763720,0.0102294,0.0152304,0.0250081", \ "0.00674229,0.00717463,0.00785356,0.00918382,0.0117640,0.0167622,0.0265404", \ "0.0100530,0.0107644,0.0118214,0.0137281,0.0170009,0.0223318,0.0320362", \ "0.0111009,0.0121659,0.0137566,0.0166316,0.0215762,0.0296477,0.0422538", \ "0.00909433,0.0105396,0.0126980,0.0166141,0.0233503,0.0343515,0.0514947", \ "0.00355282,0.00539281,0.00815431,0.0131426,0.0217539,0.0358361,0.0577524", \ "-0.00582652,-0.00359010,-0.000250602,0.00582126,0.0163464,0.0336167,0.0605175"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0314425,0.0340035,0.0380192,0.0459566,0.0616661,0.0928402,0.154945", \ "0.0319684,0.0345341,0.0385650,0.0465665,0.0624052,0.0937700,0.156065", \ "0.0367243,0.0392453,0.0432148,0.0511207,0.0668615,0.0982070,0.160626", \ "0.0445889,0.0474626,0.0518470,0.0599013,0.0755399,0.106713,0.168973", \ "0.0541815,0.0575056,0.0625257,0.0720204,0.0895246,0.121100,0.182971", \ "0.0679444,0.0716946,0.0773197,0.0879100,0.107283,0.142043,0.204429", \ "0.0855764,0.0897886,0.0960501,0.107774,0.129105,0.167030,0.233909"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00328909,0.00362693,0.00415669,0.00520427,0.00728095,0.0114319,0.0197734", \ "0.00326506,0.00356920,0.00407539,0.00515290,0.00726063,0.0114274,0.0197727", \ "0.00599598,0.00629729,0.00675110,0.00758386,0.00905445,0.0120520,0.0197812", \ "0.0101595,0.0106013,0.0112527,0.0124417,0.0145396,0.0180667,0.0237558", \ "0.0157234,0.0163169,0.0171866,0.0187583,0.0214918,0.0260388,0.0334107", \ "0.0227677,0.0235422,0.0246464,0.0266643,0.0300991,0.0357010,0.0446904", \ "0.0312980,0.0322648,0.0336818,0.0362176,0.0404569,0.0472024,0.0578186"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0168298,0.0190550,0.0225802,0.0296232,0.0436538,0.0716182,0.127452", \ "0.0168398,0.0190599,0.0225850,0.0296236,0.0436575,0.0716373,0.127459", \ "0.0168913,0.0190987,0.0226037,0.0296284,0.0436522,0.0716413,0.127461", \ "0.0198756,0.0216330,0.0245170,0.0306077,0.0437446,0.0716220,0.127458", \ "0.0245711,0.0265688,0.0297148,0.0359300,0.0475827,0.0724957,0.127445", \ "0.0301632,0.0322202,0.0354500,0.0418595,0.0543412,0.0780598,0.128445", \ "0.0368822,0.0389395,0.0422366,0.0488299,0.0617617,0.0866492,0.134268"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00482092,0.00531286,0.00607559,0.00755173,0.0103697,0.0157084,0.0258787", \ "0.00657111,0.00701484,0.00772229,0.00912988,0.0118818,0.0171727,0.0273184", \ "0.0104683,0.0111829,0.0122448,0.0141606,0.0174465,0.0228123,0.0327656", \ "0.0124677,0.0135112,0.0150680,0.0178890,0.0227617,0.0307470,0.0432446", \ "0.0117047,0.0130827,0.0151592,0.0189251,0.0254531,0.0362002,0.0530918", \ "0.00773998,0.00949180,0.0120934,0.0168080,0.0250293,0.0386246,0.0600599", \ "0.000456302,0.00252308,0.00560462,0.0112561,0.0211596,0.0376412,0.0637258"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0349280,0.0374860,0.0414993,0.0494408,0.0651469,0.0963223,0.158426", \ "0.0359505,0.0385153,0.0425465,0.0505479,0.0663849,0.0977474,0.160045", \ "0.0410324,0.0435501,0.0475233,0.0554348,0.0711757,0.102526,0.164951", \ "0.0483823,0.0510875,0.0551836,0.0631138,0.0787676,0.109975,0.172267", \ "0.0552977,0.0583358,0.0630093,0.0719872,0.0889529,0.120502,0.182564", \ "0.0642061,0.0675590,0.0726773,0.0824546,0.100786,0.134803,0.197575", \ "0.0773325,0.0809911,0.0865321,0.0970490,0.116538,0.152437,0.218450"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.00365849,0.00406512,0.00469214,0.00589162,0.00815990,0.0124770,0.0208720", \ "0.00361775,0.00395702,0.00452127,0.00572100,0.00804498,0.0124128,0.0208468", \ "0.00669444,0.00699148,0.00743422,0.00823822,0.00966896,0.0128011,0.0207677", \ "0.0112123,0.0116354,0.0122568,0.0133993,0.0154139,0.0188052,0.0243836", \ "0.0171135,0.0176715,0.0184784,0.0199683,0.0225644,0.0269449,0.0341288", \ "0.0245469,0.0252414,0.0262651,0.0281321,0.0313562,0.0367216,0.0454922", \ "0.0335099,0.0344121,0.0357046,0.0380103,0.0419352,0.0483389,0.0586588"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.0168290,0.0190585,0.0225843,0.0296263,0.0436498,0.0716429,0.127452", \ "0.0168427,0.0190607,0.0225833,0.0296241,0.0436606,0.0716187,0.127448", \ "0.0168553,0.0190773,0.0225954,0.0296291,0.0436514,0.0716261,0.127454", \ "0.0183916,0.0203515,0.0235161,0.0300243,0.0436944,0.0716244,0.127460", \ "0.0220534,0.0241454,0.0274517,0.0339645,0.0464097,0.0722915,0.127451", \ "0.0273298,0.0293452,0.0325692,0.0390639,0.0519794,0.0770098,0.128518", \ "0.0344525,0.0363457,0.0393951,0.0456770,0.0584764,0.0840630,0.133871"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("-0.000147,-0.000154,-0.000164,-0.000185,-0.000227,-0.000310,-0.000476", \ "-0.000429,-0.000436,-0.000446,-0.000467,-0.000509,-0.000592,-0.000758", \ "0.077560,0.068563,0.045690,0.005222,-0.001499,-0.001581,-0.001747", \ "0.510833,0.492432,0.464334,0.420097,0.330629,0.202606,0.111752", \ "1.236616,1.218403,1.188062,1.124579,1.008409,0.803211,0.529995", \ "2.226804,2.226891,2.226171,2.160135,2.049759,1.787690,1.354358", \ "3.591981,3.582883,3.580024,3.534587,3.434880,3.167179,2.633901"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("5.223162,5.258871,5.289120,5.337398,5.390485,5.392343,5.429094", \ "5.007226,5.039550,5.068994,5.128168,5.201690,5.295508,5.341896", \ "5.135008,5.150204,5.154836,5.159369,5.116198,5.065632,5.258837", \ "5.690286,5.690249,5.634672,5.612150,5.502825,5.387751,5.297249", \ "6.530304,6.580416,6.572824,6.471457,6.338952,6.155485,5.839737", \ "7.718919,7.696235,7.676086,7.691724,7.565953,7.268200,6.919298", \ "9.616532,9.562724,9.446231,9.341335,9.219779,8.904176,8.288019"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.497282,0.529853,0.565799,0.608112,0.671803,0.731663,0.782527", \ "0.466682,0.503600,0.538389,0.586296,0.653887,0.717162,0.775653", \ "0.638740,0.641170,0.634597,0.606648,0.641282,0.705559,0.767094", \ "1.119515,1.103027,1.084341,1.051385,0.981431,0.877161,0.855590", \ "1.876486,1.862417,1.838187,1.783251,1.673466,1.494739,1.254162", \ "2.962751,2.959591,2.927542,2.870826,2.746183,2.490478,2.100669", \ "4.366701,4.361036,4.365787,4.328224,4.210685,3.926321,3.403179"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("6.872475,6.885368,6.884291,6.927648,6.961806,6.999767,6.997315", \ "6.718846,6.710456,6.734392,6.797037,6.849828,6.864856,6.904160", \ "6.610603,6.634353,6.651101,6.665404,6.705400,6.765875,6.819923", \ "6.643256,6.633023,6.634066,6.676683,6.656264,6.710709,6.548141", \ "6.951244,7.030805,7.017388,6.970032,6.928992,6.850610,6.844354", \ "7.661854,7.646181,7.652439,7.694593,7.689473,7.476536,7.301771", \ "9.082581,9.051563,8.976827,8.889682,8.770943,8.647087,8.251384"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("0.602572,0.682711,0.768769,0.896730,1.074320,1.279058,1.473592", \ "0.517057,0.608262,0.681430,0.786487,0.964008,1.169572,1.356528", \ "0.773275,0.796895,0.805695,0.821459,0.942012,1.102270,1.277685", \ "1.391165,1.387937,1.364189,1.361435,1.333966,1.279323,1.350856", \ "2.267914,2.257692,2.221726,2.180240,2.095943,1.948722,1.757612", \ "3.541863,3.529234,3.492123,3.428413,3.291209,3.030595,2.658145", \ "5.217664,5.206165,5.183197,5.115014,4.966411,4.635081,4.064225"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.991822,1.983640,3.967290,7.934580,15.869200,31.738300"); values ("8.319685,8.348296,8.369707,8.410445,8.394197,8.324257,8.461914", \ "8.183851,8.175682,8.223297,8.264598,8.310448,8.360056,8.413549", \ "8.063163,8.087088,8.118355,8.141757,8.131146,8.142130,8.269181", \ "8.048083,8.070850,8.085201,8.070444,8.058814,8.080225,8.185795", \ "8.078335,8.166946,8.203155,8.175757,8.172406,8.086619,8.182688", \ "8.473983,8.469000,8.500033,8.546164,8.659240,8.515106,8.464016", \ "9.893390,9.832411,9.736885,9.636794,9.557810,9.454950,9.104648"); } } } } /****************************************************************************************** Module : NOR3_X4 Cell Description : Combinational cell (NOR3_X4) with drive strength X4 *******************************************************************************************/ cell (NOR3_X4) { drive_strength : 4; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 107.325918; leakage_power () { when : "!A1 & !A2 & !A3"; value : 121.178200; } leakage_power () { when : "!A1 & !A2 & A3"; value : 64.713363; } leakage_power () { when : "!A1 & A2 & !A3"; value : 65.640927; } leakage_power () { when : "!A1 & A2 & A3"; value : 118.207945; } leakage_power () { when : "A1 & !A2 & !A3"; value : 75.040900; } leakage_power () { when : "A1 & !A2 & A3"; value : 118.356246; } leakage_power () { when : "A1 & A2 & !A3"; value : 118.646631; } leakage_power () { when : "A1 & A2 & A3"; value : 176.823130; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.514946; fall_capacitance : 5.112362; rise_capacitance : 6.514946; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.171360; fall_capacitance : 5.430310; rise_capacitance : 6.171360; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.105358; fall_capacitance : 5.833055; rise_capacitance : 6.105358; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 63.324000; function : "!((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00430392,0.00482004,0.00544381,0.00667453,0.00910590,0.0139310,0.0235488", \ "0.00585893,0.00639383,0.00701726,0.00825066,0.0106909,0.0155290,0.0251574", \ "0.00783756,0.00887201,0.0100310,0.0120956,0.0155808,0.0211604,0.0307292", \ "0.00727821,0.00886903,0.0106508,0.0138167,0.0191423,0.0276452,0.0406831", \ "0.00332337,0.00553302,0.00799765,0.0123751,0.0197243,0.0313928,0.0491957", \ "-0.00449219,-0.00165149,0.00152775,0.00718395,0.0166845,0.0317472,0.0546013", \ "-0.0165084,-0.0130374,-0.00914674,-0.00219588,0.00952070,0.0281407,0.0563422"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0193625,0.0227597,0.0268698,0.0349516,0.0508395,0.0822267,0.144615", \ "0.0194971,0.0228226,0.0269052,0.0350225,0.0510584,0.0827014,0.145357", \ "0.0252078,0.0281813,0.0319582,0.0396717,0.0552583,0.0865383,0.149098", \ "0.0359902,0.0396444,0.0438664,0.0516855,0.0664121,0.0968682,0.158518", \ "0.0485267,0.0529279,0.0580482,0.0676142,0.0847710,0.114900,0.175352", \ "0.0637732,0.0687808,0.0746286,0.0856395,0.105650,0.140429,0.200665", \ "0.0822377,0.0877700,0.0942616,0.106519,0.128930,0.168443,0.235100"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00210596,0.00252844,0.00304921,0.00409322,0.00618057,0.0103573,0.0187144", \ "0.00239553,0.00270597,0.00312956,0.00409509,0.00618087,0.0103570,0.0187134", \ "0.00508204,0.00550333,0.00599282,0.00688951,0.00845348,0.0112867,0.0187159", \ "0.00914262,0.00972900,0.0104085,0.0116450,0.0138105,0.0174368,0.0232417", \ "0.0147782,0.0155525,0.0164432,0.0180432,0.0207942,0.0253814,0.0328120", \ "0.0221112,0.0230809,0.0242025,0.0262134,0.0296154,0.0351686,0.0441048", \ "0.0311814,0.0323620,0.0337397,0.0362084,0.0403353,0.0469567,0.0574103"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0161967,0.0191966,0.0228183,0.0299573,0.0440779,0.0721541,0.128164", \ "0.0160050,0.0190724,0.0227525,0.0299363,0.0440713,0.0721412,0.128158", \ "0.0157203,0.0185345,0.0222304,0.0297445,0.0440556,0.0721467,0.128160", \ "0.0199977,0.0226407,0.0252317,0.0310131,0.0438734,0.0721414,0.128164", \ "0.0248005,0.0275922,0.0309642,0.0373787,0.0487947,0.0729068,0.128166", \ "0.0308224,0.0337983,0.0374594,0.0445010,0.0575243,0.0803869,0.129037", \ "0.0383213,0.0413934,0.0452424,0.0527487,0.0669188,0.0923490,0.137253"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00518625,0.00578466,0.00649561,0.00786535,0.0104861,0.0155211,0.0253286", \ "0.00681034,0.00737754,0.00806441,0.00940964,0.0120139,0.0170431,0.0268502", \ "0.0101766,0.0110978,0.0121462,0.0140413,0.0172961,0.0226092,0.0323371", \ "0.0112891,0.0126692,0.0142416,0.0170879,0.0219958,0.0300253,0.0425854", \ "0.00933818,0.0112147,0.0133493,0.0172165,0.0238972,0.0348354,0.0519135", \ "0.00384230,0.00624091,0.00895260,0.0138837,0.0224230,0.0364175,0.0582396", \ "-0.00550964,-0.00261061,0.000685793,0.00668736,0.0171234,0.0342915,0.0610766"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0319439,0.0352689,0.0393052,0.0472833,0.0630545,0.0943391,0.156661", \ "0.0324801,0.0358092,0.0398644,0.0479007,0.0638007,0.0952729,0.157776", \ "0.0373006,0.0405710,0.0445663,0.0525117,0.0683149,0.0997716,0.162402", \ "0.0452052,0.0489047,0.0532439,0.0613007,0.0770149,0.108311,0.170798", \ "0.0544411,0.0587364,0.0637775,0.0732970,0.0908242,0.122503,0.184649", \ "0.0677597,0.0726134,0.0782742,0.0889027,0.108338,0.143217,0.205862", \ "0.0852739,0.0906815,0.0969400,0.108692,0.130067,0.168079,0.235152"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00330750,0.00375074,0.00428736,0.00534403,0.00742873,0.0115824,0.0199208", \ "0.00326965,0.00367073,0.00418883,0.00527925,0.00740072,0.0115752,0.0199198", \ "0.00600672,0.00639154,0.00683884,0.00766549,0.00912874,0.0121577,0.0199253", \ "0.0101638,0.0107205,0.0113630,0.0125480,0.0146300,0.0181343,0.0238299", \ "0.0157055,0.0164504,0.0173097,0.0188792,0.0215968,0.0261293,0.0334681", \ "0.0227103,0.0236623,0.0247758,0.0267869,0.0302014,0.0357932,0.0447561", \ "0.0311745,0.0323952,0.0338036,0.0363250,0.0405539,0.0472898,0.0578962"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0164870,0.0193674,0.0229152,0.0299821,0.0440739,0.0721434,0.128163", \ "0.0165010,0.0193817,0.0229205,0.0299864,0.0440798,0.0721465,0.128177", \ "0.0165480,0.0194088,0.0229358,0.0299903,0.0440707,0.0721427,0.128177", \ "0.0193911,0.0217072,0.0246567,0.0308400,0.0441540,0.0721464,0.128165", \ "0.0241780,0.0267530,0.0299150,0.0361541,0.0478388,0.0729620,0.128172", \ "0.0299693,0.0325842,0.0358166,0.0422176,0.0547084,0.0784586,0.129114", \ "0.0367928,0.0394190,0.0427185,0.0492950,0.0622156,0.0871247,0.134881"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00485687,0.00550078,0.00627213,0.00776460,0.0106143,0.0160089,0.0262643", \ "0.00662901,0.00720857,0.00792328,0.00934533,0.0121260,0.0174694,0.0276973", \ "0.0105644,0.0114972,0.0125548,0.0144659,0.0177498,0.0231183,0.0331396", \ "0.0126596,0.0140089,0.0155583,0.0183600,0.0232150,0.0311790,0.0436660", \ "0.0119775,0.0137862,0.0158437,0.0195785,0.0260704,0.0367790,0.0536389", \ "0.00811469,0.0104089,0.0129655,0.0176423,0.0258086,0.0393519,0.0607329", \ "0.000898893,0.00360192,0.00665957,0.0122547,0.0220957,0.0385091,0.0645196"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0358846,0.0392074,0.0432399,0.0512106,0.0669731,0.0982514,0.160565", \ "0.0369171,0.0402442,0.0442973,0.0523312,0.0682262,0.0996866,0.162182", \ "0.0420757,0.0453482,0.0493437,0.0572912,0.0730964,0.104556,0.167178", \ "0.0496068,0.0530617,0.0571464,0.0651002,0.0808200,0.112141,0.174651", \ "0.0565461,0.0604577,0.0651313,0.0741037,0.0910725,0.122675,0.184987", \ "0.0650348,0.0693416,0.0744895,0.0842961,0.102677,0.136779,0.199770", \ "0.0775519,0.0822706,0.0878484,0.0983988,0.117961,0.153999,0.220267"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.00362342,0.00416459,0.00480399,0.00602776,0.00833721,0.0127125,0.0211800", \ "0.00360390,0.00405100,0.00462929,0.00585330,0.00821597,0.0126424,0.0211502", \ "0.00671377,0.00709517,0.00753514,0.00834875,0.00977913,0.0129831,0.0210548", \ "0.0112600,0.0117985,0.0124138,0.0135505,0.0155588,0.0189514,0.0245792", \ "0.0171766,0.0178696,0.0186842,0.0201674,0.0227572,0.0271249,0.0342901", \ "0.0246087,0.0254705,0.0264986,0.0283661,0.0315781,0.0369312,0.0456834", \ "0.0335359,0.0346862,0.0359618,0.0382719,0.0421954,0.0485709,0.0588621"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.0164873,0.0193742,0.0229140,0.0299839,0.0440787,0.0721499,0.128168", \ "0.0165035,0.0193785,0.0229164,0.0299869,0.0440729,0.0721642,0.128162", \ "0.0165243,0.0193960,0.0229290,0.0299882,0.0440797,0.0721417,0.128174", \ "0.0179259,0.0204905,0.0237001,0.0302880,0.0441097,0.0721393,0.128160", \ "0.0215212,0.0242445,0.0275720,0.0341142,0.0466212,0.0727121,0.128159", \ "0.0267797,0.0293936,0.0326598,0.0392004,0.0521886,0.0773192,0.129169", \ "0.0341211,0.0365308,0.0396095,0.0459198,0.0587528,0.0844282,0.134418"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.002004,-0.000310,-0.000331,-0.000373,-0.000455,-0.000621,-0.000951", \ "-0.000856,-0.000873,-0.000894,-0.000936,-0.001019,-0.001185,-0.001515", \ "0.202412,0.164373,0.120755,0.035208,-0.003000,-0.003164,-0.003493", \ "1.051959,0.999746,0.939863,0.841553,0.676625,0.421225,0.228213", \ "2.457814,2.415286,2.365085,2.241221,2.023877,1.611519,1.072034", \ "4.477166,4.418720,4.398019,4.287888,4.075751,3.566952,2.710589", \ "7.112142,7.095634,7.100240,7.019305,6.831289,6.315085,5.245056"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("10.330600,10.368980,10.428980,10.565560,10.578580,10.758330,10.635940", \ "9.907672,10.012090,10.070720,10.148470,10.272210,10.535640,10.459010", \ "10.177070,10.236320,10.165930,10.211350,10.167280,10.216050,10.397970", \ "11.305300,11.274870,11.205940,11.114150,10.964570,10.816860,10.663150", \ "12.920530,13.032660,13.088790,12.914030,12.638550,12.246620,11.692570", \ "15.342700,15.332290,15.300280,15.320250,15.084080,14.462540,13.404400", \ "19.157150,19.028390,18.828980,18.557690,18.211220,17.675810,16.778920"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("0.956886,1.045936,1.093762,1.192340,1.321589,1.440151,1.565819", \ "0.923688,1.002208,1.060863,1.162877,1.283821,1.421032,1.545757", \ "1.287910,1.278136,1.247024,1.196114,1.271897,1.395018,1.528539", \ "2.214493,2.182949,2.143004,2.064727,1.943655,1.733697,1.699594", \ "3.710051,3.666087,3.617856,3.509889,3.292772,2.943825,2.479481", \ "5.879123,5.826292,5.789740,5.684925,5.428708,4.928016,4.160490", \ "8.665212,8.646163,8.650449,8.582497,8.328700,7.773083,6.740484"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("14.255090,14.351340,14.396590,14.469000,14.527440,14.454320,14.441830", \ "13.953670,14.050750,14.099350,14.203830,14.317590,14.436700,14.542230", \ "13.812220,13.818370,13.852290,13.951710,13.946130,13.998820,14.281110", \ "13.851440,13.847200,13.869500,13.875620,13.837320,13.748910,13.972790", \ "14.400430,14.464210,14.483140,14.403410,14.287510,14.256130,14.092610", \ "15.679610,15.654800,15.678820,15.809610,15.797070,15.305240,14.999240", \ "18.511970,18.423440,18.275660,18.119110,17.955030,17.660210,16.906930"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("1.169439,1.338293,1.477945,1.754438,2.117254,2.523835,2.908270", \ "1.033309,1.148913,1.324892,1.578572,1.916228,2.309313,2.694362", \ "1.531834,1.538043,1.535192,1.596769,1.835180,2.169813,2.525762", \ "2.675474,2.685637,2.682598,2.638728,2.598562,2.497523,2.665264", \ "4.467772,4.407269,4.350025,4.261559,4.087045,3.802664,3.448599", \ "7.009510,6.935923,6.889681,6.737500,6.462827,5.963834,5.235776", \ "10.291990,10.288210,10.238060,10.121150,9.802958,9.132193,8.010533"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.978880,3.957750,7.915500,15.831000,31.662000,63.324000"); values ("17.555940,17.651170,17.693560,17.739650,17.830970,17.741980,17.640510", \ "17.295170,17.315780,17.363480,17.462010,17.588460,17.577850,17.500590", \ "17.052860,17.137290,17.182010,17.225920,17.307420,17.240480,17.598810", \ "17.008660,17.089060,17.117500,17.087760,17.180500,17.237190,17.241280", \ "17.126450,17.258640,17.303100,17.255040,17.204440,17.248520,17.186300", \ "17.683580,17.663320,17.706380,17.931040,18.121660,17.819710,17.454160", \ "20.336630,20.244450,20.107270,19.919510,19.683270,19.721760,19.086850"); } } } } /****************************************************************************************** Module : NOR4_X1 Cell Description : Combinational cell (NOR4_X1) with drive strength X1 *******************************************************************************************/ cell (NOR4_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 32.601474; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 40.378360; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 16.110523; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 16.257131; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 29.550601; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 16.489066; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 29.561374; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 29.631084; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 44.184689; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 18.838292; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 29.596559; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 29.668553; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 44.209815; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 29.740754; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 44.240796; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 44.284910; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 58.881081; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.736804; fall_capacitance : 1.343493; rise_capacitance : 1.736804; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.674130; fall_capacitance : 1.446608; rise_capacitance : 1.674130; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.635974; fall_capacitance : 1.489920; rise_capacitance : 1.635974; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.605950; fall_capacitance : 1.554231; rise_capacitance : 1.605950; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 10.471300; function : "!(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00495584,0.00529765,0.00589416,0.00692721,0.00872180,0.0118452,0.0172904", \ "0.00654185,0.00688511,0.00748041,0.00851817,0.0103203,0.0134525,0.0189090", \ "0.00930672,0.00993253,0.0109649,0.0126163,0.0151663,0.0189692,0.0245444", \ "0.00959445,0.0105557,0.0121434,0.0146842,0.0185823,0.0243867,0.0327513", \ "0.00630584,0.00765007,0.00987296,0.0134167,0.0188383,0.0268617,0.0383495", \ "-0.00126872,0.000510818,0.00342444,0.00804991,0.0151375,0.0255963,0.0404777", \ "-0.0134725,-0.0112967,-0.00765896,-0.00192321,0.00690785,0.0199436,0.0384780"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0303105,0.0333557,0.0386522,0.0478534,0.0637810,0.0913738,0.139339", \ "0.0298453,0.0328763,0.0381927,0.0474616,0.0635475,0.0913993,0.139656", \ "0.0348229,0.0376444,0.0426672,0.0515740,0.0672597,0.0947420,0.142801", \ "0.0476921,0.0504427,0.0548721,0.0630677,0.0781167,0.104879,0.152093", \ "0.0637033,0.0670553,0.0726330,0.0819207,0.0971051,0.122669,0.168925", \ "0.0824123,0.0862442,0.0926180,0.103327,0.120864,0.148884,0.194097", \ "0.104370,0.108577,0.115737,0.127685,0.147284,0.178847,0.228276"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00277569,0.00306198,0.00356632,0.00444888,0.00599367,0.00869720,0.0134232", \ "0.00290802,0.00314692,0.00358954,0.00444933,0.00599338,0.00869680,0.0134241", \ "0.00574747,0.00601179,0.00645356,0.00717823,0.00832833,0.0100993,0.0137878", \ "0.0100276,0.0103986,0.0110145,0.0120233,0.0136140,0.0160731,0.0197306", \ "0.0159010,0.0163931,0.0171948,0.0184898,0.0205304,0.0236553,0.0283179", \ "0.0235661,0.0241733,0.0251810,0.0267953,0.0293200,0.0331069,0.0387276", \ "0.0330030,0.0337982,0.0350418,0.0370223,0.0400680,0.0446011,0.0512270"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0289165,0.0317095,0.0364967,0.0447012,0.0588050,0.0832323,0.125767", \ "0.0286333,0.0314880,0.0363600,0.0446398,0.0587928,0.0832384,0.125772", \ "0.0277228,0.0307330,0.0358353,0.0443791,0.0587275,0.0832153,0.125785", \ "0.0292760,0.0316232,0.0358836,0.0436589,0.0580159,0.0831424,0.125774", \ "0.0347389,0.0372036,0.0414377,0.0480665,0.0600762,0.0828172,0.125687", \ "0.0409708,0.0434988,0.0478143,0.0552846,0.0678972,0.0881177,0.126321", \ "0.0484699,0.0511681,0.0556867,0.0635632,0.0768215,0.0986653,0.133883"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00591621,0.00629864,0.00695565,0.00807723,0.00998552,0.0132348,0.0188010", \ "0.00752000,0.00789259,0.00853773,0.00964873,0.0115495,0.0147966,0.0203631", \ "0.0113957,0.0119637,0.0129037,0.0144298,0.0168122,0.0204162,0.0259471", \ "0.0130690,0.0139270,0.0153530,0.0176597,0.0212783,0.0267447,0.0347453", \ "0.0114135,0.0125874,0.0145562,0.0177403,0.0227207,0.0302319,0.0411947", \ "0.00578459,0.00729301,0.00984013,0.0139713,0.0204208,0.0301422,0.0442789", \ "-0.00422478,-0.00235524,0.000774222,0.00584766,0.0138321,0.0258770,0.0433917"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0482099,0.0512333,0.0564777,0.0655873,0.0814026,0.108909,0.156785", \ "0.0482824,0.0513211,0.0566050,0.0657946,0.0817381,0.109426,0.157522", \ "0.0522328,0.0552381,0.0604507,0.0695529,0.0854113,0.113051,0.161222", \ "0.0604146,0.0634115,0.0686074,0.0776508,0.0934017,0.120896,0.168889", \ "0.0719678,0.0754203,0.0812659,0.0911484,0.107406,0.134650,0.182295", \ "0.0882869,0.0920573,0.0984702,0.109207,0.127016,0.156192,0.203673", \ "0.109323,0.113462,0.120540,0.132359,0.151660,0.183030,0.233631"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00390181,0.00419261,0.00469845,0.00557724,0.00710872,0.00978487,0.0144821", \ "0.00383043,0.00411251,0.00463398,0.00553609,0.00708818,0.00977786,0.0144809", \ "0.00650812,0.00675011,0.00715869,0.00782927,0.00890606,0.0107417,0.0146780", \ "0.0108750,0.0112293,0.0118176,0.0127948,0.0143273,0.0166960,0.0202522", \ "0.0167006,0.0171771,0.0179595,0.0192338,0.0212588,0.0243221,0.0289265", \ "0.0241085,0.0247434,0.0257401,0.0273447,0.0298651,0.0336839,0.0393102", \ "0.0331092,0.0339076,0.0351809,0.0372104,0.0403097,0.0449090,0.0516228"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0295507,0.0321870,0.0367893,0.0448193,0.0588259,0.0832215,0.125776", \ "0.0295587,0.0322011,0.0367929,0.0448248,0.0588211,0.0832318,0.125803", \ "0.0295554,0.0321999,0.0367901,0.0448156,0.0588220,0.0832376,0.125791", \ "0.0304653,0.0328482,0.0370967,0.0449232,0.0588486,0.0832325,0.125791", \ "0.0357044,0.0381212,0.0420149,0.0486376,0.0608734,0.0835466,0.125775", \ "0.0412580,0.0436208,0.0477702,0.0549706,0.0674390,0.0880311,0.126916", \ "0.0480321,0.0504314,0.0546026,0.0619342,0.0745737,0.0962329,0.132625"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00572772,0.00614777,0.00687050,0.00810191,0.0101825,0.0136768,0.0195438", \ "0.00745758,0.00785078,0.00853777,0.00972705,0.0117656,0.0152240,0.0210665", \ "0.0119036,0.0124734,0.0134196,0.0149493,0.0173409,0.0209582,0.0266104", \ "0.0144607,0.0152970,0.0166977,0.0189710,0.0225384,0.0279374,0.0358696", \ "0.0139482,0.0150730,0.0169632,0.0200429,0.0248858,0.0322231,0.0429797", \ "0.00970929,0.0111466,0.0135725,0.0175108,0.0236784,0.0330790,0.0468564", \ "0.00147807,0.00322313,0.00614132,0.0109015,0.0184603,0.0299953,0.0469414"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0580145,0.0610342,0.0662796,0.0753882,0.0912013,0.118698,0.166584", \ "0.0582118,0.0612522,0.0665395,0.0757259,0.0916725,0.119348,0.167456", \ "0.0626025,0.0656114,0.0708283,0.0799322,0.0957947,0.123435,0.171602", \ "0.0703280,0.0733285,0.0785317,0.0875863,0.103372,0.130885,0.178905", \ "0.0790867,0.0824221,0.0881423,0.0978817,0.113956,0.141387,0.189255", \ "0.0894952,0.0930820,0.0992039,0.109620,0.127159,0.156356,0.204386", \ "0.105063,0.108929,0.115500,0.126579,0.144989,0.175594,0.226073"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00442988,0.00477133,0.00535376,0.00634176,0.00800471,0.0108086,0.0155826", \ "0.00427882,0.00459636,0.00517246,0.00619301,0.00789358,0.0107342,0.0155407", \ "0.00717391,0.00740536,0.00780305,0.00845552,0.00949799,0.0114117,0.0155318", \ "0.0118719,0.0122183,0.0127851,0.0137038,0.0151766,0.0174622,0.0208943", \ "0.0180070,0.0184607,0.0192032,0.0204032,0.0223077,0.0252663,0.0297285", \ "0.0257380,0.0263114,0.0272454,0.0287471,0.0311263,0.0347738,0.0402118", \ "0.0350134,0.0357536,0.0369317,0.0388506,0.0417597,0.0461324,0.0526077"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0295657,0.0321949,0.0367902,0.0448208,0.0588248,0.0832294,0.125778", \ "0.0295717,0.0322033,0.0367927,0.0448217,0.0588244,0.0832372,0.125801", \ "0.0295799,0.0322230,0.0367995,0.0448281,0.0588280,0.0832372,0.125781", \ "0.0299223,0.0324710,0.0369582,0.0448836,0.0588409,0.0832178,0.125769", \ "0.0342228,0.0365576,0.0405308,0.0475536,0.0602457,0.0834002,0.125762", \ "0.0393216,0.0417052,0.0459143,0.0532843,0.0660747,0.0874347,0.126914", \ "0.0462796,0.0485823,0.0526420,0.0598277,0.0725280,0.0946550,0.132336"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00542372,0.00583826,0.00655594,0.00779273,0.00990655,0.0134948,0.0195559", \ "0.00719134,0.00757696,0.00825362,0.00943985,0.0114972,0.0150324,0.0210468", \ "0.0117612,0.0123387,0.0132995,0.0148530,0.0172829,0.0209454,0.0266879", \ "0.0147376,0.0155784,0.0169889,0.0192859,0.0228855,0.0283261,0.0362976", \ "0.0148955,0.0160179,0.0178996,0.0209735,0.0258151,0.0331384,0.0439113", \ "0.0115933,0.0130101,0.0154101,0.0192922,0.0254146,0.0347257,0.0483974", \ "0.00463230,0.00632431,0.00917988,0.0138287,0.0212441,0.0325678,0.0492822"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0613830,0.0644110,0.0696533,0.0787626,0.0945783,0.122079,0.169966", \ "0.0620815,0.0651223,0.0704101,0.0795968,0.0955435,0.123229,0.171317", \ "0.0668186,0.0698177,0.0750436,0.0841435,0.100008,0.127645,0.175822", \ "0.0742410,0.0772342,0.0824328,0.0914888,0.107272,0.134795,0.182829", \ "0.0822680,0.0854795,0.0910031,0.100418,0.116312,0.143756,0.191659", \ "0.0898105,0.0932457,0.0991285,0.109189,0.126260,0.155012,0.203020", \ "0.100308,0.103968,0.110112,0.120624,0.138397,0.168310,0.218372"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.00432959,0.00469682,0.00532339,0.00639439,0.00819850,0.0112145,0.0162573", \ "0.00426728,0.00458849,0.00517281,0.00624628,0.00807009,0.0111082,0.0161753", \ "0.00749113,0.00773273,0.00813858,0.00879711,0.00985034,0.0118187,0.0160794", \ "0.0125493,0.0128969,0.0134605,0.0143771,0.0158576,0.0180981,0.0215015", \ "0.0190642,0.0195137,0.0202424,0.0214316,0.0233029,0.0262093,0.0305671", \ "0.0272606,0.0278193,0.0287152,0.0301779,0.0324814,0.0359951,0.0412940", \ "0.0371440,0.0378421,0.0389805,0.0408084,0.0435802,0.0477531,0.0539588"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.0295574,0.0321997,0.0367879,0.0448217,0.0588339,0.0832328,0.125774", \ "0.0295764,0.0322043,0.0367895,0.0448209,0.0588335,0.0832434,0.125769", \ "0.0295838,0.0322137,0.0368031,0.0448234,0.0588270,0.0832256,0.125795", \ "0.0297137,0.0323160,0.0368600,0.0448479,0.0588344,0.0832189,0.125798", \ "0.0324955,0.0348876,0.0390339,0.0464060,0.0595788,0.0832967,0.125775", \ "0.0365330,0.0390288,0.0433863,0.0509773,0.0640800,0.0862640,0.126570", \ "0.0429288,0.0452722,0.0494343,0.0568097,0.0698061,0.0924411,0.131220"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("-0.000095,-0.000098,-0.000103,-0.000112,-0.000128,-0.000155,-0.000202", \ "-0.000281,-0.000284,-0.000289,-0.000298,-0.000313,-0.000341,-0.000388", \ "-0.000930,-0.000933,-0.000940,-0.000950,-0.000966,-0.000993,-0.001041", \ "0.164983,0.157705,0.152141,0.134178,0.111321,0.073050,0.019335", \ "0.448338,0.447875,0.440659,0.422437,0.395325,0.344189,0.266870", \ "0.862848,0.864710,0.857652,0.841281,0.816165,0.760414,0.657601", \ "1.407663,1.410804,1.409225,1.401827,1.385223,1.330703,1.221740"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("3.080897,3.093397,3.109480,3.126519,3.150286,3.112762,3.155322", \ "2.979599,2.992301,3.009799,3.032404,3.036915,3.089796,3.107321", \ "3.020672,3.012774,3.020894,3.017344,3.005563,3.021322,3.067415", \ "3.246862,3.248357,3.233168,3.231384,3.198886,3.123864,3.092312", \ "3.673425,3.656128,3.630972,3.612509,3.542980,3.510968,3.405158", \ "4.207718,4.220104,4.231372,4.173530,4.128117,4.035919,3.877553", \ "4.928031,4.915791,4.902061,4.900638,4.891568,4.722512,4.559614"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.237899,0.244146,0.244713,0.250541,0.258920,0.270661,0.289844", \ "0.231924,0.238489,0.243750,0.249505,0.264626,0.278405,0.299090", \ "0.298682,0.298924,0.292790,0.284343,0.290513,0.303555,0.325388", \ "0.498827,0.498463,0.492195,0.481995,0.458247,0.429370,0.392388", \ "0.799410,0.791411,0.786266,0.775085,0.749776,0.707034,0.636863", \ "1.223492,1.220787,1.212953,1.203602,1.179423,1.125444,1.031164", \ "1.792853,1.784557,1.793497,1.786078,1.761940,1.712216,1.602471"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("3.896380,3.907080,3.920226,3.915208,3.917744,3.956745,3.903798", \ "3.827298,3.838727,3.839050,3.862420,3.860419,3.905936,3.865177", \ "3.763957,3.777384,3.785852,3.779213,3.795148,3.816297,3.807195", \ "3.758260,3.766552,3.776580,3.780652,3.759449,3.802599,3.807654", \ "3.901292,3.891333,3.894335,3.886751,3.861261,3.867643,3.850294", \ "4.237747,4.248550,4.253017,4.207827,4.182915,4.116527,4.042218", \ "4.736132,4.738836,4.720464,4.735795,4.737185,4.610697,4.513415"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.323490,0.337779,0.371304,0.418727,0.489183,0.562688,0.645204", \ "0.303437,0.332770,0.368995,0.415689,0.475154,0.550133,0.630954", \ "0.411048,0.407997,0.415963,0.429293,0.467021,0.534728,0.600378", \ "0.663654,0.663793,0.666734,0.664573,0.652926,0.644981,0.648102", \ "1.012708,1.005952,1.000691,0.994794,0.975520,0.943731,0.892789", \ "1.505770,1.504750,1.496817,1.485083,1.450639,1.400123,1.313164", \ "2.152962,2.148622,2.139685,2.132154,2.106404,2.041393,1.925808"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("4.631455,4.642095,4.655228,4.660799,4.652638,4.677565,4.639034", \ "4.560515,4.557374,4.572415,4.599094,4.595714,4.619933,4.598704", \ "4.505226,4.500404,4.512570,4.527519,4.532659,4.513310,4.533271", \ "4.475536,4.484573,4.495819,4.503682,4.511199,4.517556,4.534038", \ "4.504105,4.497370,4.506065,4.503380,4.512015,4.525221,4.492924", \ "4.660098,4.682772,4.683861,4.671284,4.643149,4.621311,4.571784", \ "5.051105,5.048586,5.044409,5.047604,5.072169,4.937853,4.901150"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("0.271040,0.297753,0.328439,0.407561,0.505799,0.652344,0.801546", \ "0.232904,0.259712,0.299559,0.370155,0.477414,0.602371,0.738918", \ "0.362876,0.375782,0.385112,0.416382,0.481593,0.584147,0.707710", \ "0.673868,0.689978,0.694810,0.703854,0.715430,0.727050,0.767260", \ "1.091544,1.085043,1.090713,1.080119,1.076553,1.056451,1.030131", \ "1.656446,1.645654,1.647202,1.627841,1.603457,1.560432,1.483419", \ "2.398726,2.401603,2.391690,2.384463,2.347409,2.281192,2.154811"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.639520,1.118620,1.956650,3.422490,5.986480,10.471300"); values ("5.345978,5.356640,5.373639,5.374599,5.375874,5.407906,5.386251", \ "5.282262,5.279263,5.294257,5.316939,5.313270,5.343062,5.337257", \ "5.222565,5.232239,5.229916,5.238937,5.254559,5.291040,5.267125", \ "5.196672,5.205866,5.202119,5.216090,5.213801,5.217720,5.272652", \ "5.201196,5.209657,5.208734,5.213499,5.192951,5.223274,5.247844", \ "5.266625,5.280319,5.310576,5.306709,5.281507,5.275902,5.262051", \ "5.542625,5.533219,5.542013,5.552134,5.577804,5.556820,5.445818"); } } } } /****************************************************************************************** Module : NOR4_X2 Cell Description : Combinational cell (NOR4_X2) with drive strength X2 *******************************************************************************************/ cell (NOR4_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 65.202889; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 80.756720; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 32.221035; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 32.514350; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 59.101184; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 32.978011; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 59.122617; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 59.262042; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 88.369248; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 37.676518; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 59.192989; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 59.336986; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 88.419721; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 59.481378; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 88.481470; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 88.569804; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 117.762147; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.390672; fall_capacitance : 2.599909; rise_capacitance : 3.390672; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.409886; fall_capacitance : 2.942783; rise_capacitance : 3.409886; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.519213; fall_capacitance : 3.206589; rise_capacitance : 3.519213; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.614957; fall_capacitance : 3.496791; rise_capacitance : 3.614957; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 20.904500; function : "!(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00479248,0.00501360,0.00544655,0.00628779,0.00792295,0.0111075,0.0173296", \ "0.00637797,0.00659919,0.00703131,0.00787425,0.00951585,0.0127117,0.0189451", \ "0.00896715,0.00938432,0.0101691,0.0115889,0.0140542,0.0181170,0.0245801", \ "0.00904988,0.00969200,0.0109013,0.0130888,0.0168657,0.0230702,0.0327842", \ "0.00552323,0.00642523,0.00812104,0.0111764,0.0164406,0.0250317,0.0383765", \ "-0.00228571,-0.00110503,0.00111282,0.00511058,0.0119894,0.0231959,0.0405092", \ "-0.0147797,-0.0133051,-0.0105683,-0.00560696,0.00296417,0.0169463,0.0385039"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0284218,0.0303858,0.0342219,0.0417027,0.0562262,0.0843976,0.139243", \ "0.0279838,0.0299273,0.0337530,0.0412658,0.0559289,0.0843793,0.139569", \ "0.0331120,0.0348999,0.0384642,0.0456080,0.0598082,0.0877921,0.142733", \ "0.0459558,0.0477574,0.0512115,0.0575222,0.0709210,0.0980969,0.152018", \ "0.0616515,0.0638358,0.0679651,0.0757546,0.0900209,0.116068,0.168851", \ "0.0800355,0.0825534,0.0872672,0.0962007,0.112693,0.142032,0.194035", \ "0.101745,0.104518,0.109837,0.119729,0.138111,0.171103,0.228175"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00260207,0.00278491,0.00314872,0.00386194,0.00526358,0.00801595,0.0134173", \ "0.00276827,0.00291469,0.00321795,0.00386513,0.00526395,0.00801560,0.0134178", \ "0.00557366,0.00575108,0.00608065,0.00669600,0.00779354,0.00964876,0.0137825", \ "0.00977827,0.0100273,0.0104907,0.0113431,0.0128658,0.0154709,0.0197122", \ "0.0155786,0.0159023,0.0165027,0.0176127,0.0195732,0.0228790,0.0282901", \ "0.0231178,0.0235433,0.0242901,0.0256770,0.0281141,0.0321734,0.0386887", \ "0.0324809,0.0329901,0.0339266,0.0356325,0.0386056,0.0434743,0.0511645"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0271837,0.0289973,0.0325032,0.0392303,0.0521406,0.0770737,0.125716", \ "0.0268600,0.0287240,0.0322980,0.0391243,0.0521100,0.0770648,0.125704", \ "0.0258430,0.0278130,0.0315943,0.0387033,0.0519765,0.0770631,0.125713", \ "0.0278845,0.0293537,0.0323343,0.0384397,0.0510776,0.0769222,0.125708", \ "0.0332109,0.0347798,0.0378611,0.0438215,0.0542774,0.0769121,0.125625", \ "0.0393885,0.0409908,0.0441253,0.0502816,0.0619639,0.0829644,0.126264", \ "0.0468764,0.0485725,0.0518347,0.0582759,0.0705686,0.0932694,0.133857"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00568689,0.00593643,0.00641902,0.00734415,0.00910380,0.0124428,0.0188223", \ "0.00729840,0.00753998,0.00801095,0.00892246,0.0106710,0.0140049,0.0203838", \ "0.0110520,0.0114270,0.0121409,0.0134459,0.0157399,0.0195804,0.0259673", \ "0.0125454,0.0131131,0.0141939,0.0161718,0.0196500,0.0254780,0.0347659", \ "0.0106969,0.0114771,0.0129631,0.0156941,0.0204782,0.0284906,0.0412160", \ "0.00485372,0.00585606,0.00779664,0.0113335,0.0175283,0.0278868,0.0443160", \ "-0.00536989,-0.00413481,-0.00176296,0.00259082,0.0102527,0.0230913,0.0434412"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0463034,0.0482554,0.0520508,0.0594568,0.0738690,0.101923,0.156673", \ "0.0463658,0.0483265,0.0521468,0.0596153,0.0741525,0.102410,0.157407", \ "0.0503519,0.0522853,0.0560535,0.0634349,0.0778664,0.106053,0.161124", \ "0.0585322,0.0604721,0.0642332,0.0715754,0.0859071,0.113937,0.168794", \ "0.0697651,0.0720030,0.0763117,0.0845038,0.0998275,0.127736,0.182202", \ "0.0858692,0.0883394,0.0930476,0.101970,0.118594,0.148923,0.203571", \ "0.106638,0.109418,0.114654,0.124475,0.142538,0.175224,0.233473"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00373023,0.00391774,0.00428428,0.00499965,0.00639400,0.00912266,0.0144960", \ "0.00366750,0.00384527,0.00420301,0.00494432,0.00636494,0.00911312,0.0144948", \ "0.00635403,0.00652060,0.00682058,0.00739007,0.00841620,0.0102565,0.0146911", \ "0.0106503,0.0108870,0.0113276,0.0121471,0.0136203,0.0161367,0.0202596", \ "0.0163820,0.0167068,0.0172962,0.0183742,0.0203172,0.0235861,0.0289254", \ "0.0236983,0.0241212,0.0248599,0.0262410,0.0286812,0.0327436,0.0392922", \ "0.0325882,0.0331216,0.0340682,0.0358163,0.0388408,0.0437877,0.0515919"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0279370,0.0296354,0.0329438,0.0394523,0.0521893,0.0770873,0.125711", \ "0.0279404,0.0296367,0.0329502,0.0394555,0.0521981,0.0770759,0.125712", \ "0.0279382,0.0296369,0.0329439,0.0394533,0.0521978,0.0770778,0.125717", \ "0.0290376,0.0305481,0.0335566,0.0396682,0.0522393,0.0770839,0.125709", \ "0.0342020,0.0357423,0.0387878,0.0441781,0.0550042,0.0777319,0.125705", \ "0.0397355,0.0412737,0.0442580,0.0501103,0.0615319,0.0827188,0.126871", \ "0.0465229,0.0480449,0.0510488,0.0569699,0.0685571,0.0907817,0.132602"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00547244,0.00574640,0.00627628,0.00729280,0.00921748,0.0128223,0.0195547", \ "0.00722135,0.00747512,0.00797291,0.00894477,0.0108175,0.0143764,0.0210774", \ "0.0115497,0.0119312,0.0126467,0.0139578,0.0162597,0.0201133,0.0266205", \ "0.0139441,0.0145004,0.0155590,0.0175006,0.0209254,0.0266765,0.0358830", \ "0.0132495,0.0139918,0.0154331,0.0180633,0.0227043,0.0305050,0.0429994", \ "0.00883904,0.00978952,0.0116395,0.0149951,0.0209151,0.0308979,0.0468835", \ "0.000408540,0.00156856,0.00377094,0.00784222,0.0150737,0.0273174,0.0469737"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0561048,0.0580589,0.0618558,0.0692633,0.0836773,0.111732,0.166478", \ "0.0562995,0.0582607,0.0620824,0.0695526,0.0840911,0.112343,0.167341", \ "0.0607253,0.0626596,0.0664300,0.0738162,0.0882482,0.116432,0.171504", \ "0.0684537,0.0703900,0.0741585,0.0815085,0.0958633,0.123919,0.178818", \ "0.0769562,0.0791344,0.0833081,0.0913531,0.106395,0.134447,0.189175", \ "0.0870984,0.0894615,0.0939510,0.102597,0.118840,0.149067,0.204299", \ "0.102601,0.105117,0.109947,0.119113,0.136261,0.167936,0.225948"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00422470,0.00444684,0.00487536,0.00569313,0.00723212,0.0101188,0.0155894", \ "0.00409136,0.00429297,0.00469497,0.00552290,0.00710528,0.0100370,0.0155470", \ "0.00702249,0.00717965,0.00747712,0.00802859,0.00902488,0.0108940,0.0155356", \ "0.0116673,0.0118873,0.0123119,0.0130917,0.0144949,0.0169070,0.0209029", \ "0.0177292,0.0180307,0.0185713,0.0195934,0.0214180,0.0245508,0.0297074", \ "0.0253637,0.0257497,0.0264271,0.0277136,0.0300103,0.0338710,0.0401880", \ "0.0345506,0.0350454,0.0359365,0.0375516,0.0403676,0.0450454,0.0525675"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0279491,0.0296418,0.0329463,0.0394569,0.0521993,0.0770902,0.125717", \ "0.0279610,0.0296486,0.0329598,0.0394617,0.0521933,0.0770845,0.125718", \ "0.0279778,0.0296598,0.0329698,0.0394656,0.0521962,0.0770807,0.125719", \ "0.0283860,0.0300083,0.0332078,0.0395797,0.0522248,0.0770707,0.125715", \ "0.0327214,0.0342865,0.0372155,0.0428502,0.0541840,0.0774489,0.125694", \ "0.0378173,0.0393486,0.0423635,0.0483408,0.0600261,0.0819790,0.126878", \ "0.0448919,0.0463325,0.0491840,0.0549724,0.0664717,0.0890771,0.132294"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00517569,0.00544429,0.00596709,0.00697944,0.00892168,0.0126111,0.0195599", \ "0.00696233,0.00720953,0.00769726,0.00865717,0.0105359,0.0141589,0.0210500", \ "0.0113999,0.0117865,0.0125122,0.0138435,0.0161822,0.0200875,0.0266905", \ "0.0142057,0.0147711,0.0158391,0.0178000,0.0212538,0.0270506,0.0363055", \ "0.0141990,0.0149380,0.0163713,0.0189953,0.0236310,0.0314260,0.0439157", \ "0.0107240,0.0116682,0.0134940,0.0168040,0.0226539,0.0325409,0.0484119", \ "0.00357570,0.00469085,0.00685505,0.0108247,0.0179038,0.0299274,0.0492990"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0594845,0.0614349,0.0652340,0.0726437,0.0870552,0.115108,0.169856", \ "0.0601719,0.0621337,0.0659564,0.0734260,0.0879648,0.116223,0.171222", \ "0.0649432,0.0668744,0.0706487,0.0780320,0.0924662,0.120650,0.175724", \ "0.0723740,0.0743054,0.0780618,0.0854127,0.0997713,0.127839,0.182737", \ "0.0802377,0.0823208,0.0863531,0.0941322,0.108802,0.136821,0.191587", \ "0.0876119,0.0898572,0.0941419,0.102442,0.118187,0.147823,0.202953", \ "0.0979854,0.100337,0.104835,0.113532,0.129968,0.160816,0.218258"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.00410529,0.00434220,0.00480099,0.00568572,0.00735427,0.0104684,0.0162540", \ "0.00407472,0.00427682,0.00468529,0.00552650,0.00721798,0.0103576,0.0161721", \ "0.00733981,0.00749845,0.00780149,0.00836100,0.00936728,0.0112754,0.0160773", \ "0.0123400,0.0125611,0.0129865,0.0137689,0.0151645,0.0175563,0.0215056", \ "0.0187801,0.0190818,0.0196207,0.0206268,0.0224234,0.0254919,0.0305491", \ "0.0268933,0.0272646,0.0279254,0.0291738,0.0313934,0.0351279,0.0412659", \ "0.0367025,0.0371633,0.0380355,0.0395773,0.0422592,0.0467159,0.0539137"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.0279445,0.0296399,0.0329486,0.0394559,0.0521913,0.0770868,0.125697", \ "0.0279609,0.0296478,0.0329573,0.0394599,0.0521964,0.0770723,0.125714", \ "0.0279799,0.0296655,0.0329679,0.0394642,0.0522012,0.0770742,0.125713", \ "0.0281326,0.0297987,0.0330553,0.0395087,0.0522110,0.0770707,0.125706", \ "0.0310159,0.0325587,0.0355724,0.0414818,0.0533144,0.0772477,0.125715", \ "0.0349935,0.0365969,0.0397378,0.0459045,0.0578723,0.0806282,0.126546", \ "0.0414846,0.0429751,0.0459450,0.0518738,0.0636330,0.0867373,0.131181"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.096617,0.088421,0.074323,0.047556,0.001577,-0.000297,-0.000406", \ "0.060383,0.059342,0.055153,0.040492,0.019084,-0.000668,-0.000777", \ "0.172089,0.159048,0.146422,0.117872,0.073507,0.055066,0.038008", \ "0.517470,0.510276,0.491372,0.472303,0.426644,0.339698,0.218398", \ "1.081200,1.087145,1.067449,1.043083,0.991573,0.892338,0.710955", \ "1.900501,1.900433,1.886891,1.871909,1.835385,1.730777,1.494881", \ "2.998430,2.986433,2.989288,2.972772,2.956996,2.862635,2.618421"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("6.005227,6.036533,6.043157,6.086544,6.105585,6.171332,6.156940", \ "5.803325,5.834492,5.842110,5.890183,5.916642,6.004328,6.061345", \ "5.893420,5.887864,5.880625,5.902792,5.919864,5.901209,5.982527", \ "6.352725,6.368388,6.348142,6.319893,6.267228,6.224624,6.171111", \ "7.212817,7.193524,7.156655,7.101043,6.997300,6.870161,6.656920", \ "8.253048,8.279061,8.282306,8.287903,8.118383,7.933191,7.692773", \ "9.724411,9.700940,9.696454,9.641891,9.645456,9.437748,9.002351"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.653768,0.655236,0.670910,0.679074,0.691586,0.721396,0.761116", \ "0.638750,0.637804,0.664541,0.675253,0.698286,0.737529,0.784930", \ "0.787714,0.780241,0.777322,0.756196,0.752497,0.783479,0.830886", \ "1.185191,1.169811,1.170070,1.157093,1.113278,1.051056,0.965147", \ "1.781876,1.774975,1.768470,1.747080,1.701412,1.607270,1.452949", \ "2.621392,2.621735,2.625664,2.608367,2.557184,2.453026,2.239807", \ "3.750916,3.763933,3.758066,3.753570,3.714326,3.625091,3.374273"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("7.638787,7.667462,7.669106,7.705475,7.723538,7.743491,7.654467", \ "7.499492,7.500696,7.533404,7.546606,7.555537,7.608174,7.577519", \ "7.377328,7.403487,7.402865,7.437302,7.423219,7.458948,7.462928", \ "7.367704,7.392824,7.388364,7.415635,7.423269,7.422303,7.494992", \ "7.655986,7.646621,7.632012,7.611721,7.607642,7.592773,7.576018", \ "8.324359,8.319803,8.374030,8.320144,8.245620,8.049588,7.963857", \ "9.348680,9.346937,9.321980,9.307253,9.319120,9.176598,8.840712"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.799087,0.829913,0.880874,0.961407,1.093586,1.282453,1.471104", \ "0.775766,0.804164,0.860684,0.945710,1.084774,1.247391,1.441891", \ "1.004984,0.997503,0.999426,1.014913,1.092993,1.218493,1.380432", \ "1.513648,1.513552,1.504976,1.502387,1.489502,1.472146,1.476291", \ "2.201823,2.198036,2.198621,2.173505,2.144916,2.070945,1.962193", \ "3.178664,3.169806,3.175751,3.156636,3.104015,3.001632,2.799915", \ "4.469145,4.479723,4.475122,4.444930,4.405279,4.287383,4.025193"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("9.109257,9.109330,9.139722,9.157817,9.145211,9.186720,9.153447", \ "8.966091,8.967250,9.000125,9.027682,9.055436,9.096157,9.085252", \ "8.858650,8.857178,8.856535,8.889887,8.927238,8.887048,8.963402", \ "8.801176,8.827112,8.825358,8.852253,8.831653,8.883646,8.917593", \ "8.856732,8.853026,8.847438,8.851112,8.880729,8.825953,8.858209", \ "9.147506,9.159903,9.222324,9.199042,9.136902,9.123274,8.992355", \ "9.965958,9.945537,9.944190,9.936513,9.935044,9.840012,9.650412"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("0.675697,0.697524,0.784400,0.900207,1.123354,1.417640,1.774752", \ "0.639402,0.658041,0.717651,0.843860,1.048015,1.328795,1.669059", \ "0.917962,0.916670,0.936893,0.974556,1.081470,1.289287,1.592752", \ "1.546205,1.540907,1.541997,1.555009,1.596189,1.627420,1.708731", \ "2.360950,2.359762,2.357739,2.333512,2.335608,2.294263,2.253600", \ "3.480787,3.465696,3.479522,3.462508,3.416768,3.310476,3.149663", \ "4.990149,4.988871,4.980034,4.938883,4.896569,4.767959,4.477820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.717620,1.408520,2.764600,5.426270,10.650500,20.904500"); values ("10.563480,10.567210,10.568950,10.593440,10.642780,10.663280,10.620050", \ "10.409500,10.410720,10.415030,10.452800,10.513640,10.514820,10.522690", \ "10.293560,10.291830,10.320590,10.322320,10.374520,10.377780,10.382660", \ "10.243120,10.241090,10.267720,10.293100,10.318420,10.306680,10.393270", \ "10.251490,10.248650,10.274230,10.261450,10.249860,10.309510,10.345840", \ "10.355490,10.377890,10.425590,10.470360,10.440310,10.439540,10.375500", \ "10.938430,10.927350,10.913540,10.907470,10.936930,10.924420,10.746600"); } } } } /****************************************************************************************** Module : NOR4_X4 Cell Description : Combinational cell (NOR4_X4) with drive strength X4 *******************************************************************************************/ cell (NOR4_X4) { drive_strength : 4; area : 4.788000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 130.405147; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 161.513000; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 64.441773; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 65.028326; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 118.201494; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 65.955758; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 118.245037; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 118.523229; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 176.737295; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 75.352970; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 118.388563; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 118.671634; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 176.838267; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 118.961997; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 176.961831; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 177.138524; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 235.522657; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.569444; fall_capacitance : 5.008600; rise_capacitance : 6.569444; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.198845; fall_capacitance : 5.293211; rise_capacitance : 6.198845; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.081284; fall_capacitance : 5.449809; rise_capacitance : 6.081284; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.026564; fall_capacitance : 5.800248; rise_capacitance : 6.026564; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 41.503900; function : "!(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00480479,0.00510023,0.00550826,0.00631876,0.00792028,0.0110942,0.0174093", \ "0.00638035,0.00667643,0.00708510,0.00789589,0.00950331,0.0126880,0.0190142", \ "0.00892586,0.00948095,0.0102190,0.0115863,0.0140098,0.0180787,0.0246497", \ "0.00896693,0.00983066,0.0109645,0.0130654,0.0167743,0.0229837,0.0328572", \ "0.00539196,0.00659615,0.00818601,0.0111198,0.0162892,0.0248896,0.0384565", \ "-0.00251804,-0.000921249,0.00116096,0.00499923,0.0117599,0.0229863,0.0405843", \ "-0.0151104,-0.0131519,-0.0105772,-0.00579970,0.00263324,0.0166416,0.0385651"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0266753,0.0292680,0.0328777,0.0400713,0.0543366,0.0825182,0.138369", \ "0.0263503,0.0288971,0.0324782,0.0396808,0.0540573,0.0825083,0.138712", \ "0.0317444,0.0340625,0.0373740,0.0441726,0.0580550,0.0860101,0.141940", \ "0.0448202,0.0471954,0.0504019,0.0563954,0.0693554,0.0964474,0.151328", \ "0.0605342,0.0634382,0.0673177,0.0747647,0.0886983,0.114571,0.168260", \ "0.0790368,0.0823084,0.0867238,0.0953062,0.111442,0.140708,0.193521", \ "0.100771,0.104502,0.109366,0.118893,0.136904,0.169852,0.227819"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00254641,0.00278847,0.00313011,0.00381406,0.00518170,0.00792029,0.0133975", \ "0.00272227,0.00291590,0.00320230,0.00382103,0.00518207,0.00792007,0.0133979", \ "0.00551739,0.00575042,0.00605995,0.00665250,0.00772940,0.00958457,0.0137630", \ "0.00969558,0.0100181,0.0104533,0.0112775,0.0127753,0.0153794,0.0196958", \ "0.0154376,0.0158673,0.0164310,0.0175060,0.0194343,0.0227532,0.0282624", \ "0.0229287,0.0234518,0.0241616,0.0255177,0.0279250,0.0319967,0.0386498", \ "0.0322348,0.0328596,0.0337396,0.0354089,0.0383533,0.0432554,0.0511058"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0264476,0.0289317,0.0323218,0.0389388,0.0517433,0.0767379,0.126267", \ "0.0260026,0.0285663,0.0320363,0.0387734,0.0516881,0.0767276,0.126268", \ "0.0248113,0.0275027,0.0311663,0.0381946,0.0514725,0.0767204,0.126270", \ "0.0272044,0.0291506,0.0319861,0.0379117,0.0503937,0.0764962,0.126263", \ "0.0325436,0.0345906,0.0374950,0.0433176,0.0536285,0.0763528,0.126154", \ "0.0387480,0.0408192,0.0438018,0.0497362,0.0612235,0.0823624,0.126614", \ "0.0462744,0.0484342,0.0515347,0.0577409,0.0698067,0.0925524,0.134055"); } } timing () { related_pin : "A2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00577605,0.00611359,0.00657564,0.00747778,0.00922176,0.0125805,0.0190909", \ "0.00739159,0.00771710,0.00816669,0.00905241,0.0107816,0.0141314,0.0206399", \ "0.0112002,0.0117051,0.0123734,0.0136292,0.0158785,0.0197168,0.0262179", \ "0.0127777,0.0135332,0.0145462,0.0164392,0.0198406,0.0256530,0.0350785", \ "0.0110030,0.0120433,0.0134471,0.0160462,0.0207268,0.0287042,0.0415938", \ "0.00522544,0.00658417,0.00839554,0.0117595,0.0178062,0.0281331,0.0447730", \ "-0.00495479,-0.00329341,-0.00107343,0.00308105,0.0105601,0.0233436,0.0439727"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0465915,0.0491856,0.0527851,0.0599329,0.0740902,0.102128,0.157864", \ "0.0466877,0.0492903,0.0529122,0.0601157,0.0743910,0.102641,0.158623", \ "0.0507374,0.0533027,0.0568726,0.0639949,0.0781633,0.106342,0.162400", \ "0.0589283,0.0615019,0.0650612,0.0721458,0.0862222,0.114245,0.170111", \ "0.0699558,0.0728822,0.0769330,0.0848307,0.0998881,0.127829,0.183349", \ "0.0856290,0.0888635,0.0933098,0.101946,0.118284,0.148666,0.204410", \ "0.106261,0.109936,0.114835,0.124258,0.142043,0.174747,0.234070"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00376028,0.00401192,0.00436116,0.00505377,0.00642482,0.00914999,0.0146076", \ "0.00368376,0.00392318,0.00426858,0.00498445,0.00638605,0.00913547,0.0146050", \ "0.00637283,0.00658575,0.00687112,0.00741850,0.00841357,0.0102540,0.0147831", \ "0.0106569,0.0109695,0.0113796,0.0121641,0.0135996,0.0161099,0.0202981", \ "0.0163701,0.0167811,0.0173256,0.0183724,0.0202616,0.0235414,0.0289658", \ "0.0236470,0.0241536,0.0248548,0.0261966,0.0286006,0.0326643,0.0393347", \ "0.0324809,0.0331331,0.0340273,0.0357083,0.0386948,0.0436636,0.0516214"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0277184,0.0299639,0.0331008,0.0393756,0.0518711,0.0767488,0.126263", \ "0.0277312,0.0299744,0.0331140,0.0393790,0.0518758,0.0767556,0.126260", \ "0.0277083,0.0299564,0.0330983,0.0393723,0.0518748,0.0767484,0.126259", \ "0.0286714,0.0307147,0.0335853,0.0395624,0.0519197,0.0767448,0.126259", \ "0.0338027,0.0358433,0.0387212,0.0439339,0.0546157,0.0773910,0.126254", \ "0.0395130,0.0415024,0.0443084,0.0499551,0.0611609,0.0823912,0.127402", \ "0.0464134,0.0483904,0.0512310,0.0569256,0.0682559,0.0904510,0.133088"); } } timing () { related_pin : "A3"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00555053,0.00591926,0.00642544,0.00741559,0.00932681,0.0129709,0.0198883", \ "0.00731311,0.00765486,0.00813009,0.00907423,0.0109313,0.0145249,0.0214070", \ "0.0117130,0.0122180,0.0128965,0.0141606,0.0164323,0.0202999,0.0269560", \ "0.0142249,0.0149688,0.0159687,0.0178379,0.0211996,0.0269536,0.0363126", \ "0.0136788,0.0146877,0.0160361,0.0185548,0.0230932,0.0308860,0.0435711", \ "0.00940247,0.0107175,0.0124446,0.0156446,0.0214283,0.0313886,0.0476072", \ "0.00112179,0.00266703,0.00475105,0.00864674,0.0157057,0.0279067,0.0478431"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0578202,0.0604102,0.0640068,0.0711485,0.0852923,0.113320,0.169040", \ "0.0580302,0.0606357,0.0642569,0.0714598,0.0857294,0.113963,0.169932", \ "0.0625164,0.0650862,0.0686603,0.0757859,0.0899541,0.118128,0.174181", \ "0.0704184,0.0729952,0.0765534,0.0836525,0.0977503,0.125798,0.181692", \ "0.0791318,0.0819854,0.0859256,0.0936610,0.108359,0.136406,0.192140", \ "0.0888277,0.0919012,0.0961714,0.104521,0.120486,0.150720,0.206991", \ "0.103447,0.106754,0.111311,0.120198,0.137098,0.168876,0.228055"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00422348,0.00452564,0.00494017,0.00574567,0.00728781,0.0102226,0.0158525", \ "0.00410318,0.00437332,0.00476141,0.00557275,0.00715558,0.0101349,0.0158058", \ "0.00706835,0.00727788,0.00755555,0.00808818,0.00906977,0.0109619,0.0157563", \ "0.0117416,0.0120366,0.0124314,0.0131865,0.0145644,0.0169731,0.0210449", \ "0.0178159,0.0182000,0.0187154,0.0197007,0.0214980,0.0246218,0.0298662", \ "0.0254624,0.0259332,0.0265771,0.0278226,0.0300812,0.0339469,0.0403687", \ "0.0346435,0.0352847,0.0360934,0.0376441,0.0404253,0.0451164,0.0527696"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0277422,0.0299773,0.0331130,0.0393801,0.0518712,0.0767680,0.126265", \ "0.0277653,0.0299998,0.0331270,0.0393889,0.0518802,0.0767504,0.126258", \ "0.0277849,0.0300149,0.0331377,0.0393954,0.0518819,0.0767422,0.126259", \ "0.0281335,0.0302970,0.0333433,0.0395002,0.0519080,0.0767642,0.126267", \ "0.0322763,0.0342913,0.0370449,0.0425128,0.0537041,0.0770873,0.126263", \ "0.0373187,0.0393455,0.0422362,0.0480192,0.0595127,0.0814988,0.127337", \ "0.0445983,0.0464795,0.0492195,0.0547925,0.0660737,0.0886535,0.132701"); } } timing () { related_pin : "A4"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00527415,0.00563477,0.00613278,0.00711486,0.00903621,0.0127556,0.0198894", \ "0.00706554,0.00739774,0.00786330,0.00879462,0.0106521,0.0143028,0.0213768", \ "0.0115453,0.0120589,0.0127492,0.0140316,0.0163374,0.0202655,0.0270285", \ "0.0144643,0.0152177,0.0162269,0.0181129,0.0215048,0.0273169,0.0367429", \ "0.0145900,0.0156045,0.0169563,0.0194723,0.0240137,0.0318159,0.0445199", \ "0.0112739,0.0125783,0.0142845,0.0174459,0.0231760,0.0330548,0.0491914", \ "0.00426639,0.00579673,0.00782720,0.0116370,0.0185522,0.0305576,0.0502459"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0617082,0.0642986,0.0678924,0.0750305,0.0891702,0.117189,0.172905", \ "0.0624161,0.0650191,0.0686409,0.0758404,0.0901050,0.118331,0.174288", \ "0.0672777,0.0698452,0.0734182,0.0805424,0.0947108,0.122881,0.178926", \ "0.0749081,0.0774728,0.0810328,0.0881195,0.102218,0.130270,0.186160", \ "0.0831244,0.0858702,0.0896685,0.0971415,0.111473,0.139484,0.195246", \ "0.0904700,0.0933960,0.0974612,0.105450,0.120882,0.150493,0.206602", \ "0.100153,0.103197,0.107482,0.115908,0.132078,0.162980,0.221534"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.00409141,0.00441193,0.00485354,0.00571946,0.00738759,0.0105596,0.0165477", \ "0.00407769,0.00435091,0.00474344,0.00556895,0.00725343,0.0104485,0.0164636", \ "0.00737198,0.00758441,0.00786964,0.00841489,0.00941638,0.0113630,0.0163455", \ "0.0124089,0.0127078,0.0131026,0.0138637,0.0152474,0.0176490,0.0216908", \ "0.0188962,0.0192713,0.0197835,0.0207592,0.0225331,0.0256076,0.0307638", \ "0.0270296,0.0274844,0.0281209,0.0293339,0.0315251,0.0352802,0.0415364", \ "0.0368436,0.0374676,0.0382689,0.0397485,0.0424041,0.0468848,0.0542247"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.0277396,0.0299789,0.0331129,0.0393820,0.0518760,0.0767621,0.126270", \ "0.0277636,0.0299924,0.0331262,0.0393880,0.0518770,0.0767496,0.126267", \ "0.0277848,0.0300170,0.0331399,0.0393926,0.0518783,0.0767457,0.126258", \ "0.0279118,0.0301185,0.0332129,0.0394349,0.0518949,0.0767440,0.126270", \ "0.0305678,0.0326055,0.0354554,0.0411868,0.0528500,0.0768926,0.126269", \ "0.0344553,0.0365784,0.0395660,0.0455248,0.0573037,0.0800861,0.126994", \ "0.0408042,0.0427818,0.0456369,0.0513904,0.0629913,0.0861519,0.131477"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("0.289546,0.271411,0.242623,0.184855,0.086143,-0.000591,-0.000811", \ "0.203811,0.199473,0.184432,0.155801,0.098086,0.009975,-0.001555", \ "0.393788,0.371432,0.338620,0.283073,0.185838,0.138714,0.088151", \ "1.040246,1.029612,0.999982,0.945778,0.870630,0.711404,0.454877", \ "2.160763,2.146795,2.110811,2.081582,1.986378,1.788877,1.438275", \ "3.783500,3.728980,3.739769,3.718393,3.631751,3.433995,2.983587", \ "5.882120,5.857513,5.909676,5.894462,5.841540,5.676868,5.204688"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("11.911990,11.962260,12.001990,12.070290,12.185030,12.132160,12.366860", \ "11.517260,11.564680,11.621680,11.688480,11.823380,11.965170,12.005010", \ "11.710090,11.735250,11.689170,11.712360,11.761240,11.824160,11.845810", \ "12.697770,12.644800,12.631120,12.611170,12.479520,12.336290,12.272970", \ "14.372030,14.297850,14.271090,14.182620,14.016910,13.780460,13.196420", \ "16.474520,16.502860,16.526870,16.505420,16.276300,15.891200,15.080860", \ "19.435290,19.389950,19.351600,19.225100,19.165400,18.650570,17.952370"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("1.351256,1.365145,1.351815,1.387196,1.400239,1.438243,1.514694", \ "1.311693,1.319391,1.329100,1.362579,1.400236,1.461878,1.553401", \ "1.593040,1.566421,1.558931,1.512521,1.517735,1.566367,1.656075", \ "2.342901,2.348315,2.322754,2.296113,2.217466,2.098222,1.924823", \ "3.522321,3.490593,3.491762,3.451957,3.374459,3.199234,2.885007", \ "5.219532,5.208434,5.184874,5.157084,5.074824,4.866411,4.446294", \ "7.409717,7.411547,7.451005,7.419425,7.364625,7.172585,6.702293"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("15.743040,15.783470,15.815840,15.876500,15.898230,15.885780,15.941200", \ "15.468080,15.510760,15.547600,15.611420,15.703590,15.792020,15.788130", \ "15.278720,15.256370,15.283960,15.336220,15.396480,15.528790,15.557050", \ "15.249000,15.221210,15.244220,15.279000,15.302810,15.406210,15.226460", \ "15.717830,15.673310,15.675480,15.682680,15.627770,15.647630,15.369420", \ "16.946100,16.969680,17.074620,16.980240,16.871580,16.653000,16.411700", \ "18.984180,18.996610,18.980780,18.931860,18.970620,18.662270,18.073230"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("1.546191,1.631324,1.680272,1.863591,2.150461,2.501769,2.904940", \ "1.508329,1.588964,1.700907,1.837957,2.085776,2.459781,2.846320", \ "1.952557,1.932786,1.955761,1.991138,2.122917,2.386721,2.719011", \ "2.918951,2.938956,2.954160,2.924612,2.919830,2.881592,2.889660", \ "4.287164,4.317955,4.293996,4.272675,4.213317,4.084369,3.856107", \ "6.285581,6.272875,6.241004,6.201924,6.110705,5.893834,5.500801", \ "8.838789,8.826958,8.824602,8.771701,8.694867,8.453009,7.922993"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("19.305300,19.286980,19.318280,19.378310,19.405020,19.525400,19.374260", \ "18.966850,19.009770,19.046120,19.136330,19.219760,19.333150,19.214460", \ "18.752170,18.787910,18.815650,18.865330,18.926330,18.951640,18.959090", \ "18.682900,18.717190,18.740240,18.783810,18.773090,18.900450,18.951150", \ "18.754580,18.726090,18.747110,18.785870,18.755600,18.760030,18.765440", \ "19.188150,19.263940,19.342040,19.324940,19.235620,19.164110,19.176310", \ "20.684340,20.597590,20.635450,20.629670,20.716460,20.543660,20.225330"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("1.329838,1.428629,1.567007,1.792502,2.178224,2.764031,3.484471", \ "1.241554,1.328888,1.443569,1.643653,2.032579,2.581588,3.293638", \ "1.714484,1.760956,1.777072,1.851490,2.106782,2.507702,3.133587", \ "2.996683,3.001475,3.017957,3.029705,3.071302,3.141252,3.349478", \ "4.567487,4.571319,4.539700,4.568485,4.536272,4.490998,4.404647", \ "6.835622,6.773181,6.791568,6.731275,6.660848,6.485709,6.158763", \ "9.777480,9.786810,9.742339,9.719023,9.616635,9.344349,8.779880"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.297000,2.593990,5.187990,10.376000,20.751900,41.503900"); values ("22.551450,22.591180,22.622260,22.698660,22.660270,22.778170,22.851760", \ "22.304650,22.288880,22.324700,22.428810,22.460510,22.487940,22.577950", \ "22.071640,22.108020,22.136130,22.160150,22.186690,22.207850,22.279270", \ "22.017510,21.994110,22.018750,22.042060,22.122630,22.087050,22.293230", \ "22.006410,21.981150,22.004350,22.039010,21.989030,22.126710,22.175350", \ "22.105190,22.214390,22.332130,22.339230,22.279280,22.111640,22.182790", \ "23.061290,23.012940,23.031350,23.076260,23.215670,23.146030,22.799560"); } } } } /****************************************************************************************** Module : OAI21_X1 Cell Description : Combinational cell (OAI21_X1) with drive strength X1 *******************************************************************************************/ cell (OAI21_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.619394; leakage_power () { when : "!A & !B1 & !B2"; value : 6.415343; } leakage_power () { when : "!A & !B1 & B2"; value : 7.468637; } leakage_power () { when : "!A & B1 & !B2"; value : 7.468637; } leakage_power () { when : "!A & B1 & B2"; value : 4.306138; } leakage_power () { when : "A & !B1 & !B2"; value : 34.894750; } leakage_power () { when : "A & !B1 & B2"; value : 34.934130; } leakage_power () { when : "A & B1 & !B2"; value : 37.284445; } leakage_power () { when : "A & B1 & B2"; value : 48.183069; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.670716; fall_capacitance : 1.518574; rise_capacitance : 1.670716; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.662050; fall_capacitance : 1.459832; rise_capacitance : 1.662050; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.571893; fall_capacitance : 1.558325; rise_capacitance : 1.571893; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 26.054400; function : "!(A & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.012066,0.013113,0.014967,0.018570,0.025587,0.039368,0.066668", \ "0.013479,0.014536,0.016407,0.020037,0.027086,0.040896,0.068215", \ "0.017324,0.018458,0.020432,0.024110,0.031180,0.045047,0.072423", \ "0.021394,0.022870,0.025377,0.029938,0.038063,0.052635,0.080103", \ "0.023939,0.025883,0.029179,0.035096,0.045284,0.062371,0.091894", \ "0.024545,0.026972,0.031118,0.038522,0.051184,0.071969,0.105665", \ "0.023105,0.026016,0.031015,0.039931,0.055173,0.080060,0.119459"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.012221,0.013360,0.015402,0.019431,0.027388,0.043169,0.074571", \ "0.013724,0.014872,0.016930,0.020984,0.028972,0.044783,0.076210", \ "0.020219,0.021404,0.023373,0.027319,0.035210,0.050947,0.082340", \ "0.028974,0.030696,0.033636,0.038932,0.048000,0.063523,0.094582", \ "0.038324,0.040528,0.044310,0.051196,0.063186,0.082889,0.114490", \ "0.048698,0.051356,0.055905,0.064205,0.078817,0.103287,0.142031", \ "0.060377,0.063471,0.068766,0.078378,0.095385,0.124170,0.170657"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.006343,0.007160,0.008634,0.011575,0.017450,0.029209,0.052735", \ "0.006328,0.007147,0.008628,0.011573,0.017450,0.029208,0.052737", \ "0.006975,0.007704,0.008999,0.011716,0.017427,0.029206,0.052734", \ "0.009770,0.010494,0.011777,0.014311,0.019400,0.029874,0.052729", \ "0.014280,0.015113,0.016542,0.019205,0.024195,0.034040,0.054501", \ "0.020097,0.021079,0.022738,0.025796,0.031244,0.041110,0.060600", \ "0.027087,0.028250,0.030177,0.033716,0.039911,0.050553,0.069920"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.009193,0.010315,0.012332,0.016381,0.024396,0.040037,0.070349", \ "0.009195,0.010315,0.012333,0.016379,0.024397,0.040037,0.070351", \ "0.010385,0.011237,0.012886,0.016491,0.024399,0.040048,0.070346", \ "0.016608,0.017551,0.019140,0.021963,0.027318,0.040462,0.070354", \ "0.023987,0.025206,0.027289,0.031050,0.037394,0.047690,0.071696", \ "0.032590,0.033975,0.036405,0.040941,0.048835,0.061559,0.081789", \ "0.042389,0.043900,0.046575,0.051702,0.060903,0.076274,0.100112"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.009810,0.010767,0.012487,0.015903,0.022698,0.036251,0.063329", \ "0.011159,0.012138,0.013892,0.017351,0.024189,0.037778,0.064878", \ "0.014410,0.015575,0.017579,0.021329,0.028262,0.041925,0.069089", \ "0.016951,0.018614,0.021383,0.026281,0.034699,0.049449,0.076780", \ "0.017609,0.019866,0.023602,0.030130,0.041004,0.058671,0.088485", \ "0.016317,0.019171,0.023891,0.032112,0.045754,0.067475,0.101869", \ "0.013084,0.016519,0.022187,0.032088,0.048525,0.074647,0.115081"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.011870,0.013011,0.015055,0.019083,0.027032,0.042794,0.074162", \ "0.013370,0.014521,0.016582,0.020634,0.028616,0.044402,0.075801", \ "0.019836,0.021041,0.023034,0.026976,0.034855,0.050570,0.081930", \ "0.028384,0.030132,0.033114,0.038472,0.047613,0.063152,0.094163", \ "0.037527,0.039759,0.043596,0.050556,0.062634,0.082440,0.114080", \ "0.047684,0.050385,0.054987,0.063372,0.078083,0.102675,0.141541", \ "0.059088,0.062234,0.067603,0.077333,0.094461,0.123384,0.170017"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.004529,0.005338,0.006810,0.009747,0.015620,0.027349,0.050807", \ "0.004535,0.005342,0.006811,0.009750,0.015619,0.027350,0.050810", \ "0.005663,0.006364,0.007653,0.010186,0.015674,0.027349,0.050810", \ "0.008845,0.009559,0.010804,0.013226,0.018115,0.028300,0.050807", \ "0.013633,0.014439,0.015839,0.018441,0.023287,0.032838,0.052911", \ "0.019772,0.020675,0.022263,0.025225,0.030544,0.040195,0.059315", \ "0.027021,0.028056,0.029886,0.033294,0.039352,0.049812,0.068874"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.007751,0.008774,0.010634,0.014361,0.021824,0.036749,0.066597", \ "0.007750,0.008775,0.010634,0.014362,0.021825,0.036741,0.066593", \ "0.009033,0.009771,0.011234,0.014493,0.021829,0.036757,0.066582", \ "0.014074,0.015082,0.016760,0.019698,0.024814,0.037187,0.066590", \ "0.019504,0.020893,0.023192,0.027248,0.033954,0.044475,0.067955", \ "0.025611,0.027325,0.030194,0.035307,0.043897,0.057390,0.078111", \ "0.032685,0.034709,0.038101,0.044144,0.054425,0.070958,0.095934"); } } timing () { related_pin : "A"; when : "B1 & B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.008383,0.009117,0.010439,0.013060,0.018271,0.028662,0.049422", \ "0.009860,0.010613,0.011960,0.014615,0.019860,0.030279,0.051056", \ "0.013731,0.014770,0.016515,0.019638,0.025108,0.035599,0.056435", \ "0.016340,0.017921,0.020554,0.025178,0.032894,0.045380,0.066634", \ "0.016883,0.019054,0.022651,0.028940,0.039371,0.055917,0.081625", \ "0.015358,0.018120,0.022685,0.030655,0.043870,0.064799,0.096876", \ "0.011785,0.015114,0.020610,0.030235,0.046223,0.071598,0.110442"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.012985,0.014113,0.016141,0.020149,0.028078,0.043815,0.075177", \ "0.014486,0.015623,0.017666,0.021701,0.029667,0.045445,0.076828", \ "0.021024,0.022137,0.024098,0.028032,0.035893,0.051592,0.082941", \ "0.030145,0.031822,0.034677,0.039853,0.048756,0.064199,0.095195", \ "0.039833,0.041986,0.045676,0.052428,0.064238,0.083729,0.115172", \ "0.050547,0.053134,0.057579,0.065737,0.080157,0.104409,0.142896", \ "0.062550,0.065574,0.070749,0.080207,0.097016,0.125584,0.171814"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.003738,0.004354,0.005476,0.007711,0.012164,0.021047,0.038799", \ "0.003742,0.004359,0.005476,0.007710,0.012164,0.021045,0.038798", \ "0.005452,0.005955,0.006849,0.008553,0.012365,0.021047,0.038799", \ "0.009159,0.009772,0.010825,0.012783,0.016345,0.023084,0.038921", \ "0.014282,0.014971,0.016194,0.018504,0.022647,0.029934,0.043350", \ "0.020752,0.021513,0.022901,0.025550,0.030365,0.038716,0.053088", \ "0.028376,0.029229,0.030815,0.033868,0.039410,0.048967,0.065013"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.008209,0.009246,0.011127,0.014884,0.022379,0.037315,0.067185", \ "0.008207,0.009244,0.011126,0.014882,0.022380,0.037320,0.067181", \ "0.009219,0.010023,0.011567,0.014946,0.022379,0.037332,0.067188", \ "0.014314,0.015312,0.016967,0.019878,0.025076,0.037683,0.067199", \ "0.019839,0.021196,0.023469,0.027481,0.034125,0.044643,0.068427", \ "0.025982,0.027667,0.030503,0.035555,0.044069,0.057502,0.078292", \ "0.033086,0.035060,0.038395,0.044371,0.054588,0.071025,0.095950"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.00762368,0.00858534,0.0103136,0.0137377,0.0205411,0.0340992,0.0611808", \ "0.00894960,0.00992544,0.0116785,0.0151353,0.0219773,0.0355690,0.0626707", \ "0.0125366,0.0139151,0.0161940,0.0201547,0.0269935,0.0405550,0.0676479", \ "0.0143152,0.0163231,0.0196630,0.0255025,0.0351913,0.0505205,0.0773757", \ "0.0137086,0.0163541,0.0207698,0.0284730,0.0412962,0.0617163,0.0930781", \ "0.0103663,0.0136770,0.0191792,0.0287858,0.0447541,0.0702165,0.109549", \ "0.00407764,0.00801739,0.0146077,0.0260988,0.0452630,0.0758060,0.123048"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0171975,0.0195930,0.0238727,0.0322870,0.0488937,0.0818948,0.147722", \ "0.0178286,0.0202402,0.0245707,0.0331007,0.0498821,0.0830640,0.149018", \ "0.0232936,0.0255209,0.0296173,0.0378857,0.0544919,0.0876588,0.153726", \ "0.0321886,0.0352515,0.0403673,0.0494599,0.0655219,0.0980401,0.163669", \ "0.0423405,0.0460841,0.0523855,0.0637669,0.0833261,0.116020,0.180579", \ "0.0543584,0.0587062,0.0660496,0.0793824,0.102680,0.141382,0.205919", \ "0.0683744,0.0733765,0.0817201,0.0968716,0.123446,0.168307,0.240620"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.00452984,0.00533829,0.00680867,0.00974684,0.0156185,0.0273480,0.0508063", \ "0.00451347,0.00533123,0.00680810,0.00974776,0.0156176,0.0273513,0.0508087", \ "0.00699807,0.00764455,0.00874578,0.0107826,0.0157356,0.0273512,0.0508079", \ "0.0116946,0.0125427,0.0139699,0.0165430,0.0210256,0.0293339,0.0508063", \ "0.0179825,0.0190685,0.0208432,0.0240456,0.0295529,0.0387940,0.0551741", \ "0.0259205,0.0272544,0.0294442,0.0333116,0.0398594,0.0508148,0.0686995", \ "0.0354872,0.0371447,0.0397635,0.0443808,0.0520956,0.0647457,0.0853657"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0121467,0.0142349,0.0180198,0.0255728,0.0406749,0.0708636,0.131177", \ "0.0121439,0.0142309,0.0180203,0.0255791,0.0406759,0.0708742,0.131177", \ "0.0128424,0.0145665,0.0179672,0.0255707,0.0406738,0.0708668,0.131181", \ "0.0180174,0.0197878,0.0227902,0.0281300,0.0409993,0.0708702,0.131177", \ "0.0236971,0.0258139,0.0294657,0.0361123,0.0474565,0.0720848,0.131167", \ "0.0306738,0.0329906,0.0370794,0.0447859,0.0583774,0.0811162,0.132114", \ "0.0392178,0.0417255,0.0461131,0.0545522,0.0699074,0.0960685,0.141375"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.00969245,0.0107301,0.0125715,0.0161579,0.0231566,0.0369211,0.0642063", \ "0.0108992,0.0119387,0.0137865,0.0173815,0.0243889,0.0381592,0.0654488", \ "0.0154436,0.0166741,0.0187433,0.0224093,0.0293542,0.0430826,0.0703422", \ "0.0190909,0.0208707,0.0238755,0.0292395,0.0383319,0.0530653,0.0800860", \ "0.0205747,0.0228737,0.0268055,0.0338257,0.0458173,0.0653448,0.0958934", \ "0.0197989,0.0226100,0.0274310,0.0360670,0.0508597,0.0751086,0.113301", \ "0.0166203,0.0199479,0.0256359,0.0358314,0.0533661,0.0822154,0.127909"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0213883,0.0237369,0.0279554,0.0362999,0.0528541,0.0858216,0.151616", \ "0.0227320,0.0251199,0.0294077,0.0378701,0.0545724,0.0876782,0.153576", \ "0.0278392,0.0301747,0.0343961,0.0428162,0.0595716,0.0928240,0.158928", \ "0.0351851,0.0380057,0.0428599,0.0518845,0.0685168,0.101657,0.167775", \ "0.0436384,0.0470292,0.0527950,0.0633861,0.0823796,0.116134,0.181973", \ "0.0543242,0.0582778,0.0649525,0.0770688,0.0985759,0.136222,0.202832", \ "0.0671986,0.0717491,0.0794007,0.0931409,0.117214,0.158839,0.230792"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.00639652,0.00720456,0.00866516,0.0115894,0.0174550,0.0292082,0.0527395", \ "0.00637729,0.00719615,0.00866251,0.0115912,0.0174541,0.0292085,0.0527343", \ "0.00819027,0.00880690,0.00984675,0.0121305,0.0174818,0.0292110,0.0527328", \ "0.0129515,0.0137815,0.0151701,0.0176741,0.0220713,0.0307325,0.0527385", \ "0.0190218,0.0201095,0.0218981,0.0251092,0.0306394,0.0398144,0.0565568", \ "0.0264184,0.0277902,0.0300160,0.0339790,0.0407287,0.0518253,0.0697275", \ "0.0352005,0.0368712,0.0395965,0.0443911,0.0524040,0.0654605,0.0863557"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.0121513,0.0142343,0.0180199,0.0255760,0.0406704,0.0708600,0.131180", \ "0.0121554,0.0142366,0.0180164,0.0255779,0.0406743,0.0708711,0.131180", \ "0.0122714,0.0142904,0.0180291,0.0255780,0.0406712,0.0708645,0.131184", \ "0.0157383,0.0175416,0.0206957,0.0269629,0.0408208,0.0708379,0.131171", \ "0.0204516,0.0223339,0.0257049,0.0323262,0.0449510,0.0717283,0.131171", \ "0.0263765,0.0283252,0.0318184,0.0387166,0.0521388,0.0775475,0.132019", \ "0.0334442,0.0354657,0.0391147,0.0463122,0.0602952,0.0870529,0.138045"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.687033,0.704876,0.722970,0.749736,0.770868,0.798520,0.820818", \ "0.642753,0.652363,0.680992,0.714466,0.748495,0.782639,0.812720", \ "0.563873,0.580671,0.603652,0.647359,0.690391,0.743558,0.788347", \ "0.693246,0.693082,0.682850,0.664543,0.675145,0.717841,0.768862", \ "1.044437,1.025635,0.994386,0.936770,0.870690,0.802636,0.810272", \ "1.646310,1.620463,1.566686,1.464916,1.321167,1.142800,0.985736", \ "2.492158,2.467208,2.397168,2.282149,2.086810,1.758633,1.412706"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("4.403297,4.415631,4.425802,4.408927,4.405483,4.506464,4.547849", \ "4.327579,4.340953,4.349824,4.334086,4.397880,4.449498,4.347690", \ "4.369475,4.367729,4.382874,4.360759,4.360016,4.442909,4.488291", \ "4.710545,4.675745,4.673197,4.653103,4.579441,4.568653,4.497420", \ "5.192520,5.211987,5.167327,5.185894,5.128450,4.890218,4.834992", \ "6.139587,6.116968,6.078268,6.025844,5.818978,5.680881,5.371072", \ "7.505606,7.487782,7.432278,7.267989,7.115881,6.821353,6.428823"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.230069,0.234792,0.244365,0.247918,0.255409,0.258987,0.264319", \ "0.154086,0.171235,0.185745,0.208167,0.227519,0.245618,0.253442", \ "0.071857,0.076248,0.096642,0.130894,0.163324,0.199730,0.228114", \ "0.240843,0.228594,0.202552,0.170165,0.150580,0.175956,0.208988", \ "0.637491,0.605886,0.552968,0.469618,0.371053,0.266006,0.251519", \ "1.289453,1.245300,1.184513,1.049838,0.860959,0.630247,0.435027", \ "2.186253,2.142650,2.057755,1.922109,1.656655,1.280169,0.881410"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("3.720172,3.752511,3.763224,3.789518,3.759536,3.780830,3.889720", \ "3.641470,3.661629,3.695750,3.722844,3.708730,3.710231,3.830417", \ "3.679877,3.705097,3.712762,3.707781,3.705178,3.777152,3.824572", \ "3.897167,3.972319,4.005302,3.960403,3.968018,3.836764,3.748735", \ "4.439700,4.440983,4.454231,4.463186,4.477003,4.255295,3.977577", \ "5.415520,5.389495,5.369940,5.266252,5.175700,5.081681,4.776188", \ "6.743566,6.717574,6.674560,6.585962,6.416160,6.136656,5.765054"); } } internal_power () { related_pin : "A"; when : "B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.231043,0.234586,0.245190,0.250735,0.254393,0.258956,0.264816", \ "0.160101,0.164682,0.183743,0.209059,0.228474,0.244729,0.253442", \ "0.076292,0.078384,0.100671,0.126721,0.168642,0.200392,0.227625", \ "0.253446,0.231841,0.218504,0.188969,0.155442,0.180672,0.212861", \ "0.651037,0.624600,0.576227,0.491319,0.394106,0.293183,0.261934", \ "1.339385,1.292368,1.210924,1.081298,0.896369,0.664311,0.460188", \ "2.247401,2.183171,2.119483,1.967230,1.713367,1.332109,0.931501"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("4.062950,4.062492,4.067090,4.095380,4.120640,4.122425,4.195000", \ "3.986506,3.967077,4.022633,4.053993,4.032103,4.142184,4.171513", \ "4.019350,4.016791,4.016778,4.052598,4.057820,4.057913,4.022039", \ "4.257088,4.293875,4.339803,4.299385,4.286473,4.268675,4.077113", \ "4.810042,4.813056,4.798614,4.820207,4.832079,4.656970,4.565816", \ "5.737076,5.739847,5.721746,5.650106,5.582701,5.482877,5.204823", \ "7.101588,7.079415,7.043411,6.980518,6.806125,6.468772,6.149097"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.275894,0.281597,0.288030,0.298723,0.304398,0.307775,0.312940", \ "0.249572,0.261746,0.275689,0.299067,0.321897,0.333356,0.344720", \ "0.254582,0.251374,0.259885,0.276255,0.306463,0.333047,0.348462", \ "0.536252,0.510186,0.471128,0.413066,0.365280,0.363865,0.370522", \ "1.010554,0.983047,0.916688,0.811612,0.674716,0.528542,0.475813", \ "1.701228,1.659461,1.607037,1.476383,1.250612,0.977539,0.738263", \ "2.557060,2.546085,2.478602,2.375799,2.126964,1.718810,1.261729"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("2.562675,2.592921,2.591722,2.625755,2.653694,2.538445,2.592251", \ "2.467766,2.500486,2.532933,2.569082,2.611371,2.605357,2.548695", \ "2.489446,2.509321,2.497720,2.522340,2.525996,2.585827,2.586945", \ "2.783519,2.766815,2.733073,2.714840,2.630834,2.654907,2.574730", \ "3.126804,3.142469,3.176382,3.153317,3.048046,2.907017,2.762832", \ "3.867710,3.842877,3.805138,3.757968,3.673213,3.458647,3.168373", \ "4.958693,4.921475,4.834092,4.702579,4.541435,4.286695,3.853964"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("0.671864,0.679366,0.688774,0.710524,0.728604,0.753126,0.772105", \ "0.612934,0.625588,0.636912,0.654425,0.682501,0.705421,0.728626", \ "0.581962,0.595018,0.611461,0.629164,0.651998,0.681523,0.705033", \ "0.831299,0.816994,0.782176,0.742575,0.721322,0.725716,0.729270", \ "1.311952,1.276355,1.228919,1.152173,1.028961,0.910161,0.852060", \ "2.015165,1.984417,1.926722,1.811920,1.627132,1.374609,1.133902", \ "2.954246,2.930549,2.874456,2.762268,2.516427,2.139399,1.694062"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.814200,1.628400,3.256800,6.513600,13.027200,26.054400"); values ("3.375031,3.400777,3.393874,3.405189,3.420013,3.417265,3.395336", \ "3.312162,3.331715,3.342434,3.375060,3.405563,3.402545,3.362224", \ "3.225282,3.225155,3.249248,3.285819,3.323008,3.375369,3.283002", \ "3.269326,3.271109,3.257491,3.269320,3.249415,3.240970,3.268394", \ "3.336425,3.360754,3.424130,3.447004,3.407862,3.252534,3.278436", \ "3.843687,3.820731,3.796842,3.771235,3.815479,3.669526,3.540880", \ "4.686151,4.634375,4.577106,4.447681,4.319032,4.252865,3.917001"); } } } } /****************************************************************************************** Module : OAI21_X2 Cell Description : Combinational cell (OAI21_X2) with drive strength X2 *******************************************************************************************/ cell (OAI21_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 45.238687; leakage_power () { when : "!A & !B1 & !B2"; value : 12.830730; } leakage_power () { when : "!A & !B1 & B2"; value : 14.937276; } leakage_power () { when : "!A & B1 & !B2"; value : 14.937276; } leakage_power () { when : "!A & B1 & B2"; value : 8.612287; } leakage_power () { when : "A & !B1 & !B2"; value : 69.789170; } leakage_power () { when : "A & !B1 & B2"; value : 69.868216; } leakage_power () { when : "A & B1 & !B2"; value : 74.568670; } leakage_power () { when : "A & B1 & B2"; value : 96.365874; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.180718; fall_capacitance : 2.880838; rise_capacitance : 3.180718; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.100793; fall_capacitance : 2.699638; rise_capacitance : 3.100793; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.328943; fall_capacitance : 3.307778; rise_capacitance : 3.328943; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 52.108800; function : "!(A & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.011559,0.013041,0.014897,0.018502,0.025522,0.039307,0.066617", \ "0.012954,0.014450,0.016322,0.019954,0.027006,0.040820,0.068150", \ "0.016737,0.018347,0.020324,0.024006,0.031082,0.044954,0.072338", \ "0.020655,0.022755,0.025260,0.029827,0.037956,0.052543,0.080019", \ "0.023007,0.025782,0.029081,0.035001,0.045189,0.062278,0.091819", \ "0.023422,0.026908,0.031042,0.038446,0.051108,0.071891,0.105590", \ "0.021807,0.026001,0.030974,0.039885,0.055116,0.079990,0.119374"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.011667,0.013273,0.015314,0.019342,0.027303,0.043099,0.074534", \ "0.013166,0.014786,0.016843,0.020896,0.028888,0.044715,0.076170", \ "0.019616,0.021314,0.023290,0.027238,0.035128,0.050881,0.082304", \ "0.028056,0.030525,0.033475,0.038798,0.047901,0.063453,0.094546", \ "0.037132,0.040294,0.044080,0.050992,0.063023,0.082782,0.114451", \ "0.047282,0.051073,0.055612,0.063939,0.078592,0.103123,0.141957", \ "0.058708,0.063132,0.068390,0.078060,0.095106,0.123956,0.170537"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.006024,0.007171,0.008646,0.011588,0.017463,0.029223,0.052764", \ "0.006004,0.007159,0.008641,0.011586,0.017463,0.029223,0.052767", \ "0.006696,0.007730,0.009022,0.011736,0.017439,0.029222,0.052764", \ "0.009498,0.010520,0.011802,0.014337,0.019425,0.029901,0.052754", \ "0.013958,0.015136,0.016567,0.019227,0.024220,0.034067,0.054539", \ "0.019700,0.021077,0.022746,0.025808,0.031253,0.041134,0.060635", \ "0.026589,0.028190,0.030145,0.033700,0.039903,0.050544,0.069945"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.008636,0.010216,0.012251,0.016308,0.024350,0.040031,0.070411", \ "0.008637,0.010213,0.012249,0.016308,0.024354,0.040044,0.070409", \ "0.010020,0.011192,0.012835,0.016435,0.024352,0.040044,0.070407", \ "0.016175,0.017518,0.019115,0.021954,0.027324,0.040468,0.070417", \ "0.023441,0.025163,0.027258,0.031035,0.037401,0.047721,0.071774", \ "0.031979,0.033929,0.036375,0.040921,0.048826,0.061587,0.081878", \ "0.041788,0.043876,0.046575,0.051683,0.060892,0.076287,0.100181"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.009383,0.010737,0.012464,0.015893,0.022712,0.036314,0.063489", \ "0.010706,0.012091,0.013851,0.017322,0.024185,0.037822,0.065022", \ "0.013815,0.015480,0.017492,0.021258,0.028221,0.041934,0.069195", \ "0.016119,0.018500,0.021277,0.026184,0.034625,0.049425,0.076854", \ "0.016545,0.019770,0.023509,0.030043,0.040924,0.058619,0.088520", \ "0.015054,0.019123,0.023830,0.032048,0.045695,0.067419,0.101864", \ "0.011635,0.016520,0.022171,0.032062,0.048494,0.074613,0.115062"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.011318,0.012927,0.014969,0.018995,0.026947,0.042717,0.074120", \ "0.012815,0.014438,0.016496,0.020548,0.028531,0.044331,0.075763", \ "0.019222,0.020952,0.022954,0.026894,0.034773,0.050499,0.081890", \ "0.027454,0.029964,0.032951,0.038336,0.047514,0.063080,0.094129", \ "0.036321,0.039532,0.043363,0.050344,0.062465,0.082332,0.114033", \ "0.046240,0.050098,0.054687,0.063100,0.077853,0.102509,0.141461", \ "0.057397,0.061894,0.067231,0.077002,0.094182,0.123171,0.169882"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.004224,0.005367,0.006844,0.009792,0.015686,0.027464,0.051015", \ "0.004229,0.005368,0.006845,0.009793,0.015685,0.027466,0.051013", \ "0.005408,0.006399,0.007694,0.010240,0.015746,0.027466,0.051013", \ "0.008585,0.009599,0.010846,0.013273,0.018181,0.028418,0.051016", \ "0.013329,0.014473,0.015872,0.018476,0.023338,0.032930,0.053111", \ "0.019372,0.020667,0.022271,0.025246,0.030575,0.040249,0.059478", \ "0.026495,0.027983,0.029836,0.033287,0.039348,0.049837,0.068978"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.007256,0.008699,0.010564,0.014299,0.021779,0.036741,0.066653", \ "0.007259,0.008699,0.010565,0.014302,0.021777,0.036736,0.066653", \ "0.008731,0.009750,0.011201,0.014448,0.021777,0.036739,0.066634", \ "0.013605,0.015054,0.016743,0.019697,0.024822,0.037191,0.066639", \ "0.018873,0.020840,0.023153,0.027226,0.033945,0.044489,0.068008", \ "0.024840,0.027266,0.030145,0.035269,0.043873,0.057403,0.078186", \ "0.031809,0.034658,0.038045,0.044104,0.054391,0.070953,0.095986"); } } timing () { related_pin : "A"; when : "B1 & B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.008037,0.009075,0.010400,0.013026,0.018249,0.028662,0.049465", \ "0.009499,0.010564,0.011914,0.014575,0.019831,0.030271,0.051091", \ "0.013206,0.014697,0.016449,0.019581,0.025067,0.035582,0.056458", \ "0.015566,0.017832,0.020471,0.025106,0.032835,0.045343,0.066645", \ "0.015879,0.018980,0.022580,0.028874,0.039314,0.055873,0.081609", \ "0.014159,0.018085,0.022641,0.030609,0.043829,0.064759,0.096851", \ "0.010398,0.015118,0.020603,0.030219,0.046211,0.071575,0.110426"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.012420,0.014011,0.016040,0.020050,0.027985,0.043737,0.075122", \ "0.013917,0.015520,0.017565,0.021602,0.029574,0.045366,0.076783", \ "0.020429,0.022043,0.024001,0.027936,0.035801,0.051518,0.082901", \ "0.029231,0.031633,0.034502,0.039706,0.048650,0.064117,0.095148", \ "0.038654,0.041720,0.045423,0.052206,0.064057,0.083609,0.115115", \ "0.049123,0.052817,0.057261,0.065446,0.079920,0.104232,0.142805", \ "0.060914,0.065198,0.070347,0.079855,0.096715,0.125355,0.171682"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.003494,0.004365,0.005487,0.007726,0.012187,0.021096,0.038896", \ "0.003500,0.004368,0.005486,0.007728,0.012187,0.021096,0.038895", \ "0.005268,0.005978,0.006870,0.008580,0.012400,0.021095,0.038899", \ "0.008940,0.009800,0.010853,0.012803,0.016362,0.023138,0.039018", \ "0.014005,0.014981,0.016208,0.018520,0.022668,0.029966,0.043436", \ "0.020384,0.021482,0.022884,0.025539,0.030366,0.038745,0.053146", \ "0.027894,0.029134,0.030747,0.033821,0.039390,0.048953,0.065037"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.007716,0.009175,0.011060,0.014823,0.022330,0.037304,0.067237", \ "0.007716,0.009176,0.011060,0.014822,0.022330,0.037301,0.067224", \ "0.008888,0.009990,0.011531,0.014898,0.022330,0.037311,0.067226", \ "0.013866,0.015284,0.016947,0.019867,0.025070,0.037675,0.067226", \ "0.019214,0.021146,0.023429,0.027454,0.034119,0.044661,0.068473", \ "0.025219,0.027602,0.030439,0.035512,0.044050,0.057503,0.078352", \ "0.032201,0.034994,0.038331,0.044325,0.054541,0.071006,0.095998"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.00733524,0.00869725,0.0104326,0.0138698,0.0206971,0.0343042,0.0614808", \ "0.00865184,0.0100354,0.0117948,0.0152657,0.0221314,0.0357706,0.0629681", \ "0.0120619,0.0140370,0.0163188,0.0202861,0.0271471,0.0407576,0.0679491", \ "0.0135998,0.0164788,0.0198212,0.0256669,0.0353721,0.0507280,0.0776809", \ "0.0127511,0.0165578,0.0209677,0.0286721,0.0415144,0.0619768,0.0933971", \ "0.00915624,0.0139296,0.0194185,0.0290248,0.0450154,0.0705251,0.109936", \ "0.00264895,0.00832324,0.0148758,0.0263924,0.0455834,0.0761773,0.123510"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0162876,0.0196776,0.0239564,0.0323714,0.0489851,0.0819912,0.147819", \ "0.0169132,0.0203142,0.0246468,0.0331799,0.0499692,0.0831490,0.149110", \ "0.0224656,0.0255906,0.0296894,0.0379595,0.0545725,0.0877448,0.153819", \ "0.0309736,0.0353242,0.0404327,0.0495260,0.0655917,0.0981219,0.163761", \ "0.0408372,0.0461460,0.0524503,0.0638353,0.0833865,0.116088,0.180673", \ "0.0525631,0.0587604,0.0660996,0.0794475,0.102737,0.141436,0.205995", \ "0.0663658,0.0733967,0.0817545,0.0969219,0.123499,0.168355,0.240674"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.00422326,0.00536659,0.00684481,0.00979341,0.0156857,0.0274644,0.0510130", \ "0.00420866,0.00536104,0.00684295,0.00979386,0.0156868,0.0274624,0.0510160", \ "0.00675040,0.00766557,0.00877264,0.0108191,0.0157993,0.0274635,0.0510141", \ "0.0113668,0.0125710,0.0140047,0.0165834,0.0210719,0.0294245,0.0510157", \ "0.0175567,0.0190905,0.0208805,0.0240821,0.0296151,0.0388701,0.0553374", \ "0.0254126,0.0272670,0.0294590,0.0333346,0.0399176,0.0509054,0.0688408", \ "0.0348547,0.0371418,0.0397730,0.0443980,0.0521471,0.0648332,0.0855151"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0113163,0.0142537,0.0180427,0.0255998,0.0407039,0.0709024,0.131222", \ "0.0113148,0.0142529,0.0180378,0.0256006,0.0407075,0.0709029,0.131235", \ "0.0121944,0.0145828,0.0179942,0.0255972,0.0407004,0.0708832,0.131225", \ "0.0172760,0.0197966,0.0227979,0.0281385,0.0410272,0.0708979,0.131237", \ "0.0228194,0.0258074,0.0294683,0.0361221,0.0474800,0.0721066,0.131215", \ "0.0296826,0.0329528,0.0370792,0.0447906,0.0583852,0.0811275,0.132170", \ "0.0381669,0.0416598,0.0461073,0.0545520,0.0699168,0.0960835,0.141422"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.00928938,0.0107586,0.0126022,0.0161913,0.0231936,0.0369629,0.0642575", \ "0.0104958,0.0119692,0.0138180,0.0174149,0.0244254,0.0382002,0.0654996", \ "0.0149448,0.0167041,0.0187741,0.0224422,0.0293900,0.0431234,0.0703907", \ "0.0183581,0.0209037,0.0239154,0.0292793,0.0383671,0.0531012,0.0801338", \ "0.0196241,0.0229406,0.0268651,0.0338818,0.0458706,0.0653846,0.0959369", \ "0.0186412,0.0226960,0.0275076,0.0361248,0.0509127,0.0751536,0.113352", \ "0.0152580,0.0200362,0.0257113,0.0359096,0.0534389,0.0822671,0.127966"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0204679,0.0237829,0.0280027,0.0363492,0.0529025,0.0858708,0.151679", \ "0.0217927,0.0251602,0.0294497,0.0379129,0.0546186,0.0877242,0.153635", \ "0.0269198,0.0302091,0.0344329,0.0428562,0.0596095,0.0928727,0.158978", \ "0.0340290,0.0380298,0.0428888,0.0519186,0.0685573,0.101702,0.167831", \ "0.0422437,0.0470543,0.0528189,0.0634105,0.0824123,0.116181,0.182028", \ "0.0527061,0.0583181,0.0649776,0.0770926,0.0985983,0.136252,0.202889", \ "0.0653206,0.0718023,0.0794239,0.0931676,0.117231,0.158859,0.230825"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.00608351,0.00721369,0.00867574,0.0116022,0.0174660,0.0292239,0.0527643", \ "0.00605938,0.00720709,0.00867409,0.0116017,0.0174665,0.0292239,0.0527609", \ "0.00795483,0.00882110,0.00986376,0.0121471,0.0174975,0.0292264,0.0527573", \ "0.0126241,0.0137952,0.0151801,0.0176773,0.0220747,0.0307502,0.0527655", \ "0.0185825,0.0200972,0.0218912,0.0251134,0.0306422,0.0398195,0.0565801", \ "0.0258489,0.0277606,0.0299913,0.0339681,0.0407277,0.0518179,0.0697387", \ "0.0344886,0.0368364,0.0395721,0.0443638,0.0523819,0.0654443,0.0863528"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.0113265,0.0142548,0.0180396,0.0256075,0.0407026,0.0708907,0.131222", \ "0.0113216,0.0142562,0.0180382,0.0256060,0.0407069,0.0708791,0.131228", \ "0.0114987,0.0143167,0.0180522,0.0256050,0.0407006,0.0708814,0.131229", \ "0.0150003,0.0175531,0.0207115,0.0269816,0.0408715,0.0708827,0.131223", \ "0.0196813,0.0223204,0.0257069,0.0323377,0.0449659,0.0717737,0.131227", \ "0.0255648,0.0282919,0.0317958,0.0387220,0.0521506,0.0775782,0.132070", \ "0.0325784,0.0354066,0.0390888,0.0463073,0.0603013,0.0870717,0.138103"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("1.363505,1.410414,1.445156,1.483268,1.537918,1.592801,1.643368", \ "1.256239,1.307918,1.363781,1.425318,1.487946,1.560892,1.626850", \ "1.112990,1.165569,1.210474,1.296430,1.390672,1.485015,1.574053", \ "1.403654,1.400670,1.374155,1.336817,1.362965,1.442775,1.539607", \ "2.137808,2.077072,1.992153,1.889759,1.753802,1.614339,1.623869", \ "3.358930,3.261989,3.169447,2.953559,2.666978,2.294844,1.975299", \ "5.036671,4.930858,4.844736,4.601661,4.179515,3.533707,2.838969"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("8.701560,8.676426,8.732337,8.776640,8.826430,8.825459,8.785432", \ "8.548306,8.583112,8.599787,8.669910,8.686770,8.699507,8.652963", \ "8.624643,8.626752,8.635581,8.620508,8.741699,8.606120,8.636794", \ "9.328995,9.261076,9.252035,9.185904,9.089478,9.050859,8.853995", \ "10.336710,10.257130,10.245850,10.263240,10.167060,9.901622,9.365551", \ "12.201640,12.156210,12.072250,11.959440,11.539650,11.353450,10.585770", \ "14.956760,14.848730,14.749520,14.462450,14.136860,13.470700,12.704750"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.447873,0.474710,0.477317,0.496297,0.510140,0.518922,0.523608", \ "0.301697,0.342790,0.376999,0.420516,0.460097,0.486619,0.502824", \ "0.143871,0.164429,0.196919,0.262657,0.334841,0.405394,0.454991", \ "0.505344,0.472052,0.418853,0.350750,0.305969,0.361166,0.421630", \ "1.310545,1.244860,1.133005,0.968820,0.756702,0.538998,0.511389", \ "2.643572,2.525189,2.381816,2.146464,1.742886,1.275070,0.881470", \ "4.448083,4.307782,4.161611,3.866708,3.357362,2.582814,1.777365"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("7.331272,7.378046,7.433029,7.471603,7.475991,7.584249,7.617636", \ "7.198070,7.234788,7.300045,7.333507,7.412293,7.501005,7.518781", \ "7.294649,7.290770,7.336975,7.337019,7.350166,7.431112,7.455032", \ "7.725892,7.763500,7.921824,7.879577,7.790183,7.765786,7.623878", \ "8.803231,8.804954,8.824679,8.837576,8.849893,8.496841,8.184346", \ "10.700880,10.684720,10.620440,10.513440,10.311380,10.091920,9.085878", \ "13.398310,13.363030,13.269260,13.055190,12.742320,12.180620,11.437840"); } } internal_power () { related_pin : "A"; when : "B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.445358,0.462415,0.480097,0.498559,0.506838,0.521909,0.525543", \ "0.301520,0.332136,0.374970,0.419739,0.454308,0.487201,0.507103", \ "0.161359,0.165505,0.206039,0.256733,0.338173,0.403166,0.456803", \ "0.530906,0.488111,0.441459,0.384183,0.320363,0.367804,0.424807", \ "1.367389,1.279768,1.164217,1.010891,0.804532,0.594072,0.524078", \ "2.727398,2.587335,2.460903,2.202622,1.815794,1.346719,0.929407", \ "4.553577,4.440635,4.283676,3.980767,3.452808,2.680993,1.878165"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("8.015596,8.029224,8.036220,8.081713,8.125957,8.174293,8.300531", \ "7.793216,7.866579,7.923936,8.001343,8.069578,8.155254,8.107744", \ "7.949334,7.911448,7.950844,7.963936,8.012223,8.091668,8.204011", \ "8.432807,8.474517,8.586435,8.511298,8.440464,8.223319,7.995559", \ "9.505059,9.516952,9.527988,9.493076,9.547603,9.161144,8.912126", \ "11.400190,11.399340,11.276860,11.249170,10.982340,10.841180,10.245650", \ "14.092270,14.080560,14.000800,13.796830,13.513770,12.874880,12.147280"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("0.539563,0.558243,0.575116,0.589853,0.612580,0.619886,0.625613", \ "0.494474,0.517659,0.556998,0.597321,0.636723,0.674202,0.689925", \ "0.524092,0.500531,0.517983,0.561529,0.615156,0.662689,0.704119", \ "1.088455,1.017498,0.934368,0.815359,0.726439,0.729502,0.739163", \ "2.060250,1.954527,1.835170,1.629877,1.341410,1.062255,0.949868", \ "3.406618,3.310903,3.196289,2.938789,2.508260,1.947608,1.469134", \ "5.107323,5.074206,4.978495,4.740889,4.233811,3.419524,2.508927"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("5.139981,5.202061,5.242178,5.232000,5.299344,5.101466,5.132132", \ "4.933853,5.004558,5.068925,5.148111,5.227985,5.318975,5.078181", \ "4.988546,5.020762,4.996165,5.022867,5.092801,5.192338,4.996986", \ "5.583171,5.533099,5.464785,5.416037,5.297716,5.301433,5.030488", \ "6.303933,6.281393,6.349119,6.263633,6.025152,5.685764,5.506384", \ "7.757269,7.705125,7.607730,7.456842,7.270455,6.904061,6.315439", \ "9.959682,9.835264,9.672493,9.423467,9.035729,8.574987,7.683504"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("1.326300,1.357493,1.376408,1.416692,1.458133,1.501489,1.547226", \ "1.207891,1.229274,1.262818,1.310298,1.366596,1.410086,1.453319", \ "1.171894,1.178208,1.225588,1.254636,1.315234,1.359454,1.409809", \ "1.681181,1.629911,1.575479,1.480805,1.449498,1.447237,1.454226", \ "2.637429,2.563267,2.450440,2.297498,2.057130,1.816107,1.700474", \ "4.066563,3.977462,3.861020,3.620343,3.245331,2.734086,2.263534", \ "5.926562,5.852762,5.746151,5.511286,5.017557,4.267455,3.378514"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.628400,3.256800,6.513600,13.027200,26.054400,52.108800"); values ("6.755781,6.806474,6.846789,6.873037,6.851068,6.715313,6.774115", \ "6.613148,6.667420,6.687888,6.780013,6.797880,6.828136,6.686542", \ "6.463519,6.466436,6.498131,6.582926,6.598953,6.743866,6.590906", \ "6.533622,6.544177,6.517241,6.505957,6.498072,6.480816,6.519079", \ "6.685212,6.722990,6.850261,6.858876,6.711726,6.551548,6.534378", \ "7.685714,7.642449,7.593084,7.568248,7.651088,7.393850,6.920731", \ "9.394897,9.268407,9.164123,8.956198,8.635809,8.495829,7.998532"); } } } } /****************************************************************************************** Module : OAI21_X4 Cell Description : Combinational cell (OAI21_X4) with drive strength X4 *******************************************************************************************/ cell (OAI21_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 90.477187; leakage_power () { when : "!A & !B1 & !B2"; value : 25.661350; } leakage_power () { when : "!A & !B1 & B2"; value : 29.874559; } leakage_power () { when : "!A & B1 & !B2"; value : 29.874555; } leakage_power () { when : "!A & B1 & B2"; value : 17.224606; } leakage_power () { when : "A & !B1 & !B2"; value : 139.577570; } leakage_power () { when : "A & !B1 & B2"; value : 139.736300; } leakage_power () { when : "A & B1 & !B2"; value : 149.137120; } leakage_power () { when : "A & B1 & B2"; value : 192.731440; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.194658; fall_capacitance : 5.622911; rise_capacitance : 6.194658; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.351550; fall_capacitance : 5.556048; rise_capacitance : 6.351550; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.500426; fall_capacitance : 6.463049; rise_capacitance : 6.500426; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 104.065000; function : "!(A & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.011562,0.013275,0.015144,0.018774,0.025842,0.039720,0.067211", \ "0.012950,0.014679,0.016565,0.020222,0.027322,0.041228,0.068737", \ "0.016710,0.018566,0.020550,0.024253,0.031376,0.045339,0.072901", \ "0.020571,0.022986,0.025494,0.030060,0.038211,0.052871,0.080525", \ "0.022851,0.026048,0.029344,0.035257,0.045434,0.062559,0.092236", \ "0.023177,0.027197,0.031323,0.038719,0.051372,0.072153,0.105932", \ "0.021468,0.026311,0.031269,0.040168,0.055397,0.080265,0.119673"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.011644,0.013492,0.015542,0.019586,0.027575,0.043418,0.074952", \ "0.013133,0.014999,0.017065,0.021134,0.029153,0.045026,0.076581", \ "0.019560,0.021516,0.023495,0.027461,0.035382,0.051185,0.082707", \ "0.027927,0.030763,0.033710,0.039031,0.048142,0.063738,0.094931", \ "0.036951,0.040569,0.044344,0.051250,0.063284,0.083057,0.114809", \ "0.047030,0.051384,0.055913,0.064230,0.078874,0.103412,0.142295", \ "0.058442,0.063469,0.068721,0.078377,0.095408,0.124260,0.170879"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.005935,0.007260,0.008745,0.011709,0.017630,0.029484,0.053209", \ "0.005917,0.007249,0.008740,0.011706,0.017630,0.029484,0.053211", \ "0.006606,0.007798,0.009106,0.011849,0.017607,0.029482,0.053210", \ "0.009394,0.010570,0.011857,0.014411,0.019556,0.030146,0.053207", \ "0.013843,0.015188,0.016616,0.019280,0.024297,0.034251,0.054952", \ "0.019580,0.021149,0.022816,0.025868,0.031319,0.041266,0.060962", \ "0.026447,0.028277,0.030234,0.033786,0.039981,0.050643,0.070187"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.008536,0.010347,0.012390,0.016474,0.024565,0.040324,0.070834", \ "0.008531,0.010347,0.012391,0.016474,0.024564,0.040321,0.070828", \ "0.009948,0.011297,0.012959,0.016592,0.024564,0.040326,0.070832", \ "0.016071,0.017619,0.019220,0.022074,0.027501,0.040740,0.070832", \ "0.023301,0.025279,0.027378,0.031159,0.037549,0.047940,0.072170", \ "0.031840,0.034063,0.036510,0.041060,0.048974,0.061776,0.082212", \ "0.041600,0.044022,0.046716,0.051840,0.061054,0.076471,0.100468"); } } timing () { related_pin : "A"; when : "B1 & !B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.009375,0.010938,0.012678,0.016129,0.022991,0.036678,0.064019", \ "0.010689,0.012289,0.014061,0.017555,0.024461,0.038181,0.065546", \ "0.013767,0.015686,0.017703,0.021482,0.028481,0.042274,0.069699", \ "0.015994,0.018737,0.021510,0.026410,0.034862,0.049719,0.077303", \ "0.016319,0.020038,0.023768,0.030292,0.041163,0.058877,0.088892", \ "0.014707,0.019403,0.024103,0.032314,0.045946,0.067667,0.102176", \ "0.011185,0.016814,0.022452,0.032328,0.048758,0.074863,0.115341"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.011290,0.013142,0.015194,0.019236,0.027214,0.043033,0.074528", \ "0.012778,0.014647,0.016714,0.020782,0.028792,0.044640,0.076159", \ "0.019157,0.021152,0.023156,0.027113,0.035023,0.050799,0.082281", \ "0.027314,0.030198,0.033187,0.038568,0.047749,0.063358,0.094506", \ "0.036119,0.039799,0.043628,0.050606,0.062722,0.082603,0.114379", \ "0.045979,0.050400,0.054987,0.063388,0.078136,0.102794,0.141787", \ "0.057113,0.062236,0.067561,0.077322,0.094482,0.123466,0.170214"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.004109,0.005426,0.006912,0.009884,0.015821,0.027690,0.051415", \ "0.004116,0.005428,0.006913,0.009884,0.015822,0.027686,0.051415", \ "0.005301,0.006441,0.007747,0.010318,0.015877,0.027689,0.051413", \ "0.008468,0.009629,0.010876,0.013319,0.018278,0.028622,0.051417", \ "0.013205,0.014512,0.015907,0.018519,0.023392,0.033077,0.053468", \ "0.019267,0.020733,0.022331,0.025297,0.030628,0.040358,0.059755", \ "0.026381,0.028071,0.029926,0.033364,0.039422,0.049918,0.069187"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.007139,0.008795,0.010667,0.014420,0.021933,0.036959,0.067022", \ "0.007138,0.008794,0.010668,0.014419,0.021934,0.036953,0.067012", \ "0.008646,0.009816,0.011287,0.014559,0.021935,0.036970,0.067001", \ "0.013457,0.015124,0.016815,0.019774,0.024930,0.037401,0.067021", \ "0.018668,0.020921,0.023238,0.027315,0.034050,0.044665,0.068376", \ "0.024588,0.027358,0.030242,0.035366,0.043976,0.057547,0.078478", \ "0.031489,0.034763,0.038159,0.044216,0.054511,0.071101,0.096234"); } } timing () { related_pin : "A"; when : "B1 & B2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.008068,0.009268,0.010604,0.013250,0.018509,0.028992,0.049931", \ "0.009520,0.010750,0.012111,0.014791,0.020083,0.030592,0.051549", \ "0.013175,0.014893,0.016643,0.019779,0.025290,0.035872,0.056885", \ "0.015455,0.018064,0.020699,0.025326,0.033048,0.045582,0.067004", \ "0.015667,0.019243,0.022836,0.029119,0.039548,0.056104,0.081891", \ "0.013833,0.018362,0.022910,0.030869,0.044079,0.065002,0.097110", \ "0.009964,0.015414,0.020883,0.030486,0.046472,0.071829,0.110684"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.012402,0.014235,0.016271,0.020296,0.028257,0.044057,0.075531", \ "0.013891,0.015737,0.017790,0.021841,0.029839,0.045678,0.077183", \ "0.020385,0.022241,0.024210,0.028162,0.036056,0.051822,0.083298", \ "0.029117,0.031869,0.034739,0.039939,0.048887,0.064400,0.095527", \ "0.038475,0.041998,0.045691,0.052471,0.064322,0.083880,0.115467", \ "0.048909,0.053125,0.057556,0.065738,0.080204,0.104520,0.143125", \ "0.060658,0.065535,0.070679,0.080177,0.097022,0.125655,0.172005"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.003419,0.004424,0.005555,0.007814,0.012319,0.021309,0.039271", \ "0.003426,0.004426,0.005556,0.007816,0.012318,0.021306,0.039273", \ "0.005193,0.006010,0.006909,0.008644,0.012519,0.021307,0.039271", \ "0.008845,0.009837,0.010883,0.012839,0.016429,0.023298,0.039387", \ "0.013916,0.015033,0.016253,0.018559,0.022719,0.030066,0.043711", \ "0.020321,0.021557,0.022954,0.025609,0.030437,0.038821,0.053327", \ "0.027836,0.029224,0.030850,0.033918,0.039477,0.049041,0.065178"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.007603,0.009280,0.011173,0.014950,0.022494,0.037549,0.067610", \ "0.007599,0.009281,0.011173,0.014949,0.022492,0.037548,0.067596", \ "0.008804,0.010071,0.011628,0.015020,0.022493,0.037551,0.067603", \ "0.013727,0.015363,0.017030,0.019955,0.025199,0.037903,0.067610", \ "0.019022,0.021237,0.023521,0.027548,0.034231,0.044836,0.068837", \ "0.024971,0.027704,0.030549,0.035619,0.044162,0.057664,0.078641", \ "0.031895,0.035104,0.038456,0.044449,0.054669,0.071164,0.096255"); } } timing () { related_pin : "B1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.00719587,0.00876848,0.0105167,0.0139779,0.0208482,0.0345387,0.0618829", \ "0.00850766,0.0101069,0.0118791,0.0153737,0.0222833,0.0360071,0.0633712", \ "0.0118486,0.0141344,0.0164229,0.0204017,0.0273000,0.0409979,0.0683568", \ "0.0132854,0.0166238,0.0199695,0.0258321,0.0355701,0.0509760,0.0780944", \ "0.0123508,0.0167548,0.0211679,0.0288976,0.0417766,0.0623085,0.0938315", \ "0.00869060,0.0141857,0.0196822,0.0293101,0.0453444,0.0709397,0.110484", \ "0.00209985,0.00863929,0.0152075,0.0267503,0.0459984,0.0766814,0.124175"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0158372,0.0197194,0.0239986,0.0324068,0.0490111,0.0819969,0.147797", \ "0.0164631,0.0203641,0.0246957,0.0332237,0.0500046,0.0831634,0.149095", \ "0.0220585,0.0256302,0.0297300,0.0380015,0.0546099,0.0877669,0.153809", \ "0.0303588,0.0353565,0.0404634,0.0495533,0.0656175,0.0981377,0.163752", \ "0.0400883,0.0461698,0.0524705,0.0638496,0.0833942,0.116090,0.180651", \ "0.0517066,0.0587667,0.0661050,0.0794430,0.102724,0.141407,0.205955", \ "0.0653417,0.0733703,0.0817278,0.0968923,0.123456,0.168292,0.240597"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.00411002,0.00542722,0.00691316,0.00988379,0.0158209,0.0276872,0.0514135", \ "0.00408645,0.00542043,0.00691254,0.00988419,0.0158199,0.0276860,0.0514137", \ "0.00664726,0.00770453,0.00882048,0.0108839,0.0159245,0.0276891,0.0514134", \ "0.0112259,0.0126167,0.0140549,0.0166485,0.0211650,0.0296068,0.0514129", \ "0.0173816,0.0191403,0.0209417,0.0241569,0.0297160,0.0390259,0.0556586", \ "0.0251604,0.0273068,0.0295202,0.0334186,0.0400321,0.0510764,0.0691190", \ "0.0345984,0.0371657,0.0398282,0.0444924,0.0522764,0.0650167,0.0858140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0109302,0.0142855,0.0180707,0.0256362,0.0407296,0.0709027,0.131231", \ "0.0109242,0.0142840,0.0180711,0.0256323,0.0407256,0.0708988,0.131239", \ "0.0119076,0.0146104,0.0180265,0.0256291,0.0407336,0.0709129,0.131234", \ "0.0169150,0.0198220,0.0228191,0.0281687,0.0410440,0.0709091,0.131238", \ "0.0223994,0.0258311,0.0294957,0.0361507,0.0474988,0.0721321,0.131235", \ "0.0292117,0.0329790,0.0371126,0.0448221,0.0584145,0.0811554,0.132191", \ "0.0376855,0.0416852,0.0461479,0.0545941,0.0699499,0.0961123,0.141455"); } } timing () { related_pin : "B2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.00917562,0.0108748,0.0127321,0.0163470,0.0233984,0.0372608,0.0647387", \ "0.0103792,0.0120847,0.0139470,0.0175698,0.0246288,0.0384972,0.0659776", \ "0.0148040,0.0168410,0.0189162,0.0225953,0.0295948,0.0434225,0.0708727", \ "0.0181567,0.0211088,0.0241202,0.0294986,0.0386172,0.0534041,0.0806258", \ "0.0193775,0.0232210,0.0271426,0.0341769,0.0461887,0.0657781,0.0964443", \ "0.0183477,0.0230554,0.0278639,0.0365022,0.0513341,0.0756399,0.113981", \ "0.0149347,0.0204859,0.0261606,0.0363723,0.0539576,0.0828637,0.128733"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0200559,0.0238544,0.0280712,0.0364150,0.0529623,0.0859105,0.151688", \ "0.0213825,0.0252383,0.0295261,0.0379869,0.0546833,0.0877702,0.153648", \ "0.0265134,0.0302827,0.0345091,0.0429336,0.0596816,0.0929244,0.158996", \ "0.0335004,0.0381016,0.0429609,0.0519882,0.0686263,0.101761,0.167855", \ "0.0415928,0.0471170,0.0528823,0.0634712,0.0824707,0.116229,0.182050", \ "0.0519233,0.0583715,0.0650284,0.0771382,0.0986362,0.136278,0.202899", \ "0.0643860,0.0718247,0.0794510,0.0931890,0.117241,0.158856,0.230806"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.00599948,0.00730249,0.00877499,0.0117217,0.0176339,0.0294828,0.0532105", \ "0.00597012,0.00729434,0.00877219,0.0117232,0.0176338,0.0294841,0.0532074", \ "0.00787761,0.00888117,0.00992765,0.0122449,0.0176590,0.0294862,0.0532056", \ "0.0125109,0.0138544,0.0152455,0.0177651,0.0221908,0.0309672,0.0532159", \ "0.0184257,0.0201676,0.0219718,0.0252119,0.0307573,0.0399957,0.0569407", \ "0.0256542,0.0278262,0.0300780,0.0340698,0.0408583,0.0520108,0.0700434", \ "0.0342373,0.0369033,0.0396564,0.0444726,0.0525258,0.0656588,0.0866749"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.0109317,0.0142899,0.0180738,0.0256302,0.0407364,0.0709128,0.131236", \ "0.0109398,0.0142859,0.0180737,0.0256324,0.0407345,0.0709151,0.131235", \ "0.0111432,0.0143459,0.0180836,0.0256281,0.0407309,0.0709040,0.131233", \ "0.0146587,0.0175744,0.0207366,0.0270075,0.0408813,0.0709088,0.131232", \ "0.0193285,0.0223395,0.0257316,0.0323571,0.0449900,0.0717748,0.131237", \ "0.0252042,0.0283108,0.0318283,0.0387456,0.0521781,0.0776013,0.132093", \ "0.0322065,0.0354463,0.0391307,0.0463421,0.0603270,0.0870938,0.138126"); } } internal_power () { related_pin : "A"; when : "!B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("2.702775,2.822278,2.881593,2.984449,3.084185,3.183057,3.271631", \ "2.504639,2.624822,2.722640,2.850646,2.975908,3.116461,3.241060", \ "2.218541,2.344044,2.449804,2.594741,2.778472,2.971908,3.143427", \ "2.808880,2.777640,2.745448,2.672515,2.725623,2.891166,3.072944", \ "4.253026,4.110424,3.981208,3.769815,3.484116,3.208727,3.248075", \ "6.687255,6.472092,6.279330,5.903971,5.307079,4.569583,3.946466", \ "10.118790,9.893984,9.611428,9.211680,8.344232,7.037642,5.644205"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("17.444990,17.518330,17.521800,17.471030,17.735190,17.836530,17.372620", \ "17.101200,17.237460,17.196910,17.433260,17.492810,17.679570,17.427770", \ "17.331300,17.343080,17.277470,17.367940,17.452180,17.610680,17.564440", \ "18.727620,18.632730,18.499530,18.452230,18.362250,17.833460,17.765570", \ "20.637480,20.676690,20.649320,20.618730,20.255050,19.749360,18.997530", \ "24.515600,24.389540,24.167170,23.845280,23.536810,22.878890,21.006820", \ "29.922740,29.772960,29.528820,29.083450,28.199350,27.095560,25.127420"); } } internal_power () { related_pin : "A"; when : "B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.891072,0.928575,0.965729,0.988817,1.007865,1.023333,1.034178", \ "0.564938,0.669498,0.750144,0.834452,0.903833,0.969675,0.999769", \ "0.282063,0.320292,0.397697,0.519534,0.656955,0.795576,0.900039", \ "1.025844,0.926822,0.834737,0.698431,0.606524,0.720693,0.834676", \ "2.689745,2.462079,2.255308,1.924183,1.495648,1.067294,1.011989", \ "5.318848,5.066754,4.777039,4.248984,3.463615,2.532649,1.740051", \ "8.883274,8.607552,8.261292,7.724366,6.688176,5.130531,3.530940"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("14.742270,14.799740,14.862620,15.011400,14.904130,15.108510,15.388620", \ "14.421250,14.530350,14.606920,14.734770,14.830570,15.111030,14.991350", \ "14.580680,14.694000,14.681110,14.785880,14.829890,14.935240,14.899660", \ "15.558680,15.716910,15.943310,15.768970,15.710760,15.399970,15.216840", \ "17.680290,17.641650,17.693280,17.733460,17.657260,17.110680,16.422460", \ "21.528620,21.347300,21.335090,21.056580,20.633690,19.958280,18.432320", \ "26.778820,26.697270,26.502660,26.223000,25.403190,24.364270,22.275920"); } } internal_power () { related_pin : "A"; when : "B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("0.874577,0.930172,0.966525,0.995260,1.016352,1.034202,1.041161", \ "0.573790,0.681398,0.754359,0.820974,0.905317,0.967763,1.005734", \ "0.319347,0.342584,0.399169,0.526061,0.670414,0.802693,0.904110", \ "1.058606,0.970166,0.877022,0.768474,0.630730,0.728193,0.845238", \ "2.760255,2.528205,2.328452,2.002384,1.588709,1.172761,1.047186", \ "5.497154,5.193174,4.905286,4.384879,3.592826,2.673177,1.837558", \ "9.172761,8.864165,8.528045,7.901465,6.853716,5.328670,3.727898"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("16.048250,16.160550,16.194140,16.240270,16.315900,16.460220,16.307670", \ "15.657310,15.763860,15.917570,16.008520,16.242830,16.257900,15.921500", \ "15.912220,15.986180,16.001730,16.037470,16.195620,16.256950,15.964540", \ "16.764430,17.041040,17.241960,17.137700,17.043810,16.899100,16.825130", \ "18.972960,19.091700,19.062590,19.093690,18.986530,18.325500,17.605600", \ "22.890270,22.776590,22.694410,22.484080,22.046550,21.536380,20.194270", \ "28.307860,28.145800,27.963580,27.715580,26.951000,25.859860,24.565250"); } } internal_power () { related_pin : "B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("1.084856,1.127586,1.158129,1.191982,1.215816,1.240641,1.251872", \ "0.978287,1.050825,1.114755,1.192193,1.279014,1.344247,1.379081", \ "1.051684,0.999146,1.031063,1.105319,1.219009,1.318474,1.402244", \ "2.194338,2.018494,1.856100,1.626537,1.445480,1.447759,1.479806", \ "4.143599,3.883563,3.658734,3.235033,2.665079,2.104988,1.897151", \ "6.797345,6.636630,6.381329,5.875959,4.981991,3.877705,2.925855", \ "10.291110,10.108670,9.930738,9.460411,8.446776,6.789876,4.989727"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("10.256960,10.392120,10.493200,10.591020,10.656150,10.586460,10.252050", \ "9.877007,10.022720,10.150200,10.331250,10.445510,10.638150,10.172090", \ "9.998727,10.051620,10.094790,10.005350,10.257800,10.387930,10.035030", \ "11.186400,11.068060,10.944620,10.820430,10.648000,10.661400,10.055910", \ "12.621010,12.558670,12.695060,12.649370,12.024920,11.676070,11.003370", \ "15.581570,15.319830,15.221650,15.059310,14.672360,13.866690,12.613520", \ "19.992010,19.650210,19.302330,18.805100,18.104470,17.090860,15.517490"); } } internal_power () { related_pin : "B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("2.666628,2.696893,2.778645,2.851005,2.929832,3.015616,3.094028", \ "2.425840,2.501393,2.543595,2.640287,2.741042,2.828559,2.920283", \ "2.322440,2.379564,2.442791,2.523700,2.626805,2.730817,2.825826", \ "3.339203,3.250880,3.136266,2.949201,2.894601,2.902016,2.922206", \ "5.292860,5.081106,4.911490,4.568571,4.091045,3.609992,3.397109", \ "8.131357,7.921626,7.668305,7.231871,6.455058,5.446592,4.518003", \ "11.874050,11.716010,11.472430,10.987720,10.008810,8.496770,6.720682"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.252030,6.504060,13.008100,26.016200,52.032500,104.065000"); values ("13.516700,13.618270,13.697430,13.726350,13.807130,13.759280,13.531330", \ "13.213440,13.344560,13.455690,13.450950,13.691850,13.722180,13.359400", \ "12.922500,12.917180,13.004590,13.149580,13.251270,13.486810,13.715500", \ "13.121850,13.094160,13.090090,13.047290,13.163100,13.201460,13.030090", \ "13.382110,13.561340,13.699420,13.852970,13.720230,13.505480,13.061490", \ "15.412710,15.277630,15.182950,15.124530,15.288630,14.656430,14.382140", \ "18.844060,18.548270,18.292050,17.935430,17.355050,16.974570,15.556520"); } } } } /****************************************************************************************** Module : OAI22_X1 Cell Description : Combinational cell (OAI22_X1) with drive strength X1 *******************************************************************************************/ cell (OAI22_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 34.026125; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 6.964870; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 34.973510; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 34.973620; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 49.595810; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 11.088658; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 32.661816; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 35.012197; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 45.913791; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 11.088658; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 35.012197; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 37.362512; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 48.264260; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 8.170020; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 45.911041; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 48.261136; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 59.163897; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.671043; fall_capacitance : 1.458075; rise_capacitance : 1.671043; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.584237; fall_capacitance : 1.564509; rise_capacitance : 1.584237; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.665448; fall_capacitance : 1.408377; rise_capacitance : 1.665448; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.615611; fall_capacitance : 1.550466; rise_capacitance : 1.615611; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 23.231500; function : "!((A1 | A2) & (B1 | B2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.009675,0.010533,0.012204,0.015445,0.021732,0.034034,0.058335", \ "0.011074,0.011930,0.013610,0.016867,0.023180,0.035509,0.059828", \ "0.015878,0.016869,0.018720,0.022020,0.028209,0.040502,0.064816", \ "0.019665,0.021097,0.023787,0.028636,0.036944,0.050509,0.074558", \ "0.021045,0.022910,0.026450,0.032834,0.043824,0.061861,0.090203", \ "0.019713,0.022029,0.026434,0.034366,0.048032,0.070510,0.106026", \ "0.015399,0.018177,0.023435,0.032925,0.049314,0.076261,0.118897"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.017655,0.019611,0.023457,0.031014,0.045913,0.075444,0.134245", \ "0.018322,0.020288,0.024177,0.031836,0.046895,0.076607,0.135552", \ "0.023783,0.025600,0.029273,0.036675,0.051541,0.081215,0.140251", \ "0.032934,0.035399,0.039992,0.048235,0.062674,0.091688,0.150259", \ "0.043306,0.046321,0.051987,0.062283,0.080143,0.109842,0.167318", \ "0.055592,0.059109,0.065670,0.077715,0.098938,0.134518,0.192915", \ "0.070021,0.073988,0.081428,0.095088,0.119261,0.160388,0.227201"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.006284,0.006944,0.008263,0.010888,0.016124,0.026589,0.047506", \ "0.006234,0.006912,0.008246,0.010883,0.016124,0.026588,0.047505", \ "0.007814,0.008339,0.009272,0.011319,0.016084,0.026585,0.047510", \ "0.012419,0.013108,0.014396,0.016721,0.020801,0.028404,0.047485", \ "0.018532,0.019430,0.021062,0.024002,0.029095,0.037591,0.052314", \ "0.026241,0.027365,0.029384,0.032975,0.039109,0.049268,0.065762", \ "0.035571,0.036939,0.039406,0.043746,0.051003,0.062797,0.081914"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.016784,0.018749,0.022607,0.030062,0.044317,0.071767,0.125643", \ "0.016781,0.018748,0.022605,0.030061,0.044320,0.071764,0.125649", \ "0.017411,0.019087,0.022581,0.030056,0.044320,0.071761,0.125644", \ "0.024205,0.025544,0.027676,0.032917,0.044889,0.071762,0.125643", \ "0.032446,0.033882,0.036732,0.042218,0.051962,0.073695,0.125635", \ "0.042266,0.043689,0.046640,0.052661,0.063997,0.083699,0.127626", \ "0.053885,0.055209,0.058152,0.064373,0.076786,0.099232,0.138588"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.007428,0.008211,0.009759,0.012822,0.018895,0.030977,0.055069", \ "0.008761,0.009555,0.011123,0.014218,0.020330,0.032445,0.056563", \ "0.012332,0.013464,0.015545,0.019182,0.025359,0.037438,0.061545", \ "0.014061,0.015713,0.018764,0.024126,0.033083,0.047322,0.071309", \ "0.013380,0.015573,0.019611,0.026694,0.038528,0.057497,0.086717", \ "0.009976,0.012736,0.017759,0.026577,0.041327,0.064969,0.101595", \ "0.003637,0.006892,0.012901,0.023467,0.041184,0.069536,0.113497"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.016932,0.018884,0.022728,0.030271,0.045130,0.074581,0.133221", \ "0.017593,0.019557,0.023440,0.031084,0.046111,0.075743,0.134524", \ "0.023098,0.024905,0.028558,0.035937,0.050754,0.080343,0.139219", \ "0.031902,0.034422,0.039093,0.047430,0.061902,0.090824,0.149220", \ "0.041962,0.045063,0.050793,0.061208,0.079210,0.108994,0.166299", \ "0.053903,0.057517,0.064194,0.076367,0.097742,0.133489,0.191898", \ "0.067903,0.072002,0.079606,0.093450,0.117789,0.159095,0.226076"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.004466,0.005122,0.006443,0.009068,0.014305,0.024755,0.045625", \ "0.004429,0.005103,0.006435,0.009069,0.014306,0.024756,0.045624", \ "0.006928,0.007456,0.008455,0.010275,0.014532,0.024757,0.045622", \ "0.011609,0.012309,0.013606,0.015958,0.020062,0.027346,0.045652", \ "0.017894,0.018774,0.020399,0.023312,0.028378,0.036858,0.051316", \ "0.025831,0.026916,0.028894,0.032443,0.038465,0.048540,0.065015", \ "0.035400,0.036712,0.039124,0.043351,0.050466,0.062122,0.081134"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.011948,0.013650,0.017039,0.023792,0.037271,0.064187,0.117914", \ "0.011946,0.013644,0.017037,0.023797,0.037277,0.064198,0.117917", \ "0.012683,0.014065,0.017058,0.023783,0.037277,0.064186,0.117913", \ "0.017834,0.019294,0.022050,0.026784,0.037893,0.064181,0.117911", \ "0.023501,0.025229,0.028537,0.034612,0.045062,0.066187,0.117917", \ "0.030437,0.032339,0.036025,0.043024,0.055493,0.076276,0.119947", \ "0.039001,0.041008,0.044978,0.052606,0.066609,0.090699,0.130979"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.006073,0.006677,0.007873,0.010240,0.014931,0.024267,0.042885", \ "0.007533,0.008143,0.009352,0.011738,0.016454,0.025813,0.044446", \ "0.010630,0.011627,0.013457,0.016635,0.021864,0.031162,0.049767", \ "0.011615,0.013098,0.015825,0.020586,0.028471,0.040896,0.060196", \ "0.009971,0.011969,0.015627,0.022007,0.032574,0.049312,0.074838", \ "0.005370,0.007879,0.012473,0.020497,0.033817,0.054911,0.087193", \ "-0.002480,0.000524,0.006030,0.015704,0.031831,0.057381,0.096468"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.016823,0.018776,0.022618,0.030160,0.045020,0.074478,0.133119", \ "0.017396,0.019348,0.023215,0.030848,0.045873,0.075506,0.134302", \ "0.023042,0.024835,0.028462,0.035794,0.050551,0.080091,0.138951", \ "0.032032,0.034538,0.039190,0.047491,0.061909,0.090752,0.149046", \ "0.042411,0.045463,0.051174,0.061546,0.079474,0.109168,0.166362", \ "0.054848,0.058411,0.065006,0.077105,0.098379,0.133993,0.192269", \ "0.069600,0.073649,0.081104,0.094771,0.118950,0.160074,0.226836"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.003390,0.003898,0.004915,0.006946,0.011005,0.019110,0.035301", \ "0.003385,0.003891,0.004913,0.006946,0.011004,0.019110,0.035299", \ "0.006202,0.006643,0.007458,0.008931,0.011768,0.019108,0.035299", \ "0.010696,0.011297,0.012404,0.014393,0.017822,0.023487,0.035968", \ "0.016788,0.017543,0.018951,0.021465,0.025765,0.032915,0.044393", \ "0.024481,0.025435,0.027189,0.030288,0.035488,0.044055,0.057881", \ "0.033876,0.035011,0.037149,0.040879,0.047091,0.057116,0.073216"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.011949,0.013652,0.017036,0.023793,0.037273,0.064190,0.117932", \ "0.011945,0.013650,0.017038,0.023796,0.037280,0.064175,0.117920", \ "0.012701,0.014086,0.017070,0.023788,0.037277,0.064192,0.117918", \ "0.017776,0.019244,0.022007,0.026762,0.037901,0.064206,0.117914", \ "0.023263,0.025012,0.028341,0.034451,0.044934,0.066159,0.117918", \ "0.029939,0.031851,0.035584,0.042636,0.055182,0.076053,0.119882", \ "0.038206,0.040229,0.044200,0.051888,0.066006,0.090233,0.130680"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.012161,0.013031,0.014729,0.018026,0.024417,0.036858,0.061321", \ "0.013380,0.014250,0.015955,0.019259,0.025653,0.038102,0.062568", \ "0.018468,0.019373,0.021078,0.024277,0.030620,0.043029,0.067467", \ "0.023869,0.025167,0.027632,0.032132,0.039980,0.053027,0.077231", \ "0.027011,0.028694,0.031931,0.037842,0.048173,0.065447,0.093036", \ "0.027852,0.029920,0.033870,0.041137,0.053901,0.075347,0.109807", \ "0.026271,0.028713,0.033373,0.041930,0.057061,0.082568,0.123792"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.021845,0.023761,0.027550,0.035040,0.049877,0.079362,0.138132", \ "0.023222,0.025170,0.029020,0.036619,0.051599,0.081228,0.140114", \ "0.028335,0.030239,0.034030,0.041581,0.056595,0.086367,0.145443", \ "0.035827,0.038114,0.042477,0.050629,0.065575,0.095216,0.154287", \ "0.044488,0.047216,0.052377,0.061947,0.079187,0.109749,0.168536", \ "0.055381,0.058561,0.064529,0.075472,0.095010,0.129309,0.189485", \ "0.068519,0.072142,0.078986,0.091402,0.113294,0.151268,0.216920"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.007973,0.008639,0.009968,0.012619,0.017887,0.028395,0.049376", \ "0.007967,0.008641,0.009971,0.012616,0.017886,0.028395,0.049377", \ "0.008875,0.009383,0.010463,0.012777,0.017855,0.028399,0.049378", \ "0.013639,0.014307,0.015555,0.017817,0.021825,0.029774,0.049382", \ "0.019722,0.020605,0.022222,0.025137,0.030197,0.038607,0.053603", \ "0.027113,0.028228,0.030235,0.033847,0.040054,0.050277,0.066767", \ "0.035864,0.037223,0.039688,0.044061,0.051448,0.063543,0.082879"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.016784,0.018750,0.022606,0.030062,0.044317,0.071769,0.125638", \ "0.016785,0.018750,0.022606,0.030061,0.044320,0.071767,0.125647", \ "0.016899,0.018806,0.022620,0.030061,0.044321,0.071761,0.125651", \ "0.021317,0.022756,0.025490,0.031622,0.044614,0.071764,0.125646", \ "0.027898,0.029295,0.032159,0.037957,0.049159,0.073073,0.125639", \ "0.035872,0.037179,0.039892,0.045610,0.057213,0.079554,0.127184", \ "0.045175,0.046400,0.048978,0.054584,0.066280,0.089526,0.134250"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.009479,0.010325,0.011980,0.015198,0.021460,0.033741,0.058027", \ "0.010688,0.011537,0.013199,0.016425,0.022697,0.034984,0.059274", \ "0.015232,0.016241,0.018125,0.021484,0.027673,0.039918,0.064174", \ "0.018833,0.020287,0.023026,0.027938,0.036310,0.049934,0.073957", \ "0.020271,0.022157,0.025734,0.032156,0.043183,0.061242,0.089622", \ "0.019443,0.021740,0.026125,0.034016,0.047621,0.070022,0.105479", \ "0.016212,0.018933,0.024103,0.033418,0.049537,0.076183,0.118541"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.021128,0.023045,0.026826,0.034298,0.049095,0.078496,0.137117", \ "0.022502,0.024444,0.028288,0.035868,0.050816,0.080367,0.139087", \ "0.027622,0.029520,0.033303,0.040834,0.055802,0.085494,0.144416", \ "0.034924,0.037238,0.041635,0.049833,0.064791,0.094344,0.153257", \ "0.043348,0.046124,0.051355,0.060992,0.078291,0.108882,0.167501", \ "0.053977,0.057243,0.063288,0.074335,0.093966,0.128321,0.188444", \ "0.066761,0.070523,0.077492,0.090051,0.112076,0.150135,0.215796"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.006343,0.006993,0.008299,0.010908,0.016131,0.026588,0.047504", \ "0.006315,0.006981,0.008296,0.010909,0.016132,0.026590,0.047505", \ "0.008128,0.008626,0.009567,0.011557,0.016199,0.026595,0.047503", \ "0.012870,0.013546,0.014807,0.017086,0.021103,0.028621,0.047515", \ "0.018909,0.019802,0.021427,0.024369,0.029444,0.037882,0.052546", \ "0.026274,0.027416,0.029443,0.033068,0.039281,0.049512,0.066016", \ "0.035024,0.036407,0.038901,0.043308,0.050694,0.062747,0.082067"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.011952,0.013648,0.017033,0.023793,0.037269,0.064180,0.117923", \ "0.011950,0.013649,0.017038,0.023794,0.037270,0.064201,0.117923", \ "0.012091,0.013720,0.017052,0.023792,0.037280,0.064176,0.117913", \ "0.015570,0.017036,0.019912,0.025419,0.037593,0.064180,0.117921", \ "0.020273,0.021795,0.024822,0.030775,0.042193,0.065524,0.117895", \ "0.026188,0.027778,0.030905,0.037102,0.049166,0.072073,0.119453", \ "0.033263,0.034910,0.038160,0.044627,0.057182,0.081260,0.126569"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.007465,0.008131,0.009431,0.011946,0.016820,0.026344,0.045142", \ "0.008840,0.009501,0.010797,0.013312,0.018189,0.027716,0.046515", \ "0.013078,0.013970,0.015629,0.018568,0.023548,0.033012,0.051770", \ "0.015758,0.017062,0.019504,0.023862,0.031244,0.043127,0.062202", \ "0.016091,0.017800,0.021030,0.026798,0.036622,0.052569,0.077349", \ "0.013878,0.015985,0.019991,0.027152,0.039400,0.059363,0.090595", \ "0.008977,0.011486,0.016223,0.024740,0.039376,0.063323,0.100940"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.021026,0.022942,0.026722,0.034193,0.048989,0.078396,0.137016", \ "0.022331,0.024263,0.028093,0.035660,0.050595,0.080152,0.138877", \ "0.027549,0.029438,0.033197,0.040689,0.055606,0.085254,0.144161", \ "0.034892,0.037200,0.041587,0.049768,0.064688,0.094177,0.153028", \ "0.043487,0.046249,0.051451,0.061050,0.078307,0.108836,0.167373", \ "0.054603,0.057823,0.063794,0.074749,0.094272,0.128504,0.188512", \ "0.068104,0.071811,0.078650,0.091034,0.112867,0.150722,0.216173"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.004855,0.005365,0.006380,0.008401,0.012445,0.020548,0.036770", \ "0.004785,0.005314,0.006353,0.008393,0.012444,0.020548,0.036770", \ "0.007199,0.007602,0.008365,0.009755,0.012887,0.020556,0.036771", \ "0.011758,0.012339,0.013413,0.015342,0.018672,0.024264,0.037266", \ "0.017607,0.018381,0.019788,0.022312,0.026633,0.033745,0.045142", \ "0.024828,0.025828,0.027600,0.030746,0.036080,0.044794,0.058685", \ "0.033460,0.034692,0.036888,0.040754,0.047167,0.057514,0.073926"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.011952,0.013649,0.017033,0.023792,0.037273,0.064182,0.117932", \ "0.011954,0.013654,0.017037,0.023797,0.037276,0.064197,0.117926", \ "0.012097,0.013722,0.017051,0.023794,0.037283,0.064173,0.117916", \ "0.015580,0.017046,0.019923,0.025438,0.037604,0.064179,0.117926", \ "0.020221,0.021749,0.024788,0.030757,0.042190,0.065530,0.117896", \ "0.025948,0.027520,0.030686,0.036925,0.049062,0.072021,0.119448", \ "0.032801,0.034450,0.037721,0.044215,0.056866,0.081070,0.126489"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.012443,0.013296,0.014962,0.018196,0.024477,0.036776,0.061076", \ "0.014019,0.014882,0.016565,0.019826,0.026141,0.038469,0.062794", \ "0.018010,0.018935,0.020717,0.024029,0.030371,0.042761,0.067142", \ "0.022102,0.023309,0.025588,0.029741,0.037134,0.050320,0.074829", \ "0.024310,0.025929,0.028973,0.034445,0.043862,0.059576,0.086400", \ "0.023816,0.025894,0.029816,0.036821,0.048752,0.068205,0.099340", \ "0.020290,0.022854,0.027708,0.036335,0.050993,0.074706,0.111738"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.026709,0.028644,0.032478,0.040053,0.055029,0.084700,0.143615", \ "0.027386,0.029345,0.033225,0.040865,0.055921,0.085666,0.144649", \ "0.032489,0.034399,0.038196,0.045739,0.060719,0.090438,0.149450", \ "0.044016,0.046079,0.050023,0.057288,0.071810,0.101035,0.159644", \ "0.057551,0.060131,0.065067,0.074207,0.090466,0.119182,0.176848", \ "0.072752,0.075805,0.081604,0.092418,0.111862,0.145230,0.202509", \ "0.090116,0.093544,0.100187,0.112493,0.134772,0.173477,0.237546"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.006279,0.006944,0.008261,0.010889,0.016124,0.026589,0.047507", \ "0.006273,0.006936,0.008259,0.010888,0.016126,0.026589,0.047509", \ "0.006847,0.007424,0.008592,0.011019,0.016102,0.026587,0.047506", \ "0.009575,0.010161,0.011315,0.013590,0.018130,0.027391,0.047501", \ "0.014120,0.014803,0.016092,0.018493,0.022967,0.031711,0.049706", \ "0.020063,0.020876,0.022389,0.025170,0.030092,0.038913,0.056123", \ "0.027289,0.028245,0.030007,0.033259,0.038920,0.048515,0.065700"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.022613,0.024523,0.028286,0.035629,0.049824,0.077323,0.131369", \ "0.022612,0.024522,0.028287,0.035628,0.049823,0.077319,0.131374", \ "0.022598,0.024515,0.028283,0.035627,0.049821,0.077317,0.131363", \ "0.025991,0.027437,0.030428,0.036639,0.049814,0.077312,0.131359", \ "0.034313,0.035879,0.038859,0.044409,0.054765,0.078209,0.131356", \ "0.043133,0.044925,0.048349,0.054780,0.066283,0.086518,0.132512", \ "0.052699,0.054682,0.058493,0.065755,0.078940,0.101587,0.142041"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.010197,0.010976,0.012518,0.015575,0.021640,0.033717,0.057810", \ "0.011692,0.012497,0.014077,0.017179,0.023293,0.035408,0.059524", \ "0.015080,0.016040,0.017859,0.021255,0.027489,0.039688,0.063870", \ "0.017598,0.018971,0.021512,0.026013,0.033729,0.047111,0.071557", \ "0.017746,0.019643,0.023144,0.029264,0.039424,0.055781,0.082963", \ "0.015014,0.017494,0.022051,0.029959,0.042990,0.063499,0.095439", \ "0.009212,0.012262,0.017921,0.027723,0.043778,0.068936,0.107146"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.025932,0.027867,0.031698,0.039258,0.054191,0.083787,0.142607", \ "0.026603,0.028565,0.032439,0.040066,0.055082,0.084756,0.143631", \ "0.031723,0.033631,0.037419,0.044945,0.059879,0.089529,0.148433", \ "0.043132,0.045224,0.049212,0.056521,0.070994,0.100121,0.158618", \ "0.056382,0.059002,0.063983,0.073205,0.089570,0.118294,0.175813", \ "0.071275,0.074378,0.080233,0.091127,0.110690,0.144219,0.201465", \ "0.088323,0.091805,0.098515,0.110934,0.133322,0.172160,0.236445"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.004471,0.005130,0.006445,0.009068,0.014305,0.024754,0.045620", \ "0.004474,0.005132,0.006445,0.009068,0.014307,0.024757,0.045623", \ "0.005504,0.006083,0.007234,0.009499,0.014379,0.024757,0.045622", \ "0.008626,0.009214,0.010338,0.012512,0.016872,0.025882,0.045666", \ "0.013423,0.014099,0.015383,0.017748,0.022097,0.030567,0.048223", \ "0.019666,0.020442,0.021912,0.024636,0.029460,0.038088,0.054925", \ "0.027214,0.028111,0.029767,0.032896,0.038447,0.047880,0.064766"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.016865,0.018594,0.022029,0.028871,0.042494,0.069647,0.123666", \ "0.016864,0.018592,0.022029,0.028870,0.042492,0.069642,0.123656", \ "0.016848,0.018584,0.022023,0.028870,0.042491,0.069645,0.123662", \ "0.020376,0.021647,0.024289,0.029957,0.042499,0.069613,0.123643", \ "0.026281,0.028013,0.031261,0.037178,0.047572,0.070532,0.123617", \ "0.032649,0.034731,0.038615,0.045729,0.058103,0.078956,0.124763", \ "0.039661,0.042044,0.046530,0.054755,0.069197,0.093276,0.134391"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.008712,0.009311,0.010496,0.012841,0.017493,0.026749,0.045210", \ "0.010292,0.010911,0.012125,0.014505,0.019192,0.028478,0.046958", \ "0.014281,0.015132,0.016713,0.019546,0.024490,0.033856,0.052398", \ "0.016881,0.018186,0.020596,0.024846,0.031954,0.043430,0.062585", \ "0.016921,0.018750,0.022112,0.028002,0.037761,0.053186,0.077004", \ "0.013968,0.016360,0.020760,0.028436,0.041068,0.060870,0.090948", \ "0.007840,0.010804,0.016273,0.025803,0.041438,0.065896,0.102763"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.028262,0.030181,0.033981,0.041505,0.056398,0.085961,0.144766", \ "0.028934,0.030875,0.034723,0.042315,0.057300,0.086953,0.145853", \ "0.034037,0.035925,0.039693,0.047178,0.062067,0.091681,0.150616", \ "0.045732,0.047742,0.051581,0.058765,0.073221,0.102312,0.160792", \ "0.059648,0.062173,0.067003,0.075978,0.092014,0.120572,0.178073", \ "0.075189,0.078165,0.083863,0.094495,0.113707,0.146819,0.203870", \ "0.092831,0.096191,0.102703,0.114853,0.136884,0.175317,0.239118"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.003715,0.004216,0.005218,0.007214,0.011186,0.019102,0.034887", \ "0.003718,0.004218,0.005220,0.007216,0.011186,0.019101,0.034887", \ "0.005299,0.005712,0.006516,0.008057,0.011432,0.019102,0.034889", \ "0.008996,0.009490,0.010438,0.012200,0.015421,0.021455,0.035157", \ "0.014152,0.014727,0.015844,0.017925,0.021681,0.028267,0.040288", \ "0.020772,0.021423,0.022688,0.025088,0.029456,0.037004,0.049968", \ "0.028715,0.029463,0.030883,0.033629,0.038671,0.047294,0.061805"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.017979,0.019722,0.023184,0.030059,0.043727,0.070910,0.125014", \ "0.017981,0.019722,0.023181,0.030061,0.043726,0.070905,0.125026", \ "0.017966,0.019713,0.023178,0.030060,0.043724,0.070886,0.125014", \ "0.020924,0.022266,0.025017,0.030876,0.043706,0.070885,0.124974", \ "0.027131,0.028826,0.032018,0.037860,0.048299,0.071616,0.124930", \ "0.033657,0.035690,0.039513,0.046524,0.058769,0.079643,0.125886", \ "0.040756,0.043108,0.047520,0.055641,0.069925,0.093830,0.135112"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.014892,0.015761,0.017460,0.020758,0.027145,0.039589,0.064054", \ "0.016333,0.017205,0.018907,0.022211,0.028606,0.041055,0.065524", \ "0.020452,0.021353,0.023086,0.026399,0.032815,0.045294,0.069787", \ "0.025540,0.026654,0.028772,0.032711,0.039894,0.052910,0.077502", \ "0.029221,0.030682,0.033459,0.038529,0.047432,0.062681,0.089195", \ "0.030525,0.032379,0.035917,0.042341,0.053493,0.072112,0.102588", \ "0.029233,0.031495,0.035823,0.043660,0.057227,0.079741,0.115708"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.030652,0.032579,0.036399,0.043959,0.058923,0.088577,0.147488", \ "0.032161,0.034103,0.037949,0.045546,0.060547,0.090247,0.149180", \ "0.037441,0.039383,0.043229,0.050839,0.065879,0.095643,0.154661", \ "0.046402,0.048480,0.052479,0.060048,0.075020,0.104739,0.163767", \ "0.057240,0.059682,0.064351,0.073165,0.089476,0.119333,0.178178", \ "0.070513,0.073276,0.078632,0.088603,0.106910,0.139877,0.199218", \ "0.086371,0.089477,0.095533,0.106759,0.127065,0.163321,0.227346"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.007953,0.008627,0.009962,0.012614,0.017885,0.028395,0.049379", \ "0.007947,0.008625,0.009958,0.012613,0.017886,0.028396,0.049379", \ "0.008270,0.008896,0.010150,0.012690,0.017883,0.028397,0.049374", \ "0.010739,0.011345,0.012540,0.014895,0.019556,0.029049,0.049387", \ "0.015143,0.015827,0.017126,0.019556,0.024139,0.033070,0.051346", \ "0.020868,0.021686,0.023211,0.026016,0.031031,0.040039,0.057511", \ "0.027740,0.028712,0.030510,0.033790,0.039549,0.049333,0.066887"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.022612,0.024523,0.028286,0.035627,0.049825,0.077325,0.131372", \ "0.022611,0.024522,0.028285,0.035630,0.049824,0.077320,0.131373", \ "0.022611,0.024520,0.028284,0.035626,0.049821,0.077322,0.131372", \ "0.024521,0.026150,0.029469,0.036178,0.049826,0.077311,0.131362", \ "0.030540,0.032120,0.035234,0.041277,0.052997,0.077990,0.131355", \ "0.037294,0.038939,0.042180,0.048504,0.060577,0.083393,0.132353", \ "0.044826,0.046529,0.049876,0.056508,0.069190,0.093061,0.138597"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.012223,0.013066,0.014715,0.017929,0.024190,0.036473,0.060764", \ "0.013657,0.014503,0.016158,0.019379,0.025649,0.037939,0.062234", \ "0.017506,0.018427,0.020197,0.023529,0.029845,0.042173,0.066493", \ "0.021436,0.022664,0.024965,0.029145,0.036546,0.049713,0.074206", \ "0.023393,0.025052,0.028153,0.033707,0.043198,0.058951,0.085768", \ "0.022856,0.024976,0.028968,0.036057,0.048066,0.067581,0.098731", \ "0.019737,0.022321,0.027208,0.035875,0.050534,0.074228,0.111210"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.029878,0.031804,0.035622,0.043165,0.058081,0.087664,0.146479", \ "0.031384,0.033325,0.037168,0.044748,0.059709,0.089325,0.148169", \ "0.036664,0.038603,0.042446,0.050041,0.065040,0.094725,0.153652", \ "0.045549,0.047643,0.051676,0.059259,0.074189,0.103818,0.162744", \ "0.056206,0.058669,0.063379,0.072225,0.088585,0.118430,0.177137", \ "0.069284,0.072079,0.077464,0.087511,0.105874,0.138893,0.198158", \ "0.084929,0.088083,0.094159,0.105456,0.125865,0.162198,0.226220"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.006285,0.006946,0.008267,0.010892,0.016127,0.026593,0.047511", \ "0.006274,0.006941,0.008261,0.010892,0.016126,0.026592,0.047510", \ "0.006964,0.007561,0.008737,0.011152,0.016169,0.026593,0.047508", \ "0.009814,0.010400,0.011537,0.013777,0.018270,0.027503,0.047534", \ "0.014400,0.015081,0.016365,0.018766,0.023215,0.031880,0.049825", \ "0.020264,0.021064,0.022572,0.025363,0.030314,0.039143,0.056280", \ "0.027246,0.028203,0.029963,0.033222,0.038916,0.048605,0.065871"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.016861,0.018594,0.022027,0.028872,0.042501,0.069634,0.123679", \ "0.016864,0.018594,0.022028,0.028875,0.042500,0.069636,0.123652", \ "0.016865,0.018588,0.022025,0.028875,0.042493,0.069620,0.123686", \ "0.018842,0.020303,0.023274,0.029463,0.042504,0.069619,0.123637", \ "0.023229,0.024868,0.028057,0.034174,0.045745,0.070316,0.123615", \ "0.028327,0.030114,0.033578,0.040194,0.052639,0.075779,0.124596", \ "0.034089,0.036055,0.039801,0.046956,0.060271,0.084884,0.130870"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.010088,0.010742,0.012020,0.014505,0.019333,0.028774,0.047409", \ "0.011621,0.012275,0.013557,0.016047,0.020881,0.030328,0.048966", \ "0.016326,0.017107,0.018581,0.021282,0.026186,0.035671,0.054340", \ "0.020491,0.021653,0.023825,0.027722,0.034400,0.045488,0.064548", \ "0.022326,0.023925,0.026917,0.032259,0.041340,0.056056,0.079284", \ "0.021534,0.023588,0.027455,0.034332,0.045972,0.064760,0.093931", \ "0.018062,0.020562,0.025310,0.033744,0.048001,0.071019,0.106620"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.032224,0.034132,0.037926,0.045428,0.060297,0.089841,0.148648", \ "0.033734,0.035658,0.039477,0.047027,0.061956,0.091557,0.150419", \ "0.038977,0.040899,0.044711,0.052266,0.067223,0.096883,0.155852", \ "0.048061,0.050095,0.053989,0.061482,0.076359,0.105941,0.164870", \ "0.059233,0.061624,0.066173,0.074824,0.090932,0.120587,0.179256", \ "0.072830,0.075543,0.080758,0.090550,0.108634,0.141323,0.200363", \ "0.089034,0.092090,0.097993,0.109013,0.129075,0.165059,0.228697"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.005116,0.005622,0.006624,0.008620,0.012585,0.020495,0.036308", \ "0.005103,0.005611,0.006620,0.008615,0.012583,0.020495,0.036306", \ "0.006325,0.006722,0.007521,0.009138,0.012708,0.020498,0.036304", \ "0.010010,0.010487,0.011399,0.013125,0.016325,0.022447,0.036500", \ "0.014976,0.015564,0.016685,0.018778,0.022540,0.029142,0.041219", \ "0.021180,0.021878,0.023202,0.025687,0.030146,0.037798,0.050844", \ "0.028525,0.029356,0.030904,0.033821,0.039037,0.047874,0.062597"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.017978,0.019720,0.023182,0.030063,0.043721,0.070899,0.125059", \ "0.017978,0.019721,0.023183,0.030065,0.043732,0.070909,0.125055", \ "0.017973,0.019718,0.023184,0.030061,0.043722,0.070893,0.125043", \ "0.019639,0.021142,0.024205,0.030511,0.043727,0.070866,0.124975", \ "0.024115,0.025753,0.028941,0.035060,0.046693,0.071463,0.124943", \ "0.029208,0.031015,0.034468,0.041078,0.053526,0.076706,0.125791", \ "0.035001,0.036936,0.040682,0.047816,0.061155,0.085773,0.131875"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.739600,0.740589,0.767058,0.788391,0.812736,0.844071,0.864382", \ "0.735023,0.742758,0.760388,0.797630,0.830752,0.868220,0.900674", \ "0.739162,0.744520,0.759779,0.787857,0.824738,0.864101,0.904257", \ "0.961406,0.954385,0.934942,0.902034,0.885254,0.901543,0.925676", \ "1.404449,1.388377,1.344325,1.278846,1.184253,1.068551,1.034622", \ "2.056209,2.041150,2.001268,1.904781,1.742264,1.520386,1.303526", \ "2.906158,2.903439,2.867464,2.783021,2.584690,2.255823,1.852971"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.166100,3.171766,3.199391,3.205066,3.219484,3.169209,3.269405", \ "3.075659,3.105281,3.116202,3.165128,3.184097,3.130926,3.245066", \ "3.101013,3.094376,3.110794,3.121895,3.120028,3.067754,3.194230", \ "3.373080,3.356699,3.350366,3.289903,3.292535,3.129151,3.263761", \ "3.865960,3.875367,3.836432,3.743927,3.683921,3.445103,3.310985", \ "4.548688,4.520535,4.490714,4.423050,4.312206,4.116389,3.892148", \ "5.625631,5.591111,5.493778,5.355383,5.216460,4.990973,4.627408"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.268302,0.272391,0.284759,0.293574,0.301475,0.307815,0.311869", \ "0.245286,0.257955,0.272145,0.295928,0.318198,0.334636,0.344521", \ "0.258652,0.252399,0.260200,0.277430,0.301672,0.329642,0.347321", \ "0.541380,0.520338,0.477371,0.425637,0.365924,0.362505,0.370626", \ "1.024499,0.994306,0.938893,0.839452,0.700238,0.548478,0.483315", \ "1.693960,1.678249,1.623916,1.508445,1.305121,1.027846,0.764421", \ "2.566785,2.552645,2.501880,2.397040,2.188940,1.794459,1.335506"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.522978,2.526276,2.551702,2.578580,2.539588,2.567803,2.486916", \ "2.431687,2.436415,2.469618,2.512116,2.528410,2.515337,2.627288", \ "2.456582,2.450502,2.464483,2.475301,2.486279,2.455158,2.575927", \ "2.736072,2.723528,2.705284,2.661994,2.586675,2.544042,2.605987", \ "3.096605,3.090767,3.121902,3.121249,2.991024,2.830626,2.690975", \ "3.839106,3.796739,3.773318,3.693073,3.695788,3.446890,3.150630", \ "4.912350,4.891002,4.817526,4.665757,4.506896,4.360914,3.981835"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.267920,0.280130,0.286845,0.291823,0.302942,0.308789,0.312338", \ "0.252622,0.258895,0.277582,0.295820,0.318125,0.333070,0.344285", \ "0.305106,0.295908,0.278478,0.287150,0.309969,0.333508,0.349423", \ "0.579440,0.565746,0.522859,0.478001,0.407943,0.380283,0.379221", \ "1.063992,1.043362,0.997784,0.908720,0.774560,0.618240,0.509996", \ "1.743188,1.729511,1.680867,1.584346,1.403115,1.130665,0.845952", \ "2.590993,2.584687,2.568002,2.478240,2.300481,1.942357,1.472489"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("2.511042,2.514413,2.539916,2.565806,2.565218,2.567478,2.530928", \ "2.409126,2.413113,2.443259,2.482699,2.508144,2.492656,2.522457", \ "2.449066,2.441610,2.452887,2.451154,2.461341,2.426168,2.548807", \ "2.746266,2.734290,2.713474,2.682771,2.568047,2.598179,2.483455", \ "3.128181,3.144798,3.149029,3.144509,3.051083,2.847890,2.696384", \ "3.889312,3.869222,3.821802,3.761114,3.768522,3.456693,3.221080", \ "5.034554,4.992113,4.917519,4.775603,4.596336,4.439494,4.057080"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("1.156988,1.156211,1.187246,1.206776,1.247810,1.282720,1.318101", \ "1.088940,1.111359,1.125957,1.161692,1.199985,1.235063,1.274409", \ "1.072583,1.090712,1.100777,1.133344,1.174394,1.215192,1.258921", \ "1.266954,1.268345,1.255857,1.222839,1.240596,1.254639,1.281255", \ "1.708386,1.700158,1.670865,1.619948,1.536745,1.440019,1.411150", \ "2.396034,2.372504,2.330925,2.251508,2.116193,1.912766,1.702041", \ "3.300898,3.299362,3.259420,3.164035,2.981909,2.677445,2.284491"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.979481,4.004515,4.003201,4.016574,3.998296,3.989517,3.927952", \ "3.908888,3.914263,3.943666,3.978811,3.981991,3.939534,4.048702", \ "3.836330,3.834181,3.858464,3.885051,3.926467,3.842355,3.971150", \ "3.864876,3.867460,3.872681,3.864018,3.881969,3.834413,3.960985", \ "4.086269,4.090241,4.109950,4.039140,4.011481,3.893448,4.003670", \ "4.507935,4.498047,4.465652,4.469836,4.395617,4.316645,4.156787", \ "5.353749,5.315810,5.230714,5.151413,5.025312,4.918801,4.664203"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.671025,0.668067,0.681014,0.703632,0.728436,0.747733,0.768067", \ "0.602017,0.619210,0.627140,0.653130,0.680600,0.701895,0.724285", \ "0.586849,0.593013,0.606227,0.623009,0.652023,0.676695,0.702852", \ "0.828101,0.823211,0.795981,0.753168,0.725485,0.724860,0.731046", \ "1.309532,1.285349,1.235550,1.173094,1.053842,0.919931,0.862584", \ "2.028534,1.995060,1.950663,1.843094,1.666166,1.419873,1.164846", \ "2.948570,2.927432,2.892800,2.792287,2.574917,2.216909,1.769508"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.336680,3.335765,3.355683,3.348836,3.344456,3.402473,3.351585", \ "3.265763,3.268582,3.295569,3.309247,3.323172,3.377001,3.411548", \ "3.166400,3.190250,3.185078,3.207898,3.270411,3.237526,3.352329", \ "3.216582,3.211983,3.224945,3.216433,3.209054,3.265868,3.296927", \ "3.305711,3.328170,3.355928,3.402036,3.360757,3.278576,3.192473", \ "3.790403,3.774747,3.759762,3.732766,3.745539,3.566796,3.537852", \ "4.635639,4.595852,4.547352,4.439150,4.274083,4.210125,3.854141"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.642022,0.644429,0.664048,0.690577,0.714462,0.738409,0.758770", \ "0.580630,0.591384,0.614355,0.633416,0.665576,0.688851,0.714622", \ "0.581683,0.578792,0.592704,0.614275,0.636388,0.664805,0.693807", \ "0.865271,0.857247,0.821671,0.788588,0.729822,0.722415,0.726201", \ "1.361663,1.339837,1.294671,1.221729,1.104323,0.966657,0.874608", \ "2.088326,2.063961,2.017336,1.920708,1.755658,1.506516,1.230331", \ "3.021859,3.013962,2.977600,2.879670,2.693350,2.349780,1.900188"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.325575,3.324631,3.344535,3.349951,3.380610,3.390540,3.298280", \ "3.222387,3.249113,3.274786,3.278041,3.322394,3.350163,3.275642", \ "3.167485,3.183932,3.173979,3.194004,3.194548,3.202893,3.327284", \ "3.214084,3.208922,3.220623,3.213125,3.197727,3.203679,3.226808", \ "3.318503,3.336292,3.362872,3.425525,3.352504,3.273898,3.179851", \ "3.823279,3.827766,3.779690,3.768151,3.805785,3.587947,3.543266", \ "4.717159,4.675950,4.625701,4.526817,4.396171,4.250654,4.052549"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.730493,0.745645,0.773510,0.790364,0.816351,0.843915,0.866956", \ "0.727466,0.746506,0.764740,0.798385,0.835878,0.868723,0.899501", \ "0.652547,0.674408,0.694814,0.743164,0.789139,0.846093,0.888838", \ "0.751690,0.746864,0.750085,0.744739,0.764906,0.814301,0.872536", \ "0.996001,1.003192,0.985753,0.949499,0.914882,0.872529,0.899137", \ "1.440828,1.430616,1.418560,1.367074,1.281144,1.154096,1.036933", \ "2.041215,2.048149,2.020408,1.978252,1.846558,1.662219,1.408845"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("4.561055,4.564103,4.581932,4.598100,4.586635,4.622821,4.580101", \ "4.473785,4.476349,4.502710,4.532874,4.529757,4.565364,4.528089", \ "4.473601,4.478923,4.492667,4.490251,4.513564,4.582911,4.486898", \ "4.717835,4.708321,4.691280,4.691255,4.612223,4.596310,4.535973", \ "5.207995,5.188969,5.176478,5.108025,5.017838,4.921177,4.799670", \ "5.854540,5.847940,5.849460,5.838303,5.717075,5.447036,5.236037", \ "6.845071,6.833383,6.800827,6.727531,6.651878,6.457630,5.963456"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.272196,0.282842,0.288454,0.293861,0.304720,0.311899,0.313438", \ "0.240325,0.254523,0.268421,0.294130,0.318559,0.332948,0.342991", \ "0.145451,0.154389,0.180362,0.219906,0.264572,0.304549,0.334902", \ "0.265705,0.260285,0.254029,0.238928,0.230792,0.275629,0.314868", \ "0.551898,0.545990,0.518578,0.472130,0.412254,0.342180,0.341019", \ "1.020744,1.005390,0.978960,0.907861,0.798239,0.640948,0.488680", \ "1.656059,1.630183,1.606508,1.543276,1.409217,1.169975,0.875464"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("3.883547,3.886953,3.882635,3.931331,3.911594,3.897547,3.962116", \ "3.798525,3.801560,3.829861,3.863037,3.841683,3.946501,3.951618", \ "3.774744,3.799400,3.794369,3.803319,3.807440,3.899325,3.954156", \ "4.021699,4.011842,4.028313,4.010066,3.964119,4.004442,4.008110", \ "4.443862,4.468670,4.503465,4.448994,4.380059,4.302460,4.184565", \ "5.100094,5.108172,5.107032,5.085921,5.066284,4.826435,4.613559", \ "6.096245,6.098712,6.071339,6.038525,5.907467,5.774853,5.341586"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.277991,0.279549,0.293810,0.299939,0.306362,0.309899,0.313569", \ "0.243218,0.250488,0.272317,0.293928,0.318321,0.334120,0.345234", \ "0.154916,0.163774,0.184847,0.221770,0.267145,0.309030,0.336147", \ "0.280075,0.274360,0.264666,0.256606,0.243927,0.278998,0.316838", \ "0.579632,0.562888,0.534967,0.485493,0.430433,0.369690,0.350192", \ "1.062280,1.043689,1.009930,0.938359,0.818959,0.675763,0.523525", \ "1.718747,1.688546,1.661908,1.575819,1.446243,1.206582,0.921277"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("4.192148,4.217748,4.212621,4.231845,4.249644,4.322302,4.360203", \ "4.108743,4.131940,4.159709,4.182760,4.178112,4.259608,4.314021", \ "4.109156,4.103144,4.124299,4.161834,4.174645,4.178832,4.268674", \ "4.351971,4.352791,4.353401,4.354108,4.312863,4.225190,4.329337", \ "4.802636,4.829204,4.834562,4.796577,4.724550,4.597832,4.589031", \ "5.436664,5.447926,5.455933,5.449811,5.397168,5.216949,5.040989", \ "6.442078,6.426422,6.405285,6.380520,6.283686,6.152546,5.789977"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("1.148013,1.158528,1.180482,1.210314,1.248974,1.280384,1.320503", \ "1.095174,1.108891,1.136504,1.159359,1.201488,1.237322,1.283233", \ "1.040637,1.061218,1.078602,1.105834,1.150783,1.206725,1.249559", \ "1.111616,1.121172,1.113827,1.108726,1.146130,1.189141,1.242661", \ "1.360817,1.352518,1.343196,1.327551,1.299780,1.253790,1.281902", \ "1.782735,1.778465,1.750670,1.714104,1.655780,1.552244,1.434303", \ "2.405145,2.411039,2.388119,2.334716,2.236121,2.058373,1.831436"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("5.350424,5.349524,5.369843,5.390218,5.367296,5.438583,5.397623", \ "5.295711,5.296467,5.319495,5.328503,5.307768,5.370002,5.329917", \ "5.241265,5.242328,5.265955,5.258273,5.279162,5.300119,5.266207", \ "5.259125,5.257264,5.275304,5.278580,5.314341,5.316020,5.283788", \ "5.461560,5.475299,5.458014,5.458637,5.407638,5.435728,5.313567", \ "5.825343,5.835043,5.838801,5.860989,5.813778,5.644692,5.659938", \ "6.561579,6.546712,6.528122,6.481955,6.398537,6.315461,5.989747"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.674556,0.679580,0.684610,0.707887,0.728380,0.749316,0.768262", \ "0.611741,0.624624,0.631308,0.656677,0.676453,0.703745,0.722482", \ "0.546623,0.553019,0.579494,0.601249,0.630090,0.665586,0.694791", \ "0.643985,0.627028,0.631512,0.615769,0.619953,0.655425,0.687611", \ "0.898612,0.884591,0.868764,0.839469,0.790876,0.725293,0.729673", \ "1.356871,1.337717,1.313649,1.253633,1.165771,1.038503,0.887696", \ "2.002486,2.000242,1.963725,1.901630,1.768208,1.562124,1.296162"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("4.650844,4.676037,4.670849,4.698709,4.724150,4.659492,4.780092", \ "4.595910,4.623336,4.620433,4.665565,4.704675,4.639015,4.710920", \ "4.549143,4.568645,4.566919,4.599666,4.621550,4.680135,4.647968", \ "4.559439,4.583999,4.576886,4.610352,4.639747,4.682454,4.739997", \ "4.706450,4.718102,4.791020,4.773719,4.772986,4.774350,4.691780", \ "5.061915,5.066754,5.084541,5.129511,5.128390,5.023894,4.993020", \ "5.808735,5.810826,5.784521,5.758888,5.746657,5.624285,5.365416"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("0.655420,0.664943,0.681442,0.693887,0.718470,0.740616,0.761538", \ "0.599952,0.613998,0.620989,0.649053,0.669273,0.692058,0.716602", \ "0.540252,0.539709,0.567085,0.592546,0.619091,0.656861,0.686789", \ "0.646520,0.649142,0.640395,0.628833,0.618317,0.648381,0.678868", \ "0.916225,0.905064,0.887310,0.857732,0.810273,0.744890,0.730207", \ "1.386807,1.362170,1.334520,1.281008,1.194753,1.064504,0.917250", \ "2.064553,2.046952,2.014129,1.939959,1.820100,1.601532,1.340310"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.730355,1.458960,2.914410,5.821840,11.629700,23.231500"); values ("4.983641,5.008328,5.012226,5.047654,5.023095,5.073945,5.014424", \ "4.928901,4.955522,4.964569,4.976463,5.025744,5.004462,5.118997", \ "4.871211,4.870735,4.894783,4.908840,4.928804,4.910187,5.050495", \ "4.893120,4.911826,4.903540,4.923818,4.924900,4.895878,5.059051", \ "5.048075,5.061693,5.105727,5.083663,5.064775,5.076693,5.086252", \ "5.407526,5.416354,5.438866,5.461744,5.495812,5.401287,5.314159", \ "6.138436,6.123663,6.124285,6.115962,6.084884,5.976348,5.780560"); } } } } /****************************************************************************************** Module : OAI22_X2 Cell Description : Combinational cell (OAI22_X2) with drive strength X2 *******************************************************************************************/ cell (OAI22_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 68.052131; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 13.929740; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 69.946910; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 69.946800; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 99.191510; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 22.177310; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 65.323720; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 70.024350; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 91.827472; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 22.177318; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 70.024350; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 74.724870; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 96.528366; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 16.340068; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 91.821741; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 96.521997; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 118.327570; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.132973; fall_capacitance : 2.708368; rise_capacitance : 3.132973; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.397133; fall_capacitance : 3.363846; rise_capacitance : 3.397133; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.160700; fall_capacitance : 2.645238; rise_capacitance : 3.160700; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.333146; fall_capacitance : 3.204119; rise_capacitance : 3.333146; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 46.310400; function : "!((A1 | A2) & (B1 | B2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.009480,0.010759,0.012423,0.015655,0.021935,0.034238,0.058573", \ "0.010878,0.012158,0.013830,0.017077,0.023382,0.035712,0.060066", \ "0.015650,0.017128,0.018955,0.022224,0.028412,0.040707,0.065053", \ "0.019330,0.021464,0.024127,0.028934,0.037196,0.050715,0.074797", \ "0.020602,0.023415,0.026909,0.033232,0.044151,0.062135,0.090452", \ "0.019164,0.022662,0.027007,0.034868,0.048449,0.070849,0.106346", \ "0.014745,0.018919,0.024114,0.033526,0.049810,0.076665,0.119279"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.017105,0.020007,0.023826,0.031338,0.046167,0.075607,0.134297", \ "0.017772,0.020689,0.024551,0.032165,0.047157,0.076772,0.135603", \ "0.023278,0.025977,0.029628,0.036997,0.051799,0.081380,0.140307", \ "0.032216,0.035873,0.040399,0.048554,0.062920,0.091850,0.150310", \ "0.042424,0.046890,0.052469,0.062669,0.080408,0.109989,0.167375", \ "0.054557,0.059731,0.066213,0.078152,0.099236,0.134668,0.192958", \ "0.068758,0.074658,0.082012,0.095560,0.119584,0.160545,0.227219"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.006126,0.007108,0.008423,0.011042,0.016273,0.026740,0.047691", \ "0.006072,0.007080,0.008406,0.011036,0.016272,0.026741,0.047690", \ "0.007688,0.008457,0.009390,0.011445,0.016227,0.026737,0.047693", \ "0.012246,0.013266,0.014537,0.016845,0.020906,0.028522,0.047673", \ "0.018305,0.019611,0.021227,0.024149,0.029216,0.037690,0.052446", \ "0.025951,0.027573,0.029568,0.033132,0.039235,0.049380,0.065884", \ "0.035194,0.037206,0.039618,0.043917,0.051130,0.062913,0.082033"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016167,0.019088,0.022918,0.030331,0.044531,0.071895,0.125691", \ "0.016159,0.019086,0.022918,0.030329,0.044531,0.071896,0.125693", \ "0.016891,0.019377,0.022875,0.030325,0.044530,0.071899,0.125692", \ "0.023774,0.025760,0.027855,0.033115,0.045076,0.071900,0.125689", \ "0.031998,0.034114,0.036955,0.042406,0.052106,0.073812,0.125687", \ "0.041819,0.043923,0.046893,0.052880,0.064153,0.083796,0.127675", \ "0.053492,0.055463,0.058413,0.064616,0.076967,0.099334,0.138631"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.007272,0.008440,0.009987,0.013050,0.019129,0.031239,0.055418", \ "0.008600,0.009785,0.011352,0.014446,0.020564,0.032707,0.056908", \ "0.012079,0.013773,0.015825,0.019430,0.025593,0.037703,0.061896", \ "0.013686,0.016153,0.019165,0.024478,0.033392,0.047604,0.071664", \ "0.012896,0.016164,0.020140,0.027150,0.038935,0.057866,0.087107", \ "0.009359,0.013464,0.018409,0.027150,0.041833,0.065428,0.102086", \ "0.002908,0.007783,0.013695,0.024163,0.041794,0.070096,0.114094"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016384,0.019287,0.023100,0.030595,0.045389,0.074744,0.133269", \ "0.017050,0.019963,0.023818,0.031416,0.046375,0.075908,0.134576", \ "0.022603,0.025279,0.028917,0.036259,0.051016,0.080517,0.139278", \ "0.031171,0.034907,0.039505,0.047756,0.062152,0.090989,0.149279", \ "0.041067,0.045630,0.051290,0.061601,0.079477,0.109139,0.166356", \ "0.052827,0.058157,0.064741,0.076808,0.098038,0.133631,0.191939", \ "0.066669,0.072696,0.080202,0.093916,0.118111,0.159242,0.226082"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.004298,0.005283,0.006599,0.009227,0.014474,0.024954,0.045908", \ "0.004264,0.005264,0.006593,0.009225,0.014472,0.024952,0.045906", \ "0.006788,0.007572,0.008566,0.010384,0.014679,0.024955,0.045905", \ "0.011427,0.012461,0.013743,0.016078,0.020172,0.027488,0.045930", \ "0.017640,0.018955,0.020567,0.023466,0.028503,0.036996,0.051522", \ "0.025512,0.027102,0.029081,0.032598,0.038623,0.048689,0.065203", \ "0.034979,0.036934,0.039311,0.043529,0.050628,0.062286,0.081341"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.011448,0.013962,0.017325,0.024049,0.037475,0.064295,0.117941", \ "0.011449,0.013964,0.017328,0.024053,0.037471,0.064302,0.117933", \ "0.012293,0.014338,0.017319,0.024040,0.037465,0.064313,0.117925", \ "0.017386,0.019553,0.022270,0.026969,0.038073,0.064292,0.117925", \ "0.022958,0.025518,0.028796,0.034820,0.045202,0.066283,0.117930", \ "0.029833,0.032635,0.036319,0.043264,0.055653,0.076353,0.119973", \ "0.038348,0.041326,0.045286,0.052870,0.066786,0.090782,0.131001"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.005969,0.006872,0.008068,0.010437,0.015138,0.024504,0.043203", \ "0.007425,0.008338,0.009546,0.011934,0.016660,0.026048,0.044765", \ "0.010419,0.011913,0.013715,0.016866,0.022066,0.031396,0.050084", \ "0.011289,0.013507,0.016195,0.020910,0.028758,0.041164,0.060510", \ "0.009548,0.012517,0.016116,0.022428,0.032948,0.049659,0.075207", \ "0.004795,0.008557,0.013074,0.021023,0.034282,0.055342,0.087649", \ "-0.003134,0.001339,0.006760,0.016348,0.032388,0.057902,0.097020"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016281,0.019180,0.022992,0.030488,0.045281,0.074641,0.133170", \ "0.016857,0.019752,0.023593,0.031181,0.046136,0.075677,0.134357", \ "0.022551,0.025207,0.028819,0.036117,0.050813,0.080262,0.139006", \ "0.031304,0.035023,0.039599,0.047816,0.062158,0.090908,0.149103", \ "0.041518,0.046040,0.051669,0.061933,0.079739,0.109307,0.166414", \ "0.053802,0.059033,0.065562,0.077541,0.098672,0.134138,0.192303", \ "0.068407,0.074313,0.081693,0.095246,0.119263,0.160223,0.226842"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.003268,0.004024,0.005042,0.007077,0.011143,0.019278,0.035545", \ "0.003271,0.004020,0.005041,0.007076,0.011144,0.019277,0.035548", \ "0.006088,0.006741,0.007552,0.009016,0.011876,0.019279,0.035547", \ "0.010539,0.011435,0.012530,0.014506,0.017918,0.023591,0.036194", \ "0.016554,0.017705,0.019102,0.021597,0.025893,0.033033,0.044549", \ "0.024216,0.025615,0.027357,0.030425,0.035618,0.044189,0.058060", \ "0.033491,0.035230,0.037324,0.041039,0.047242,0.057265,0.073413"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.011448,0.013960,0.017323,0.024046,0.037467,0.064305,0.117942", \ "0.011442,0.013964,0.017324,0.024045,0.037469,0.064300,0.117936", \ "0.012314,0.014350,0.017333,0.024041,0.037476,0.064302,0.117931", \ "0.017319,0.019498,0.022229,0.026945,0.038075,0.064294,0.117926", \ "0.022708,0.025301,0.028604,0.034660,0.045072,0.066241,0.117926", \ "0.029327,0.032162,0.035878,0.042884,0.055347,0.076129,0.119911", \ "0.037552,0.040539,0.044521,0.052157,0.066197,0.090321,0.130709"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.011884,0.013176,0.014864,0.018143,0.024508,0.036918,0.061344", \ "0.013104,0.014398,0.016090,0.019377,0.025745,0.038162,0.062591", \ "0.018179,0.019528,0.021214,0.024393,0.030711,0.043089,0.067490", \ "0.023462,0.025401,0.027845,0.032301,0.040093,0.053078,0.077253", \ "0.026498,0.029037,0.032232,0.038069,0.048338,0.065526,0.093048", \ "0.027225,0.030325,0.034256,0.041433,0.054102,0.075440,0.109836", \ "0.025529,0.029176,0.033800,0.042293,0.057302,0.082698,0.123826"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.021275,0.024120,0.027881,0.035327,0.050098,0.079494,0.138157", \ "0.022650,0.025539,0.029360,0.036911,0.051824,0.081361,0.140138", \ "0.027776,0.030597,0.034363,0.041874,0.056820,0.086496,0.145465", \ "0.035120,0.038514,0.042830,0.050922,0.065793,0.095342,0.154309", \ "0.043610,0.047674,0.052785,0.062272,0.079413,0.109872,0.168548", \ "0.054361,0.059105,0.064999,0.075839,0.095258,0.129429,0.189496", \ "0.067328,0.072779,0.079520,0.091818,0.113567,0.151388,0.216909"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.007796,0.008787,0.010108,0.012743,0.017988,0.028469,0.049421", \ "0.007794,0.008787,0.010108,0.012740,0.017988,0.028468,0.049420", \ "0.008749,0.009504,0.010576,0.012885,0.017958,0.028469,0.049421", \ "0.013459,0.014444,0.015667,0.017911,0.021896,0.029835,0.049428", \ "0.019476,0.020756,0.022346,0.025245,0.030260,0.038653,0.053634", \ "0.026796,0.028396,0.030369,0.033954,0.040127,0.050302,0.066785", \ "0.035475,0.037449,0.039855,0.044178,0.051526,0.063554,0.082871"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016170,0.019088,0.022918,0.030330,0.044531,0.071898,0.125693", \ "0.016170,0.019088,0.022917,0.030331,0.044529,0.071896,0.125700", \ "0.016307,0.019139,0.022932,0.030331,0.044530,0.071895,0.125699", \ "0.020847,0.022975,0.025717,0.031853,0.044816,0.071898,0.125690", \ "0.027443,0.029523,0.032389,0.038162,0.049322,0.073197,0.125689", \ "0.035495,0.037379,0.040105,0.045813,0.057378,0.079663,0.127227", \ "0.044830,0.046582,0.049185,0.054774,0.066442,0.089635,0.134288"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.009234,0.010496,0.012142,0.015348,0.021600,0.033875,0.058180", \ "0.010444,0.011710,0.013363,0.016577,0.022837,0.035119,0.059427", \ "0.014941,0.016449,0.018308,0.021634,0.027814,0.040054,0.064330", \ "0.018420,0.020603,0.023310,0.028169,0.036494,0.050070,0.074112", \ "0.019740,0.022588,0.026115,0.032475,0.043425,0.061430,0.089785", \ "0.018809,0.022284,0.026615,0.034425,0.047942,0.070267,0.105693", \ "0.015477,0.019573,0.024680,0.033915,0.049929,0.076482,0.118812"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.020563,0.023403,0.027160,0.034587,0.049319,0.078628,0.137130", \ "0.021930,0.024814,0.028630,0.036166,0.051041,0.080499,0.139106", \ "0.027065,0.029883,0.033637,0.041129,0.056036,0.085634,0.144434", \ "0.034207,0.037644,0.041996,0.050129,0.065009,0.094479,0.153277", \ "0.042462,0.046597,0.051762,0.061314,0.078521,0.109005,0.167518", \ "0.052944,0.057779,0.063757,0.074700,0.094209,0.128439,0.188462", \ "0.065599,0.071171,0.078022,0.090458,0.112343,0.150249,0.215783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.006177,0.007147,0.008445,0.011044,0.016261,0.026720,0.047655", \ "0.006147,0.007136,0.008443,0.011046,0.016262,0.026718,0.047656", \ "0.007992,0.008735,0.009672,0.011670,0.016325,0.026725,0.047658", \ "0.012683,0.013685,0.014929,0.017193,0.021195,0.028727,0.047665", \ "0.018664,0.019964,0.021580,0.024493,0.029543,0.037966,0.052653", \ "0.025957,0.027598,0.029603,0.033213,0.039396,0.049603,0.066110", \ "0.034622,0.036643,0.039095,0.043453,0.050811,0.062833,0.082160"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.011449,0.013967,0.017326,0.024054,0.037479,0.064295,0.117946", \ "0.011448,0.013967,0.017324,0.024052,0.037486,0.064309,0.117926", \ "0.011617,0.014023,0.017344,0.024046,0.037470,0.064315,0.117923", \ "0.015116,0.017295,0.020144,0.025641,0.037784,0.064304,0.117927", \ "0.019799,0.022058,0.025064,0.030986,0.042350,0.065648,0.117931", \ "0.025698,0.028024,0.031135,0.037306,0.049321,0.072167,0.119487", \ "0.032703,0.035135,0.038407,0.044839,0.057350,0.081351,0.126602"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.007269,0.008262,0.009553,0.012059,0.016923,0.026439,0.045245", \ "0.008647,0.009632,0.010920,0.013426,0.018292,0.027811,0.046618", \ "0.012817,0.014149,0.015787,0.018695,0.023649,0.033105,0.051871", \ "0.015378,0.017330,0.019746,0.024053,0.031392,0.043229,0.062302", \ "0.015602,0.018179,0.021361,0.027065,0.036821,0.052707,0.077462", \ "0.013287,0.016466,0.020411,0.027495,0.039658,0.059546,0.090744", \ "0.008271,0.012043,0.016721,0.025153,0.039695,0.063559,0.101124"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.020463,0.023302,0.027055,0.034483,0.049213,0.078527,0.137032", \ "0.021764,0.024634,0.028434,0.035958,0.050823,0.080284,0.138899", \ "0.026995,0.029797,0.033529,0.040984,0.055838,0.085392,0.144181", \ "0.034174,0.037604,0.041947,0.050062,0.064909,0.094313,0.153049", \ "0.042626,0.046715,0.051858,0.061372,0.078535,0.108956,0.167384", \ "0.053594,0.058351,0.064262,0.075113,0.094511,0.128621,0.188518", \ "0.066972,0.072429,0.079166,0.091441,0.113130,0.150836,0.216159"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.004722,0.005480,0.006491,0.008505,0.012540,0.020637,0.036873", \ "0.004645,0.005433,0.006464,0.008497,0.012539,0.020637,0.036873", \ "0.007088,0.007689,0.008445,0.009827,0.012969,0.020648,0.036875", \ "0.011589,0.012456,0.013514,0.015434,0.018738,0.024325,0.037364", \ "0.017383,0.018515,0.019908,0.022408,0.026708,0.033805,0.045209", \ "0.024542,0.025974,0.027730,0.030858,0.036168,0.044862,0.058746", \ "0.033102,0.034878,0.037055,0.040882,0.047266,0.057574,0.073980"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.011455,0.013963,0.017324,0.024049,0.037479,0.064294,0.117947", \ "0.011448,0.013964,0.017326,0.024047,0.037482,0.064309,0.117944", \ "0.011624,0.014026,0.017346,0.024050,0.037465,0.064299,0.117931", \ "0.015128,0.017311,0.020157,0.025656,0.037790,0.064301,0.117929", \ "0.019741,0.022009,0.025030,0.030967,0.042346,0.065657,0.117933", \ "0.025442,0.027774,0.030928,0.037139,0.049217,0.072118,0.119481", \ "0.032252,0.034688,0.037953,0.044431,0.057032,0.081157,0.126518"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.012266,0.013538,0.015194,0.018416,0.024686,0.036979,0.061298", \ "0.013838,0.015125,0.016798,0.020046,0.026349,0.038671,0.063013", \ "0.017812,0.019191,0.020956,0.024245,0.030576,0.042960,0.067357", \ "0.021824,0.023622,0.025873,0.029987,0.037336,0.050504,0.075031", \ "0.023910,0.026333,0.029336,0.034758,0.044105,0.059760,0.086578", \ "0.023295,0.026415,0.030275,0.037203,0.049049,0.068418,0.099505", \ "0.019633,0.023492,0.028264,0.036800,0.051346,0.074959,0.111899"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.026226,0.029101,0.032906,0.040439,0.055358,0.084938,0.143760", \ "0.026895,0.029809,0.033657,0.041255,0.056251,0.085918,0.144793", \ "0.032011,0.034846,0.038618,0.046123,0.061039,0.090675,0.149604", \ "0.043482,0.046559,0.050449,0.057661,0.072125,0.101267,0.159791", \ "0.056882,0.060716,0.065579,0.074626,0.090789,0.119407,0.176987", \ "0.071929,0.076462,0.082186,0.092904,0.112229,0.145438,0.202647", \ "0.089204,0.094370,0.100856,0.113043,0.135163,0.173685,0.237656"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.006110,0.007097,0.008409,0.011027,0.016256,0.026719,0.047660", \ "0.006101,0.007089,0.008405,0.011026,0.016256,0.026718,0.047658", \ "0.006689,0.007555,0.008721,0.011151,0.016234,0.026716,0.047656", \ "0.009414,0.010294,0.011431,0.013695,0.018238,0.027508,0.047655", \ "0.013936,0.014949,0.016220,0.018604,0.023059,0.031800,0.049846", \ "0.019845,0.021037,0.022537,0.025290,0.030177,0.038994,0.056225", \ "0.027029,0.028420,0.030167,0.033395,0.039013,0.048575,0.065776"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.022083,0.024923,0.028662,0.035965,0.050105,0.077541,0.131529", \ "0.022084,0.024923,0.028661,0.035964,0.050104,0.077545,0.131530", \ "0.022066,0.024915,0.028658,0.035965,0.050101,0.077535,0.131535", \ "0.025596,0.027739,0.030730,0.036934,0.050089,0.077529,0.131524", \ "0.033862,0.036176,0.039136,0.044624,0.054990,0.078411,0.131520", \ "0.042632,0.045254,0.048658,0.055052,0.066488,0.086675,0.132680", \ "0.052147,0.055039,0.058833,0.066051,0.079157,0.101733,0.142175"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.010073,0.011235,0.012776,0.015831,0.021904,0.034009,0.058187", \ "0.011557,0.012758,0.014335,0.017435,0.023555,0.035698,0.059899", \ "0.014890,0.016320,0.018124,0.021504,0.027736,0.039962,0.064230", \ "0.017289,0.019337,0.021840,0.026293,0.033966,0.047354,0.071876", \ "0.017290,0.020126,0.023572,0.029619,0.039705,0.056007,0.083226", \ "0.014375,0.018087,0.022575,0.030394,0.043333,0.063756,0.095669", \ "0.008406,0.013016,0.018558,0.028230,0.044179,0.069221,0.107373"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.025450,0.028324,0.032126,0.039645,0.054518,0.084018,0.142722", \ "0.026113,0.029027,0.032873,0.040457,0.055414,0.084987,0.143754", \ "0.031244,0.034077,0.037843,0.045330,0.060203,0.089768,0.148561", \ "0.042585,0.045711,0.049642,0.056887,0.071309,0.100363,0.158753", \ "0.055696,0.059590,0.064497,0.073628,0.089883,0.118517,0.175958", \ "0.070455,0.075041,0.080814,0.091624,0.111057,0.144439,0.201597", \ "0.087400,0.092635,0.099202,0.111484,0.133724,0.172398,0.236542"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.004312,0.005287,0.006600,0.009226,0.014472,0.024953,0.045902", \ "0.004315,0.005291,0.006602,0.009227,0.014472,0.024955,0.045903", \ "0.005356,0.006210,0.007363,0.009639,0.014539,0.024955,0.045906", \ "0.008460,0.009330,0.010449,0.012620,0.016997,0.026057,0.045943", \ "0.013222,0.014237,0.015498,0.017848,0.022196,0.030694,0.048470", \ "0.019457,0.020602,0.022050,0.024743,0.029547,0.038170,0.055106", \ "0.026977,0.028249,0.029917,0.033023,0.038532,0.047956,0.064885"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016390,0.018951,0.022367,0.029178,0.042752,0.069805,0.123739", \ "0.016389,0.018952,0.022365,0.029178,0.042749,0.069815,0.123744", \ "0.016369,0.018944,0.022366,0.029175,0.042745,0.069808,0.123742", \ "0.020036,0.021910,0.024552,0.030223,0.042753,0.069790,0.123752", \ "0.025776,0.028332,0.031547,0.037419,0.047761,0.070718,0.123742", \ "0.032046,0.035095,0.038948,0.046001,0.058299,0.079089,0.124884", \ "0.038961,0.042462,0.046888,0.055064,0.069405,0.093403,0.134491"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.008620,0.009513,0.010696,0.013038,0.017689,0.026955,0.045459", \ "0.010190,0.011113,0.012323,0.014699,0.019386,0.028682,0.047204", \ "0.014107,0.015374,0.016933,0.019741,0.024669,0.034044,0.052627", \ "0.016580,0.018523,0.020898,0.025099,0.032154,0.043597,0.062781", \ "0.016469,0.019191,0.022508,0.028332,0.038016,0.053367,0.077164", \ "0.013345,0.016924,0.021260,0.028836,0.041379,0.061098,0.091112", \ "0.007054,0.011506,0.016883,0.026289,0.041804,0.066162,0.102952"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.027788,0.030635,0.034411,0.041894,0.056723,0.086182,0.144866", \ "0.028453,0.031336,0.035157,0.042709,0.057636,0.087184,0.145952", \ "0.033568,0.036375,0.040116,0.047566,0.062396,0.091920,0.150729", \ "0.045216,0.048205,0.051978,0.059141,0.073540,0.102549,0.160927", \ "0.058991,0.062745,0.067508,0.076397,0.092317,0.120797,0.178233", \ "0.074404,0.078810,0.084437,0.094977,0.114080,0.147025,0.203999", \ "0.091949,0.096992,0.103367,0.115385,0.137290,0.175552,0.239196"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.003587,0.004337,0.005335,0.007330,0.011310,0.019240,0.035077", \ "0.003593,0.004339,0.005335,0.007330,0.011310,0.019239,0.035077", \ "0.005189,0.005800,0.006599,0.008142,0.011540,0.019239,0.035078", \ "0.008861,0.009591,0.010529,0.012279,0.015496,0.021550,0.035337", \ "0.013997,0.014857,0.015950,0.018012,0.021761,0.028345,0.040413", \ "0.020609,0.021564,0.022812,0.025197,0.029532,0.037064,0.050058", \ "0.028542,0.029592,0.031020,0.033764,0.038764,0.047355,0.061863"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.017505,0.020087,0.023527,0.030370,0.043984,0.071068,0.125089", \ "0.017504,0.020084,0.023528,0.030371,0.043985,0.071067,0.125087", \ "0.017495,0.020083,0.023524,0.030370,0.043987,0.071079,0.125089", \ "0.020568,0.022540,0.025295,0.031143,0.043970,0.071058,0.125080", \ "0.026644,0.029146,0.032305,0.038100,0.048485,0.071808,0.125073", \ "0.033082,0.036053,0.039840,0.046799,0.058970,0.079745,0.126013", \ "0.040087,0.043523,0.047888,0.055944,0.070146,0.093962,0.135191"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.014641,0.015930,0.017617,0.020897,0.027262,0.039673,0.064102", \ "0.016082,0.017375,0.019066,0.022352,0.028723,0.041139,0.065571", \ "0.020193,0.021534,0.023248,0.026541,0.032934,0.045381,0.069840", \ "0.025220,0.026877,0.028970,0.032877,0.040015,0.052996,0.077558", \ "0.028793,0.030979,0.033727,0.038749,0.047592,0.062770,0.089250", \ "0.029975,0.032769,0.036270,0.042620,0.053696,0.072237,0.102647", \ "0.028573,0.031998,0.036265,0.044022,0.057494,0.079912,0.115779"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.030146,0.033009,0.036802,0.044319,0.059225,0.088788,0.147608", \ "0.031650,0.034534,0.038353,0.045906,0.060851,0.090445,0.149302", \ "0.036925,0.039807,0.043628,0.051196,0.066178,0.095845,0.154778", \ "0.045835,0.048912,0.052868,0.060392,0.075309,0.104942,0.163880", \ "0.056573,0.060193,0.064781,0.073531,0.089756,0.119533,0.178283", \ "0.069754,0.073907,0.079131,0.089000,0.107203,0.140062,0.199324", \ "0.085529,0.090230,0.096159,0.107196,0.127359,0.163504,0.227416"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.007778,0.008774,0.010100,0.012738,0.017986,0.028469,0.049420", \ "0.007775,0.008772,0.010099,0.012737,0.017987,0.028468,0.049420", \ "0.008110,0.009037,0.010281,0.012811,0.017987,0.028468,0.049419", \ "0.010586,0.011478,0.012664,0.015001,0.019643,0.029118,0.049433", \ "0.014963,0.015975,0.017252,0.019665,0.024216,0.033127,0.051388", \ "0.020662,0.021849,0.023354,0.026138,0.031113,0.040085,0.057543", \ "0.027484,0.028896,0.030665,0.033922,0.039640,0.049387,0.066908"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.022084,0.024922,0.028661,0.035965,0.050105,0.077541,0.131535", \ "0.022085,0.024921,0.028661,0.035964,0.050106,0.077529,0.131529", \ "0.022084,0.024919,0.028660,0.035964,0.050103,0.077535,0.131527", \ "0.024080,0.026496,0.029804,0.036493,0.050107,0.077525,0.131526", \ "0.030096,0.032444,0.035539,0.041545,0.053231,0.078197,0.131522", \ "0.036829,0.039260,0.042489,0.048771,0.060796,0.083571,0.132519", \ "0.044316,0.046831,0.050189,0.056763,0.069398,0.093225,0.138743"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.012019,0.013275,0.014916,0.018121,0.024376,0.036661,0.060984", \ "0.013451,0.014712,0.016360,0.019572,0.025835,0.038126,0.062453", \ "0.017268,0.018643,0.020399,0.023712,0.030022,0.042353,0.066709", \ "0.021105,0.022934,0.025208,0.029352,0.036713,0.049873,0.074399", \ "0.022936,0.025420,0.028486,0.033981,0.043410,0.059109,0.085930", \ "0.022260,0.025452,0.029382,0.036390,0.048332,0.067756,0.098873", \ "0.019020,0.022918,0.027719,0.036283,0.050836,0.074430,0.111343"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.029371,0.032233,0.036023,0.043526,0.058380,0.087867,0.146579", \ "0.030873,0.033756,0.037571,0.045110,0.060009,0.089533,0.148273", \ "0.036147,0.039030,0.042847,0.050400,0.065338,0.094932,0.153740", \ "0.044971,0.048075,0.052068,0.059605,0.074480,0.104030,0.162842", \ "0.055530,0.059180,0.063802,0.072599,0.088874,0.118631,0.177237", \ "0.068501,0.072698,0.077974,0.087900,0.106173,0.139077,0.198259", \ "0.084039,0.088794,0.094780,0.105899,0.126175,0.162374,0.226288"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.006127,0.007112,0.008427,0.011044,0.016273,0.026742,0.047693", \ "0.006119,0.007107,0.008423,0.011042,0.016275,0.026742,0.047694", \ "0.006820,0.007709,0.008880,0.011294,0.016316,0.026743,0.047692", \ "0.009654,0.010526,0.011653,0.013896,0.018385,0.027641,0.047723", \ "0.014207,0.015211,0.016485,0.018861,0.023300,0.031982,0.049988", \ "0.020033,0.021220,0.022712,0.025470,0.030379,0.039221,0.056404", \ "0.026987,0.028366,0.030116,0.033346,0.039001,0.048670,0.065952"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.016391,0.018950,0.022367,0.029178,0.042748,0.069797,0.123757", \ "0.016389,0.018951,0.022367,0.029179,0.042751,0.069794,0.123749", \ "0.016395,0.018950,0.022367,0.029175,0.042751,0.069798,0.123746", \ "0.018441,0.020606,0.023574,0.029746,0.042756,0.069804,0.123738", \ "0.022757,0.025190,0.028352,0.034431,0.045964,0.070495,0.123723", \ "0.027800,0.030447,0.033889,0.040454,0.052840,0.075929,0.124728", \ "0.033515,0.036393,0.040120,0.047209,0.060478,0.085022,0.130999"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.009915,0.010889,0.012160,0.014636,0.019454,0.028886,0.047524", \ "0.011446,0.012422,0.013697,0.016178,0.021001,0.030439,0.049081", \ "0.016109,0.017272,0.018730,0.021410,0.026298,0.035775,0.054448", \ "0.020161,0.021891,0.024037,0.027897,0.034530,0.045578,0.064642", \ "0.021865,0.024257,0.027210,0.032497,0.041514,0.056172,0.079360", \ "0.020940,0.024025,0.027837,0.034639,0.046196,0.064900,0.094014", \ "0.017335,0.021122,0.025787,0.034120,0.048284,0.071202,0.106729"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.031729,0.034564,0.038326,0.045792,0.060605,0.090042,0.148729", \ "0.033233,0.036091,0.039881,0.047390,0.062259,0.091753,0.150498", \ "0.038476,0.041326,0.045112,0.052627,0.067526,0.097098,0.155919", \ "0.047515,0.050521,0.054367,0.061826,0.076654,0.106151,0.164967", \ "0.058580,0.062096,0.066584,0.075181,0.091218,0.120793,0.179351", \ "0.072091,0.076127,0.081236,0.090941,0.108929,0.141515,0.200459", \ "0.088216,0.092802,0.098598,0.109452,0.129381,0.165235,0.228761"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.004990,0.005746,0.006744,0.008730,0.012684,0.020592,0.036417", \ "0.004978,0.005734,0.006735,0.008726,0.012686,0.020590,0.036416", \ "0.006222,0.006821,0.007612,0.009230,0.012804,0.020592,0.036415", \ "0.009883,0.010593,0.011497,0.013206,0.016394,0.022518,0.036609", \ "0.014826,0.015690,0.016794,0.018872,0.022616,0.029202,0.041301", \ "0.021003,0.022020,0.023330,0.025797,0.030227,0.037842,0.050895", \ "0.028328,0.029510,0.031050,0.033944,0.039121,0.047928,0.062637"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.017508,0.020087,0.023526,0.030374,0.043989,0.071077,0.125106", \ "0.017501,0.020086,0.023524,0.030374,0.043983,0.071069,0.125106", \ "0.017504,0.020086,0.023526,0.030372,0.043985,0.071075,0.125086", \ "0.019241,0.021469,0.024515,0.030801,0.043986,0.071071,0.125086", \ "0.023641,0.026087,0.029234,0.035317,0.046914,0.071653,0.125041", \ "0.028693,0.031344,0.034764,0.041332,0.053732,0.076875,0.125922", \ "0.034425,0.037283,0.040987,0.048076,0.061334,0.085911,0.131992"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.464166,1.498755,1.526765,1.583909,1.637396,1.682693,1.737988", \ "1.445342,1.503861,1.544126,1.592858,1.665157,1.733402,1.800998", \ "1.469781,1.490294,1.527075,1.566211,1.654426,1.728010,1.804411", \ "1.941603,1.903850,1.867280,1.800760,1.766144,1.797208,1.850590", \ "2.822406,2.754029,2.687518,2.549223,2.354543,2.137378,2.062796", \ "4.103647,4.077768,3.990770,3.803762,3.470559,3.033734,2.607171", \ "5.816604,5.776752,5.728653,5.560480,5.175206,4.499422,3.694909"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("6.490368,6.511376,6.568277,6.629906,6.641094,6.664173,6.679305", \ "6.324652,6.335299,6.403404,6.503740,6.588277,6.555653,6.651807", \ "6.378127,6.353899,6.389779,6.424874,6.462521,6.381325,6.610715", \ "6.918682,6.872253,6.863020,6.733328,6.731355,6.698192,6.589498", \ "7.906689,7.911560,7.847492,7.650713,7.483949,7.178200,7.081008", \ "9.250338,9.207058,9.104319,8.986306,8.794694,8.358049,7.922479", \ "11.455710,11.316170,11.127270,10.906630,10.620710,10.054500,9.333058"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.542043,0.563398,0.571635,0.586665,0.601688,0.617461,0.626612", \ "0.489774,0.512376,0.555112,0.591213,0.632757,0.665788,0.687940", \ "0.522603,0.494491,0.519809,0.549412,0.602708,0.655026,0.694703", \ "1.090041,1.022159,0.950287,0.840176,0.727401,0.727090,0.738336", \ "2.048099,1.962547,1.849405,1.662589,1.395849,1.089905,0.964390", \ "3.401412,3.338033,3.219902,2.991843,2.589131,2.039930,1.522041", \ "5.139556,5.071758,4.983878,4.795098,4.343510,3.562437,2.657368"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("5.181220,5.221926,5.274788,5.253958,5.335725,5.238522,5.110922", \ "4.979607,5.043760,5.107364,5.164699,5.144837,5.187445,5.397107", \ "5.081597,5.067554,5.098733,5.108697,5.142970,5.034205,5.291189", \ "5.625344,5.591471,5.520223,5.444831,5.433952,5.304519,5.351206", \ "6.328763,6.346288,6.406398,6.394493,6.197189,5.788252,5.516448", \ "7.834870,7.760216,7.691872,7.601698,7.551876,6.914212,6.387776", \ "9.991948,9.908175,9.768374,9.532458,9.162588,8.840007,8.095820"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.546090,0.563171,0.577986,0.593631,0.607698,0.615012,0.625103", \ "0.508712,0.536300,0.555647,0.590479,0.637311,0.670607,0.686755", \ "0.617476,0.582355,0.551699,0.572219,0.616155,0.664058,0.704806", \ "1.176079,1.110819,1.049797,0.942198,0.809446,0.760579,0.762605", \ "2.131650,2.059369,1.976924,1.805709,1.538510,1.229899,1.023271", \ "3.499122,3.421760,3.350738,3.144839,2.784416,2.244721,1.681548", \ "5.209862,5.192345,5.108373,4.933240,4.574695,3.862770,2.924948"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("5.138434,5.198465,5.251360,5.295611,5.313032,5.218153,5.091446", \ "4.932722,4.997107,5.058614,5.063486,5.213633,5.164333,5.349258", \ "5.067384,5.049121,5.058265,5.076671,5.104854,4.983399,5.237036", \ "5.646236,5.625930,5.586937,5.458784,5.383427,5.274106,5.314858", \ "6.433518,6.441300,6.476358,6.479795,6.278488,5.999342,5.526420", \ "7.949847,7.876157,7.811561,7.683106,7.661798,7.229358,6.635748", \ "10.238340,10.110420,9.968727,9.701563,9.417916,8.996337,8.245468"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("2.297290,2.338056,2.365767,2.418745,2.489251,2.563016,2.640114", \ "2.188142,2.228984,2.266951,2.320752,2.387803,2.481087,2.554213", \ "2.142897,2.181331,2.224208,2.269853,2.351075,2.431343,2.515118", \ "2.552681,2.532619,2.499582,2.452024,2.480336,2.509983,2.560589", \ "3.423727,3.373254,3.332317,3.230251,3.068164,2.879173,2.811179", \ "4.802482,4.746002,4.662407,4.501309,4.207216,3.814190,3.398805", \ "6.627640,6.572636,6.488125,6.325411,5.955064,5.336942,4.555412"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("8.119798,8.128603,8.175040,8.225907,8.247117,8.110297,7.996899", \ "7.977407,7.997442,8.057870,8.069211,8.087458,8.145614,8.238870", \ "7.828162,7.836465,7.840299,7.937232,7.998231,8.000502,8.139626", \ "7.896190,7.886870,7.912031,7.911929,7.937060,7.900398,8.065231", \ "8.283295,8.351032,8.361297,8.278836,8.217930,8.056846,8.153797", \ "9.200611,9.150819,9.093380,9.010507,8.958926,8.764602,8.455906", \ "10.866580,10.778310,10.612870,10.436000,10.172520,9.967204,9.417831"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.331119,1.340548,1.376972,1.406809,1.457457,1.494734,1.540729", \ "1.221134,1.230623,1.276716,1.315160,1.360286,1.403229,1.452001", \ "1.161685,1.188496,1.208600,1.258210,1.307573,1.350971,1.402355", \ "1.674745,1.637557,1.586063,1.499333,1.445395,1.448928,1.459939", \ "2.634670,2.569131,2.480064,2.333306,2.100025,1.831764,1.720401", \ "4.068653,3.994520,3.883147,3.669153,3.313516,2.829273,2.326783", \ "5.910221,5.856292,5.774275,5.558259,5.118623,4.415138,3.528342"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("6.837777,6.839791,6.881904,6.847042,6.948077,6.883045,6.754251", \ "6.673554,6.707670,6.763813,6.769142,6.837086,6.779799,6.669815", \ "6.511406,6.549800,6.543139,6.624723,6.700064,6.584866,6.844847", \ "6.600446,6.590107,6.565995,6.575219,6.619338,6.572542,6.523096", \ "6.739020,6.823397,6.885666,6.961107,6.826219,6.688394,6.857031", \ "7.724335,7.723563,7.661384,7.587570,7.636649,7.506192,7.217149", \ "9.430365,9.334548,9.242034,8.982272,8.815465,8.662880,8.179731"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.281561,1.312619,1.338922,1.382191,1.430370,1.474527,1.516958", \ "1.167379,1.196882,1.231430,1.270297,1.327903,1.383706,1.430626", \ "1.162611,1.154894,1.181991,1.235754,1.278928,1.337340,1.386989", \ "1.745580,1.702225,1.650195,1.578625,1.453352,1.448941,1.454462", \ "2.721514,2.667541,2.583877,2.437128,2.215587,1.935967,1.747844", \ "4.170228,4.121734,4.024216,3.836221,3.489468,3.009051,2.451866", \ "6.052460,5.997305,5.927426,5.746497,5.368513,4.680033,3.789543"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("6.774583,6.817513,6.859810,6.889287,6.876162,6.927979,6.734850", \ "6.634861,6.668464,6.671936,6.746898,6.735209,6.737832,6.895660", \ "6.502250,6.480116,6.520555,6.576356,6.650029,6.607245,6.795128", \ "6.595523,6.583784,6.557131,6.576127,6.611406,6.539880,6.472101", \ "6.798681,6.839368,6.899473,7.021146,6.922504,6.678835,6.708833", \ "7.834179,7.758564,7.717673,7.718009,7.672853,7.530834,7.226801", \ "9.593625,9.493310,9.397559,9.189611,8.909896,8.689309,8.243549"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.484233,1.498224,1.543929,1.585879,1.639430,1.683415,1.729344", \ "1.444251,1.498960,1.538390,1.590802,1.670983,1.734033,1.800648", \ "1.314124,1.339557,1.409942,1.488676,1.577516,1.688965,1.787216", \ "1.505833,1.486730,1.493063,1.488695,1.528982,1.627964,1.741417", \ "2.015448,1.982649,1.965122,1.903804,1.833093,1.740055,1.794221", \ "2.901343,2.868010,2.823022,2.714384,2.545638,2.311634,2.066903", \ "4.121489,4.098177,4.043453,3.937975,3.719277,3.313483,2.810372"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("9.293710,9.296211,9.339825,9.385538,9.363141,9.376603,9.291384", \ "9.118111,9.129143,9.182502,9.255515,9.331936,9.286691,9.187867", \ "9.119789,9.118783,9.161084,9.162813,9.242409,9.223187,9.427822", \ "9.616730,9.588688,9.555638,9.564679,9.534086,9.381534,9.525114", \ "10.597950,10.544760,10.520430,10.386210,10.271680,10.006180,9.730352", \ "11.894720,11.855520,11.845000,11.856180,11.560710,11.185230,10.880650", \ "13.888220,13.822710,13.791610,13.665100,13.420560,13.035380,12.375100"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.551071,0.574032,0.583650,0.593250,0.611392,0.617907,0.627168", \ "0.469989,0.504474,0.549373,0.584328,0.632137,0.666396,0.687730", \ "0.284302,0.316390,0.367363,0.433742,0.531846,0.611813,0.670114", \ "0.516174,0.516407,0.504131,0.481265,0.458343,0.546263,0.629358", \ "1.126118,1.084971,1.023844,0.942052,0.816624,0.676150,0.686756", \ "2.036128,2.010124,1.932745,1.817008,1.580916,1.272778,0.970873", \ "3.322738,3.278417,3.210221,3.061724,2.816059,2.333317,1.740914"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("7.895041,7.961638,7.986996,8.002275,8.088507,8.135449,8.114941", \ "7.717260,7.780865,7.842198,7.866785,7.978647,8.020439,8.037999", \ "7.723705,7.774817,7.766680,7.821908,7.917915,7.928532,7.860526", \ "8.220061,8.196876,8.222841,8.196144,8.077818,8.081130,8.134415", \ "9.065693,9.092536,9.172982,9.089816,8.974177,8.774872,8.497351", \ "10.346230,10.370100,10.372750,10.380070,10.228100,9.919638,9.354276", \ "12.396020,12.347210,12.292450,12.191680,12.077430,11.558480,10.923420"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("0.552419,0.571642,0.581108,0.599625,0.608318,0.619189,0.624483", \ "0.471565,0.510999,0.552757,0.591960,0.633097,0.665853,0.689800", \ "0.303147,0.334418,0.366569,0.449162,0.534418,0.610946,0.671285", \ "0.560565,0.541029,0.523320,0.511404,0.480846,0.557516,0.632362", \ "1.149386,1.116763,1.070585,0.979324,0.858295,0.737303,0.701641", \ "2.115083,2.069819,2.004300,1.874226,1.644068,1.342280,1.046154", \ "3.420437,3.380731,3.307095,3.166599,2.882919,2.410342,1.828791"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("8.574765,8.611233,8.619064,8.669412,8.681454,8.762673,8.870513", \ "8.403346,8.442325,8.498870,8.559316,8.646852,8.683012,8.826123", \ "8.386635,8.382487,8.456475,8.488922,8.494899,8.637829,8.659887", \ "8.882023,8.897191,8.880813,8.859661,8.765132,8.807831,8.874594", \ "9.727364,9.822515,9.838803,9.742771,9.656497,9.295325,9.310541", \ "11.018320,11.052270,11.072880,11.066880,10.928390,10.559630,10.208750", \ "13.064140,13.049040,13.010450,12.909530,12.804080,12.462510,11.699890"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("2.319514,2.336858,2.370235,2.431652,2.494980,2.562778,2.645901", \ "2.195750,2.227481,2.277313,2.323857,2.394655,2.481011,2.555943", \ "2.098878,2.108767,2.159049,2.235023,2.314811,2.409561,2.497075", \ "2.227810,2.235809,2.221034,2.226822,2.297661,2.387168,2.486869", \ "2.707723,2.702311,2.673714,2.640817,2.590133,2.521384,2.568026", \ "3.573503,3.548261,3.508517,3.434622,3.299683,3.095503,2.867732", \ "4.844378,4.789693,4.747517,4.662998,4.451215,4.104539,3.652979"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("10.874680,10.875280,10.917510,10.911470,11.005780,11.009770,10.993080", \ "10.764310,10.769880,10.817070,10.857570,10.887820,10.871100,10.793100", \ "10.655310,10.661290,10.709980,10.730380,10.826830,10.784890,10.987440", \ "10.697220,10.688300,10.726560,10.720950,10.779410,10.765350,10.701320", \ "11.097260,11.123410,11.096010,11.066050,11.057800,10.890600,10.783530", \ "11.833110,11.846630,11.850320,11.920700,11.819830,11.495130,11.455580", \ "13.276810,13.264040,13.225380,13.103690,13.063020,12.714030,12.432250"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.337115,1.348429,1.388434,1.409531,1.450280,1.494337,1.541544", \ "1.229295,1.236566,1.282366,1.311556,1.365986,1.406976,1.454566", \ "1.064764,1.120464,1.152622,1.206434,1.272825,1.334256,1.389100", \ "1.280115,1.274456,1.260548,1.234247,1.242134,1.307440,1.370867", \ "1.785502,1.774410,1.736569,1.679789,1.577622,1.445598,1.464623", \ "2.713382,2.665324,2.612572,2.490324,2.319272,2.072054,1.776192", \ "4.002951,3.970038,3.924375,3.790732,3.520173,3.121965,2.580116"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("9.476977,9.529814,9.559921,9.567915,9.651421,9.603916,9.688135", \ "9.393293,9.424013,9.459170,9.511786,9.579135,9.631787,9.594981", \ "9.258597,9.262745,9.314854,9.346280,9.336482,9.490023,9.552026", \ "9.293783,9.343985,9.332304,9.396524,9.374043,9.526247,9.457326", \ "9.524320,9.619600,9.731779,9.720379,9.728174,9.676822,9.538132", \ "10.308800,10.305120,10.340980,10.416960,10.454370,10.350090,10.189550", \ "11.767350,11.790370,11.734950,11.659180,11.664650,11.374630,11.013440"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("1.307359,1.334991,1.352336,1.400514,1.440297,1.476155,1.519053", \ "1.195621,1.229540,1.258286,1.283413,1.337630,1.391777,1.438276", \ "1.080444,1.109221,1.131521,1.186089,1.248636,1.318008,1.377724", \ "1.291933,1.283904,1.273172,1.247684,1.229691,1.295958,1.362529", \ "1.834352,1.795098,1.758317,1.691983,1.611201,1.490381,1.455087", \ "2.768336,2.728471,2.672821,2.551700,2.378739,2.117297,1.829101", \ "4.128085,4.084869,4.003205,3.874758,3.623802,3.198869,2.670377"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.447200,2.894400,5.788800,11.577600,23.155200,46.310400"); values ("10.143960,10.194880,10.193300,10.263700,10.304360,10.367990,10.482240", \ "10.033670,10.089500,10.085810,10.180230,10.175690,10.229100,10.359710", \ "9.918113,9.920306,9.970571,10.058700,10.114300,10.168460,10.251390", \ "9.952812,9.946119,10.013000,9.990379,10.103640,10.184640,10.271980", \ "10.205220,10.304720,10.400550,10.386520,10.377380,10.359690,10.301110", \ "10.949780,11.018600,11.047540,11.059390,11.153640,10.939280,10.778010", \ "12.430260,12.461630,12.415370,12.349220,12.359870,12.199090,11.752560"); } } } } /****************************************************************************************** Module : OAI22_X4 Cell Description : Combinational cell (OAI22_X4) with drive strength X4 *******************************************************************************************/ cell (OAI22_X4) { drive_strength : 4; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 136.103946; leakage_power () { when : "!A1 & !A2 & !B1 & !B2"; value : 27.859370; } leakage_power () { when : "!A1 & !A2 & !B1 & B2"; value : 139.893160; } leakage_power () { when : "!A1 & !A2 & B1 & !B2"; value : 139.893160; } leakage_power () { when : "!A1 & !A2 & B1 & B2"; value : 198.382250; } leakage_power () { when : "!A1 & A2 & !B1 & !B2"; value : 44.354627; } leakage_power () { when : "!A1 & A2 & !B1 & B2"; value : 130.647330; } leakage_power () { when : "!A1 & A2 & B1 & !B2"; value : 140.048480; } leakage_power () { when : "!A1 & A2 & B1 & B2"; value : 183.654658; } leakage_power () { when : "A1 & !A2 & !B1 & !B2"; value : 44.354626; } leakage_power () { when : "A1 & !A2 & !B1 & B2"; value : 140.048480; } leakage_power () { when : "A1 & !A2 & B1 & !B2"; value : 149.449410; } leakage_power () { when : "A1 & !A2 & B1 & B2"; value : 193.056380; } leakage_power () { when : "A1 & A2 & !B1 & !B2"; value : 32.680129; } leakage_power () { when : "A1 & A2 & !B1 & B2"; value : 183.642965; } leakage_power () { when : "A1 & A2 & B1 & !B2"; value : 193.043510; } leakage_power () { when : "A1 & A2 & B1 & B2"; value : 236.654594; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.448126; fall_capacitance : 5.619922; rise_capacitance : 6.448126; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.523128; fall_capacitance : 6.473107; rise_capacitance : 6.523128; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.521216; fall_capacitance : 5.505872; rise_capacitance : 6.521216; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.481659; fall_capacitance : 6.225973; rise_capacitance : 6.481659; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 92.468300; function : "!((A1 | A2) & (B1 | B2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.009397,0.010910,0.012589,0.015847,0.022177,0.034574,0.059090", \ "0.010796,0.012311,0.013997,0.017271,0.023626,0.036049,0.060584", \ "0.015563,0.017307,0.019140,0.022414,0.028657,0.041049,0.065578", \ "0.019221,0.021744,0.024406,0.029215,0.037503,0.051067,0.075331", \ "0.020471,0.023796,0.027291,0.033614,0.044560,0.062600,0.091022", \ "0.019023,0.023161,0.027499,0.035360,0.048959,0.071435,0.107069", \ "0.014609,0.019541,0.024727,0.034141,0.050446,0.077369,0.120143"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.016774,0.020173,0.023987,0.031496,0.046318,0.075747,0.134414", \ "0.017447,0.020859,0.024719,0.032331,0.047317,0.076919,0.135728", \ "0.022978,0.026127,0.029784,0.037155,0.051957,0.081530,0.140432", \ "0.031764,0.036047,0.040561,0.048700,0.063058,0.091990,0.150434", \ "0.041864,0.047079,0.052649,0.062829,0.080541,0.110105,0.167482", \ "0.053867,0.059917,0.066395,0.078315,0.099368,0.134765,0.193033", \ "0.067969,0.074821,0.082182,0.095714,0.119704,0.160624,0.227261"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.006096,0.007253,0.008576,0.011215,0.016489,0.027044,0.048179", \ "0.006040,0.007224,0.008561,0.011210,0.016488,0.027045,0.048176", \ "0.007658,0.008563,0.009495,0.011589,0.016434,0.027040,0.048177", \ "0.012197,0.013390,0.014661,0.016979,0.021062,0.028776,0.048164", \ "0.018235,0.019753,0.021373,0.024305,0.029394,0.037900,0.052825", \ "0.025853,0.027737,0.029733,0.033313,0.039428,0.049623,0.066222", \ "0.035069,0.037378,0.039807,0.044111,0.051343,0.063185,0.082410"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.015783,0.019213,0.023042,0.030459,0.044664,0.072042,0.125838", \ "0.015779,0.019210,0.023042,0.030459,0.044668,0.072042,0.125835", \ "0.016572,0.019486,0.022997,0.030456,0.044662,0.072040,0.125832", \ "0.023508,0.025818,0.027928,0.033217,0.045202,0.072041,0.125840", \ "0.031742,0.034212,0.037058,0.042507,0.052211,0.073939,0.125831", \ "0.041624,0.044051,0.047026,0.053012,0.064276,0.083918,0.127821", \ "0.053316,0.055623,0.058569,0.064776,0.077117,0.099473,0.138770"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.007197,0.008577,0.010137,0.013225,0.019353,0.031556,0.055921", \ "0.008524,0.009923,0.011503,0.014623,0.020789,0.033027,0.057415", \ "0.011960,0.013957,0.016012,0.019623,0.025820,0.038027,0.062408", \ "0.013509,0.016425,0.019433,0.024756,0.033691,0.047952,0.072185", \ "0.012673,0.016530,0.020497,0.027517,0.039333,0.058330,0.087680", \ "0.009114,0.013933,0.018874,0.027619,0.042337,0.066003,0.102805", \ "0.002638,0.008363,0.014266,0.024750,0.042409,0.070798,0.114963"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.016058,0.019452,0.023261,0.030753,0.045534,0.074874,0.133371", \ "0.016729,0.020133,0.023987,0.031583,0.046529,0.076047,0.134683", \ "0.022305,0.025431,0.029071,0.036415,0.051173,0.080657,0.139390", \ "0.030716,0.035085,0.039668,0.047901,0.062287,0.091123,0.149389", \ "0.040506,0.045827,0.051475,0.061760,0.079606,0.109247,0.166446", \ "0.052157,0.058358,0.064934,0.076975,0.098168,0.133721,0.192003", \ "0.065854,0.072892,0.080385,0.094076,0.118228,0.159313,0.226109"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.004228,0.005388,0.006716,0.009367,0.014660,0.025237,0.046381", \ "0.004190,0.005372,0.006709,0.009366,0.014660,0.025239,0.046382", \ "0.006726,0.007650,0.008652,0.010487,0.014849,0.025239,0.046382", \ "0.011342,0.012556,0.013847,0.016189,0.020304,0.027707,0.046397", \ "0.017542,0.019070,0.020684,0.023591,0.028667,0.037203,0.051885", \ "0.025358,0.027223,0.029213,0.032748,0.038794,0.048924,0.065542", \ "0.034824,0.037068,0.039451,0.043695,0.050828,0.062543,0.081720"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011160,0.014094,0.017458,0.024182,0.037605,0.064419,0.118047", \ "0.011156,0.014094,0.017458,0.024180,0.037600,0.064425,0.118039", \ "0.012072,0.014449,0.017445,0.024180,0.037597,0.064422,0.118039", \ "0.017131,0.019659,0.022375,0.027075,0.038184,0.064429,0.118041", \ "0.022654,0.025645,0.028922,0.034936,0.045293,0.066399,0.118049", \ "0.029511,0.032779,0.036464,0.043403,0.055773,0.076468,0.120085", \ "0.038004,0.041476,0.045453,0.053028,0.066931,0.090903,0.131121"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.005931,0.007002,0.008213,0.010611,0.015367,0.024840,0.043753", \ "0.007385,0.008467,0.009690,0.012107,0.016889,0.026385,0.045315", \ "0.010339,0.012101,0.013909,0.017070,0.022290,0.031730,0.050633", \ "0.011163,0.013783,0.016472,0.021200,0.029082,0.041552,0.061056", \ "0.009382,0.012888,0.016488,0.022814,0.033372,0.050167,0.075857", \ "0.004625,0.009036,0.013555,0.021523,0.034825,0.055973,0.088462", \ "-0.003332,0.001929,0.007358,0.016966,0.033056,0.058675,0.097998"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.015955,0.019347,0.023156,0.030647,0.045431,0.074773,0.133275", \ "0.016539,0.019925,0.023765,0.031351,0.046294,0.075822,0.134470", \ "0.022253,0.025358,0.028971,0.036271,0.050970,0.080408,0.139126", \ "0.030853,0.035199,0.039761,0.047958,0.062290,0.091039,0.149215", \ "0.040957,0.046235,0.051850,0.062091,0.079865,0.109412,0.166498", \ "0.053136,0.059234,0.065745,0.077703,0.098799,0.134221,0.192358", \ "0.067625,0.074491,0.081864,0.095395,0.119377,0.160287,0.226859"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.003224,0.004121,0.005150,0.007210,0.011329,0.019569,0.036043", \ "0.003230,0.004116,0.005148,0.007209,0.011329,0.019568,0.036043", \ "0.006043,0.006814,0.007628,0.009108,0.012023,0.019569,0.036047", \ "0.010481,0.011527,0.012628,0.014619,0.018057,0.023777,0.036642", \ "0.016477,0.017820,0.019227,0.021734,0.026053,0.033250,0.044873", \ "0.024091,0.025744,0.027494,0.030588,0.035813,0.044442,0.058420", \ "0.033365,0.035356,0.037476,0.041223,0.047464,0.057559,0.073832"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011167,0.014099,0.017458,0.024180,0.037605,0.064417,0.118043", \ "0.011152,0.014098,0.017459,0.024177,0.037601,0.064433,0.118042", \ "0.012094,0.014465,0.017455,0.024176,0.037600,0.064427,0.118043", \ "0.017066,0.019604,0.022333,0.027049,0.038190,0.064426,0.118045", \ "0.022413,0.025430,0.028734,0.034777,0.045176,0.066365,0.118044", \ "0.029012,0.032309,0.036031,0.043023,0.055467,0.076244,0.120023", \ "0.037234,0.040704,0.044693,0.052326,0.066337,0.090440,0.130835"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011865,0.013392,0.015093,0.018403,0.024821,0.037332,0.061954", \ "0.013082,0.014613,0.016319,0.019633,0.026057,0.038574,0.063199", \ "0.018164,0.019752,0.021444,0.024649,0.031023,0.043504,0.068102", \ "0.023449,0.025744,0.028183,0.032652,0.040457,0.053497,0.077873", \ "0.026497,0.029503,0.032691,0.038536,0.048827,0.066065,0.093696", \ "0.027238,0.030925,0.034838,0.042026,0.054718,0.076114,0.110644", \ "0.025571,0.029898,0.034517,0.043019,0.058058,0.083514,0.124805"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.020999,0.024324,0.028085,0.035530,0.050294,0.079682,0.138324", \ "0.022371,0.025748,0.029570,0.037119,0.052026,0.081552,0.140301", \ "0.027501,0.030802,0.034571,0.042084,0.057027,0.086695,0.145637", \ "0.034765,0.038735,0.043048,0.051129,0.065997,0.095539,0.154482", \ "0.043162,0.047914,0.053017,0.062486,0.079616,0.110060,0.168721", \ "0.053814,0.059346,0.065233,0.076058,0.095454,0.129601,0.189646", \ "0.066659,0.073028,0.079757,0.092032,0.113750,0.151542,0.217034"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.007799,0.008966,0.010297,0.012949,0.018241,0.028815,0.049959", \ "0.007797,0.008964,0.010297,0.012950,0.018241,0.028816,0.049960", \ "0.008751,0.009637,0.010731,0.013075,0.018214,0.028817,0.049959", \ "0.013435,0.014581,0.015810,0.018061,0.022075,0.030126,0.049964", \ "0.019430,0.020918,0.022518,0.025423,0.030459,0.038889,0.054064", \ "0.026726,0.028572,0.030566,0.034161,0.040348,0.050584,0.067158", \ "0.035382,0.037650,0.040074,0.044408,0.051782,0.063876,0.083277"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.015786,0.019212,0.023042,0.030460,0.044665,0.072043,0.125838", \ "0.015789,0.019213,0.023043,0.030460,0.044665,0.072043,0.125831", \ "0.015947,0.019262,0.023056,0.030461,0.044666,0.072041,0.125828", \ "0.020553,0.023046,0.025807,0.031963,0.044946,0.072042,0.125838", \ "0.027188,0.029609,0.032484,0.038267,0.049432,0.073329,0.125838", \ "0.035278,0.037474,0.040209,0.045932,0.057495,0.079781,0.127370", \ "0.044678,0.046701,0.049313,0.054913,0.066578,0.089767,0.134425"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.009207,0.010697,0.012357,0.015590,0.021894,0.034270,0.058775", \ "0.010413,0.011910,0.013576,0.016817,0.023129,0.035512,0.060020", \ "0.014901,0.016681,0.018542,0.021869,0.028107,0.040450,0.064926", \ "0.018364,0.020939,0.023648,0.028512,0.036852,0.050477,0.074719", \ "0.019679,0.023038,0.026563,0.032925,0.043905,0.061971,0.090433", \ "0.018737,0.022862,0.027181,0.034999,0.048542,0.070931,0.106511", \ "0.015423,0.020281,0.025379,0.034619,0.050664,0.077282,0.119791"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.020292,0.023610,0.027363,0.034788,0.049512,0.078809,0.137280", \ "0.021654,0.025026,0.028842,0.036374,0.051238,0.080678,0.139258", \ "0.026792,0.030089,0.033846,0.041338,0.056239,0.085822,0.144592", \ "0.033852,0.037867,0.042214,0.050338,0.065211,0.094666,0.153438", \ "0.042010,0.046835,0.051996,0.061532,0.078723,0.109187,0.167676", \ "0.052384,0.058030,0.063996,0.074920,0.094402,0.128605,0.188601", \ "0.064911,0.071419,0.078256,0.090672,0.112520,0.150394,0.215895"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.006142,0.007282,0.008595,0.011219,0.016484,0.027041,0.048180", \ "0.006110,0.007273,0.008592,0.011219,0.016484,0.027042,0.048181", \ "0.007953,0.008833,0.009774,0.011808,0.016538,0.027047,0.048179", \ "0.012627,0.013803,0.015048,0.017323,0.021340,0.028980,0.048192", \ "0.018580,0.020099,0.021720,0.024651,0.029711,0.038192,0.053056", \ "0.025851,0.027745,0.029768,0.033388,0.039597,0.049860,0.066478", \ "0.034483,0.036807,0.039273,0.043654,0.051031,0.063127,0.082568"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011162,0.014097,0.017461,0.024183,0.037605,0.064424,0.118043", \ "0.011165,0.014097,0.017459,0.024180,0.037605,0.064420,0.118040", \ "0.011357,0.014158,0.017474,0.024180,0.037599,0.064432,0.118039", \ "0.014860,0.017408,0.020248,0.025755,0.037916,0.064425,0.118053", \ "0.019539,0.022166,0.025183,0.031100,0.042456,0.065762,0.118044", \ "0.025450,0.028140,0.031265,0.037433,0.049436,0.072276,0.119605", \ "0.032464,0.035272,0.038548,0.044982,0.057477,0.081464,0.126713"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.007281,0.008458,0.009766,0.012303,0.017225,0.026857,0.045891", \ "0.008654,0.009824,0.011129,0.013665,0.018591,0.028225,0.047261", \ "0.012818,0.014393,0.016033,0.018951,0.023941,0.033516,0.052511", \ "0.015369,0.017682,0.020097,0.024422,0.031784,0.043685,0.062938", \ "0.015598,0.018643,0.021825,0.027543,0.037347,0.053301,0.078198", \ "0.013294,0.017069,0.021003,0.028102,0.040303,0.060278,0.091663", \ "0.008315,0.012782,0.017455,0.025903,0.040483,0.064446,0.102225"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.020192,0.023510,0.027263,0.034689,0.049411,0.078710,0.137185", \ "0.021495,0.024847,0.028649,0.036168,0.051028,0.080467,0.139053", \ "0.026724,0.030001,0.033737,0.041192,0.056042,0.085586,0.144343", \ "0.033819,0.037828,0.042164,0.050270,0.065106,0.094501,0.153214", \ "0.042164,0.046959,0.052090,0.061589,0.078732,0.109138,0.167544", \ "0.053040,0.058599,0.064494,0.075328,0.094699,0.128781,0.188653", \ "0.066277,0.072666,0.079393,0.091643,0.113301,0.150973,0.216263"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.004714,0.005609,0.006632,0.008673,0.012762,0.020968,0.037421", \ "0.004633,0.005565,0.006608,0.008665,0.012760,0.020969,0.037423", \ "0.007070,0.007776,0.008539,0.009936,0.013161,0.020978,0.037424", \ "0.011558,0.012567,0.013633,0.015565,0.018892,0.024554,0.037865", \ "0.017333,0.018656,0.020053,0.022572,0.026894,0.034038,0.045566", \ "0.024468,0.026129,0.027899,0.031047,0.036378,0.045150,0.059135", \ "0.032995,0.035058,0.037242,0.041086,0.047508,0.057888,0.074425"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011162,0.014096,0.017463,0.024178,0.037600,0.064419,0.118043", \ "0.011172,0.014102,0.017459,0.024184,0.037597,0.064418,0.118047", \ "0.011364,0.014162,0.017478,0.024179,0.037610,0.064431,0.118040", \ "0.014872,0.017419,0.020264,0.025769,0.037920,0.064424,0.118055", \ "0.019480,0.022121,0.025152,0.031078,0.042454,0.065769,0.118047", \ "0.025189,0.027907,0.031060,0.037271,0.049337,0.072225,0.119599", \ "0.032013,0.034823,0.038110,0.044580,0.057164,0.081279,0.126631"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.012210,0.013712,0.015381,0.018629,0.024950,0.037343,0.061859", \ "0.013778,0.015297,0.016983,0.020258,0.026611,0.039033,0.063572", \ "0.017705,0.019331,0.021105,0.024415,0.030801,0.043285,0.067880", \ "0.021651,0.023765,0.026011,0.030127,0.037500,0.050755,0.075474", \ "0.023668,0.026510,0.029509,0.034918,0.044259,0.059941,0.086909", \ "0.022966,0.026633,0.030483,0.037395,0.049235,0.068586,0.099747", \ "0.019214,0.023761,0.028505,0.037019,0.051562,0.075144,0.112083"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.025935,0.029296,0.033103,0.040639,0.055560,0.085141,0.144008", \ "0.026603,0.030010,0.033859,0.041459,0.056457,0.086120,0.145039", \ "0.031718,0.035037,0.038812,0.046321,0.061244,0.090888,0.149847", \ "0.043144,0.046745,0.050625,0.057837,0.072319,0.101476,0.160036", \ "0.056434,0.060927,0.065777,0.074812,0.090953,0.119597,0.177213", \ "0.071450,0.076703,0.082397,0.093102,0.112411,0.145607,0.202848", \ "0.088625,0.094625,0.101089,0.113255,0.135352,0.173844,0.237810"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.006077,0.007235,0.008560,0.011201,0.016479,0.027041,0.048182", \ "0.006064,0.007228,0.008557,0.011201,0.016478,0.027041,0.048180", \ "0.006651,0.007677,0.008858,0.011317,0.016457,0.027039,0.048180", \ "0.009343,0.010371,0.011526,0.013813,0.018416,0.027810,0.048179", \ "0.013851,0.015024,0.016300,0.018684,0.023171,0.032024,0.050314", \ "0.019741,0.021127,0.022623,0.025379,0.030269,0.039137,0.056591", \ "0.026912,0.028517,0.030274,0.033492,0.039102,0.048691,0.066032"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.021862,0.025183,0.028922,0.036227,0.050375,0.077837,0.131917", \ "0.021863,0.025183,0.028922,0.036227,0.050373,0.077839,0.131917", \ "0.021838,0.025177,0.028920,0.036226,0.050370,0.077836,0.131917", \ "0.025460,0.027966,0.030962,0.037180,0.050359,0.077834,0.131921", \ "0.033691,0.036385,0.039347,0.044825,0.055225,0.078709,0.131920", \ "0.042399,0.045464,0.048876,0.055266,0.066709,0.086945,0.133073", \ "0.051895,0.055265,0.059065,0.066275,0.079376,0.101983,0.142543"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.009996,0.011367,0.012920,0.016000,0.022120,0.034320,0.058682", \ "0.011472,0.012888,0.014478,0.017603,0.023770,0.036006,0.060393", \ "0.014753,0.016436,0.018246,0.021643,0.027918,0.040237,0.064690", \ "0.017073,0.019479,0.021977,0.026423,0.034108,0.047564,0.072263", \ "0.016979,0.020316,0.023750,0.029780,0.039849,0.056172,0.083515", \ "0.013955,0.018321,0.022784,0.030585,0.043501,0.063910,0.095880", \ "0.007874,0.013284,0.018803,0.028445,0.044378,0.069392,0.107549"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.025151,0.028517,0.032320,0.039843,0.054719,0.084221,0.142949", \ "0.025815,0.029225,0.033073,0.040659,0.055615,0.085196,0.143970", \ "0.030947,0.034264,0.038034,0.045527,0.060409,0.089970,0.148777", \ "0.042234,0.045891,0.049820,0.057060,0.071497,0.100564,0.158966", \ "0.055240,0.059792,0.064702,0.073815,0.090052,0.118698,0.176154", \ "0.069932,0.075279,0.081043,0.091831,0.111245,0.144593,0.201782", \ "0.086807,0.092896,0.099429,0.111697,0.133919,0.172563,0.236680"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.004239,0.005393,0.006717,0.009367,0.014661,0.025236,0.046379", \ "0.004242,0.005394,0.006718,0.009367,0.014660,0.025239,0.046381", \ "0.005284,0.006294,0.007459,0.009768,0.014722,0.025237,0.046382", \ "0.008373,0.009393,0.010516,0.012704,0.017138,0.026314,0.046416", \ "0.013120,0.014301,0.015564,0.017916,0.022278,0.030875,0.048884", \ "0.019345,0.020675,0.022124,0.024816,0.029618,0.038288,0.055422", \ "0.026859,0.028346,0.030017,0.033120,0.038608,0.048050,0.065108"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.016143,0.019131,0.022553,0.029372,0.042961,0.070055,0.124082", \ "0.016143,0.019131,0.022551,0.029371,0.042961,0.070055,0.124073", \ "0.016111,0.019124,0.022550,0.029371,0.042959,0.070053,0.124072", \ "0.019836,0.022050,0.024712,0.030398,0.042970,0.070045,0.124069", \ "0.025517,0.028495,0.031711,0.037581,0.047942,0.070973,0.124062", \ "0.031708,0.035262,0.039124,0.046178,0.058478,0.079303,0.125236", \ "0.038586,0.042646,0.047086,0.055251,0.069592,0.093602,0.134799"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.008569,0.009623,0.010816,0.013178,0.017868,0.027210,0.045862", \ "0.010131,0.011221,0.012441,0.014838,0.019563,0.028934,0.047604", \ "0.013986,0.015477,0.017036,0.019850,0.024806,0.034257,0.052989", \ "0.016381,0.018665,0.021034,0.025228,0.032275,0.043744,0.063056", \ "0.016179,0.019382,0.022687,0.028494,0.038161,0.053510,0.077349", \ "0.012944,0.017152,0.021470,0.029032,0.041552,0.061254,0.091276", \ "0.006544,0.011772,0.017124,0.026502,0.042010,0.066335,0.103115"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.027498,0.030826,0.034606,0.042093,0.056929,0.086385,0.145055", \ "0.028163,0.031536,0.035357,0.042912,0.057841,0.087395,0.146147", \ "0.033277,0.036564,0.040308,0.047765,0.062602,0.092129,0.150933", \ "0.044885,0.048391,0.052143,0.059314,0.073728,0.102757,0.161116", \ "0.058583,0.062949,0.067705,0.076581,0.092490,0.120976,0.178395", \ "0.073914,0.079033,0.084635,0.095182,0.114259,0.147179,0.204180", \ "0.091383,0.097249,0.103599,0.115600,0.137474,0.175709,0.239337"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.003542,0.004425,0.005436,0.007453,0.011475,0.019489,0.035502", \ "0.003548,0.004427,0.005437,0.007452,0.011475,0.019488,0.035501", \ "0.005136,0.005859,0.006668,0.008233,0.011690,0.019491,0.035498", \ "0.008784,0.009647,0.010585,0.012346,0.015581,0.021735,0.035750", \ "0.013914,0.014914,0.016011,0.018078,0.021827,0.028460,0.040708", \ "0.020528,0.021633,0.022889,0.025266,0.029596,0.037148,0.050243", \ "0.028465,0.029682,0.031108,0.033855,0.038850,0.047450,0.061999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.017260,0.020276,0.023724,0.030581,0.044207,0.071331,0.125381", \ "0.017259,0.020277,0.023721,0.030576,0.044206,0.071332,0.125390", \ "0.017245,0.020274,0.023723,0.030577,0.044207,0.071324,0.125391", \ "0.020392,0.022697,0.025463,0.031328,0.044197,0.071328,0.125387", \ "0.026383,0.029313,0.032477,0.038273,0.048683,0.072064,0.125374", \ "0.032756,0.036229,0.040028,0.046980,0.059149,0.079970,0.126371", \ "0.039720,0.043715,0.048090,0.056153,0.070339,0.094172,0.135528"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.014626,0.016151,0.017852,0.021160,0.027578,0.040092,0.064716", \ "0.016061,0.017589,0.019294,0.022608,0.029032,0.041551,0.066179", \ "0.020130,0.021713,0.023435,0.026758,0.033207,0.045756,0.070409", \ "0.025095,0.027036,0.029136,0.033054,0.040218,0.053293,0.078046", \ "0.028612,0.031184,0.033923,0.038942,0.047785,0.062999,0.089629", \ "0.029731,0.033023,0.036501,0.042847,0.053928,0.072446,0.102928", \ "0.028250,0.032291,0.036539,0.044266,0.057732,0.080114,0.115985"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.029898,0.033245,0.037042,0.044563,0.059466,0.089042,0.147897", \ "0.031401,0.034774,0.038594,0.046149,0.061090,0.090708,0.149589", \ "0.036678,0.040049,0.043872,0.051443,0.066427,0.096107,0.155069", \ "0.045550,0.049147,0.053098,0.060630,0.075558,0.105202,0.164169", \ "0.056234,0.060415,0.065014,0.073769,0.089989,0.119782,0.178561", \ "0.069332,0.074152,0.079374,0.089232,0.107424,0.140288,0.199578", \ "0.085028,0.090574,0.096427,0.107430,0.127569,0.163706,0.227632"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.007784,0.008953,0.010288,0.012947,0.018241,0.028816,0.049961", \ "0.007779,0.008951,0.010287,0.012945,0.018240,0.028816,0.049959", \ "0.008114,0.009202,0.010462,0.013016,0.018240,0.028816,0.049961", \ "0.010552,0.011602,0.012795,0.015159,0.019858,0.029447,0.049970", \ "0.014909,0.016084,0.017361,0.019778,0.024360,0.033375,0.051878", \ "0.020587,0.021970,0.023474,0.026251,0.031225,0.040259,0.057933", \ "0.027404,0.029032,0.030803,0.034056,0.039752,0.049533,0.067169"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.021861,0.025183,0.028922,0.036227,0.050372,0.077838,0.131923", \ "0.021862,0.025183,0.028922,0.036227,0.050371,0.077840,0.131920", \ "0.021861,0.025182,0.028922,0.036226,0.050370,0.077837,0.131916", \ "0.023908,0.026734,0.030048,0.036746,0.050379,0.077832,0.131919", \ "0.029921,0.032673,0.035763,0.041772,0.053479,0.078498,0.131920", \ "0.036645,0.039484,0.042709,0.048994,0.061029,0.083852,0.132916", \ "0.044140,0.047058,0.050404,0.056995,0.069636,0.093500,0.139119"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.011968,0.013452,0.015109,0.018341,0.024646,0.037025,0.061529", \ "0.013394,0.014884,0.016546,0.019786,0.026098,0.038484,0.062992", \ "0.017170,0.018791,0.020556,0.023892,0.030253,0.042677,0.067213", \ "0.020946,0.023093,0.025369,0.029512,0.036892,0.050128,0.074830", \ "0.022706,0.025624,0.028685,0.034172,0.043591,0.059311,0.086263", \ "0.021943,0.025701,0.029614,0.036613,0.048539,0.067953,0.099123", \ "0.018624,0.023218,0.027987,0.036526,0.051066,0.074629,0.111545"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.029116,0.032467,0.036260,0.043767,0.058628,0.088118,0.146835", \ "0.030617,0.033993,0.037809,0.045352,0.060254,0.089782,0.148534", \ "0.035895,0.039268,0.043087,0.050646,0.065589,0.095187,0.154009", \ "0.044682,0.048306,0.052294,0.059837,0.074723,0.104282,0.163108", \ "0.055170,0.059396,0.064034,0.072830,0.089105,0.118869,0.177494", \ "0.068068,0.072946,0.078204,0.088129,0.106396,0.139290,0.198499", \ "0.083519,0.089125,0.095037,0.106130,0.126386,0.162566,0.226484"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.006098,0.007257,0.008579,0.011217,0.016490,0.027046,0.048179", \ "0.006090,0.007251,0.008576,0.011217,0.016490,0.027046,0.048179", \ "0.006788,0.007834,0.009019,0.011460,0.016529,0.027049,0.048178", \ "0.009599,0.010620,0.011756,0.014017,0.018559,0.027926,0.048207", \ "0.014142,0.015309,0.016579,0.018955,0.023412,0.032194,0.050425", \ "0.019967,0.021330,0.022821,0.025573,0.030483,0.039361,0.056739", \ "0.026895,0.028491,0.030250,0.033469,0.039112,0.048792,0.066187"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.016142,0.019132,0.022551,0.029371,0.042961,0.070053,0.124069", \ "0.016142,0.019130,0.022551,0.029372,0.042961,0.070054,0.124083", \ "0.016140,0.019128,0.022551,0.029372,0.042962,0.070053,0.124093", \ "0.018227,0.020767,0.023742,0.029933,0.042974,0.070053,0.124081", \ "0.022514,0.025353,0.028511,0.034593,0.046163,0.070737,0.124067", \ "0.027531,0.030611,0.034045,0.040622,0.053033,0.076154,0.125079", \ "0.033234,0.036572,0.040285,0.047384,0.060671,0.085249,0.131332"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.009886,0.011038,0.012322,0.014821,0.019680,0.029189,0.047973", \ "0.011411,0.012566,0.013853,0.016357,0.021221,0.030735,0.049523", \ "0.016024,0.017397,0.018858,0.021551,0.026477,0.036031,0.054850", \ "0.020015,0.022051,0.024194,0.028050,0.034682,0.045761,0.064958", \ "0.021653,0.024463,0.027408,0.032688,0.041693,0.056344,0.079582", \ "0.020641,0.024279,0.028070,0.034857,0.046409,0.065093,0.094197", \ "0.016956,0.021417,0.026052,0.034360,0.048512,0.071404,0.106917"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.031481,0.034795,0.038562,0.046030,0.060847,0.090287,0.148952", \ "0.032983,0.036327,0.040120,0.047632,0.062503,0.092003,0.150715", \ "0.038229,0.041565,0.045354,0.052875,0.067779,0.097354,0.156155", \ "0.047238,0.050744,0.054590,0.062058,0.076898,0.106410,0.165201", \ "0.058229,0.062296,0.066802,0.075409,0.091444,0.121032,0.179596", \ "0.071680,0.076358,0.081455,0.091162,0.109143,0.141726,0.200699", \ "0.087713,0.093117,0.098841,0.109667,0.129582,0.165420,0.228950"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.004986,0.005871,0.006879,0.008885,0.012876,0.020863,0.036847", \ "0.004971,0.005861,0.006873,0.008883,0.012875,0.020862,0.036848", \ "0.006206,0.006919,0.007716,0.009362,0.012988,0.020865,0.036850", \ "0.009842,0.010673,0.011577,0.013293,0.016516,0.022736,0.037036", \ "0.014772,0.015780,0.016884,0.018955,0.022695,0.029331,0.041604", \ "0.020952,0.022128,0.023438,0.025899,0.030325,0.037952,0.051094", \ "0.028269,0.029632,0.031179,0.034068,0.039234,0.048041,0.062773"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("0.017258,0.020275,0.023722,0.030579,0.044208,0.071327,0.125391", \ "0.017256,0.020275,0.023722,0.030579,0.044206,0.071330,0.125389", \ "0.017258,0.020276,0.023722,0.030577,0.044207,0.071333,0.125385", \ "0.019030,0.021634,0.024696,0.030997,0.044213,0.071323,0.125381", \ "0.023412,0.026248,0.029405,0.035493,0.047114,0.071916,0.125368", \ "0.028439,0.031506,0.034937,0.041517,0.053929,0.077118,0.126275", \ "0.034162,0.037473,0.041177,0.048258,0.061532,0.086145,0.132326"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.906856,3.013414,3.080251,3.161221,3.269707,3.365156,3.469819", \ "2.881888,2.981069,3.071931,3.204814,3.338796,3.481814,3.590034", \ "2.939037,2.991275,3.058024,3.163092,3.293608,3.456783,3.613723", \ "3.873792,3.789280,3.707549,3.585448,3.519389,3.605799,3.700608", \ "5.629711,5.504261,5.346507,5.086000,4.685033,4.258096,4.125012", \ "8.208529,8.084150,7.941909,7.576801,6.925401,6.040650,5.188514", \ "11.650510,11.546780,11.403700,11.073170,10.291630,8.952481,7.355826"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("13.059930,13.197330,13.217590,13.279910,13.393870,13.488260,13.414620", \ "12.699840,12.870700,12.981970,13.100190,13.296240,13.467830,13.326770", \ "12.808720,12.879160,12.885330,12.935790,13.067120,13.220680,13.163950", \ "13.929720,13.903550,13.796390,13.676300,13.523040,13.463730,13.240010", \ "15.898180,15.883560,15.721810,15.471190,15.139160,14.527370,14.217360", \ "18.686510,18.507910,18.353240,18.054210,17.646500,16.763170,15.890420", \ "23.026330,22.665290,22.375590,21.816600,21.219540,20.243020,18.698200"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.066552,1.125386,1.155117,1.182592,1.215837,1.238426,1.248135", \ "0.979195,1.051620,1.097189,1.180556,1.265963,1.336612,1.378085", \ "1.039464,1.007201,1.027792,1.099687,1.210751,1.313238,1.394345", \ "2.183590,2.032217,1.872613,1.662899,1.451851,1.444794,1.472462", \ "4.128684,3.895674,3.693408,3.303387,2.755615,2.162585,1.925932", \ "6.817365,6.626436,6.423361,5.936938,5.148292,4.053832,3.032764", \ "10.227780,10.126830,9.951689,9.528727,8.658503,7.096712,5.273950"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("10.494740,10.597460,10.627770,10.675490,10.705960,10.753770,10.934760", \ "10.131860,10.230000,10.314390,10.427990,10.634690,10.659240,10.837800", \ "10.250280,10.219080,10.274730,10.334190,10.344810,10.596330,10.637970", \ "11.377970,11.300180,11.215750,11.007320,10.981390,10.868150,10.757960", \ "12.757230,12.829480,12.874220,12.862850,12.410370,11.909300,11.532780", \ "15.787390,15.598110,15.446300,15.202960,15.096160,14.322870,13.084210", \ "20.104140,19.844840,19.567180,19.141020,18.501910,17.711020,16.219170"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.092907,1.118039,1.143159,1.187408,1.218164,1.232098,1.250981", \ "0.994986,1.045903,1.121310,1.199208,1.267624,1.338881,1.380208", \ "1.229852,1.151895,1.086740,1.136806,1.227641,1.326872,1.400378", \ "2.369902,2.192523,2.077397,1.876380,1.595989,1.510649,1.516043", \ "4.244897,4.123208,3.933025,3.583525,3.040580,2.436294,2.036938", \ "7.004422,6.812977,6.630923,6.283454,5.544990,4.456296,3.336127", \ "10.382540,10.323170,10.194440,9.834880,9.087255,7.676588,5.812070"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("10.449640,10.504840,10.583380,10.713700,10.759880,10.763850,10.356770", \ "10.045660,10.080000,10.201260,10.368290,10.500760,10.670450,10.753750", \ "10.222460,10.205480,10.227200,10.253650,10.307300,10.303370,10.532640", \ "11.414270,11.361130,11.246960,11.048670,10.936440,10.798000,10.685630", \ "12.964950,12.938230,12.975040,13.019610,12.619320,11.755780,11.116710", \ "15.990400,15.816930,15.721890,15.468460,15.346330,14.499550,13.558650", \ "20.597000,20.246860,19.966020,19.516020,18.888400,18.020700,16.513990"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("4.555673,4.652682,4.765389,4.866489,4.992799,5.150128,5.293685", \ "4.400985,4.486827,4.541672,4.650554,4.788905,4.965667,5.118221", \ "4.318561,4.370556,4.445254,4.562313,4.686691,4.865487,5.023336", \ "5.093013,5.060283,4.998284,4.899384,4.949018,5.036993,5.125328", \ "6.849016,6.764725,6.651131,6.434999,6.102174,5.760411,5.633021", \ "9.539163,9.453662,9.273043,8.918288,8.389459,7.599518,6.783795", \ "13.273230,13.139030,12.958570,12.587340,11.858670,10.622810,9.070295"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("16.315560,16.424540,16.503690,16.515350,16.628180,16.276940,16.684590", \ "16.032220,16.166680,16.194820,16.379690,16.345180,16.639790,16.529810", \ "15.736260,15.752840,15.853900,15.928420,16.115850,16.315850,16.289810", \ "15.875260,15.886220,15.912240,15.943110,15.963840,15.848070,16.221190", \ "16.738730,16.785950,16.834720,16.667020,16.536880,16.454280,16.245950", \ "18.495790,18.356690,18.343840,18.258730,18.140540,17.722340,16.962000", \ "21.917460,21.596840,21.358380,20.851900,20.389910,19.977280,18.875000"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.650546,2.730373,2.758321,2.837172,2.915726,3.000231,3.072207", \ "2.442210,2.508133,2.563219,2.623582,2.715724,2.820555,2.903381", \ "2.347903,2.367297,2.445392,2.519757,2.599990,2.707209,2.811056", \ "3.358889,3.258233,3.137537,2.975954,2.893351,2.905455,2.911158", \ "5.277495,5.109691,4.934890,4.623971,4.185357,3.666465,3.430946", \ "8.101528,7.952757,7.719782,7.294259,6.593533,5.627504,4.635964", \ "11.858870,11.720330,11.508170,11.075920,10.196740,8.774543,7.017966"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("13.752030,13.772470,13.847250,13.837530,13.941000,13.880720,13.551590", \ "13.467090,13.532960,13.605550,13.685220,13.855480,14.001980,14.048860", \ "13.169700,13.176690,13.268380,13.297080,13.502710,13.598170,13.739070", \ "13.279270,13.250740,13.310900,13.350870,13.413000,13.205260,13.190540", \ "13.636330,13.709720,13.850880,14.125710,13.923060,13.667880,13.765300", \ "15.639820,15.500450,15.377780,15.316340,15.458580,14.952030,14.479600", \ "19.051080,18.793790,18.532510,18.181860,17.763760,17.269500,16.395190"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.551227,2.630988,2.691353,2.760071,2.864608,2.955286,3.045829", \ "2.322983,2.409940,2.452171,2.570842,2.659426,2.773344,2.870814", \ "2.339505,2.317977,2.393838,2.474570,2.576214,2.681136,2.782152", \ "3.487040,3.386432,3.283264,3.133153,2.896866,2.894022,2.915531", \ "5.448612,5.278789,5.137409,4.841451,4.421323,3.844969,3.494650", \ "8.358856,8.206343,7.994437,7.619219,6.955239,5.987445,4.871303", \ "12.113250,11.984880,11.819150,11.466500,10.657950,9.316645,7.529417"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("13.709250,13.769660,13.792660,13.846590,13.952800,13.899090,13.514130", \ "13.395980,13.416480,13.522160,13.562320,13.741430,13.837560,13.968540", \ "13.129940,13.162340,13.223010,13.236040,13.394560,13.293790,13.641320", \ "13.270190,13.237630,13.311790,13.307920,13.294540,13.141150,13.120900", \ "13.673470,13.740470,13.861410,14.058230,13.871280,13.695330,13.713670", \ "15.815030,15.663640,15.598050,15.486480,15.517120,15.190830,14.496970", \ "19.379330,19.110130,18.833480,18.476630,17.858250,17.683120,16.520930"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.922944,3.005271,3.089335,3.176292,3.262548,3.370840,3.456855", \ "2.863462,2.997125,3.078541,3.190678,3.322228,3.472165,3.589301", \ "2.627104,2.726189,2.809078,2.965010,3.171051,3.369495,3.562535", \ "2.965546,2.993800,2.993784,2.972583,3.041205,3.255498,3.483351", \ "4.049657,3.993093,3.913052,3.797494,3.661269,3.471963,3.586706", \ "5.758733,5.686453,5.605015,5.411945,5.074248,4.606005,4.130575", \ "8.242482,8.161508,8.046012,7.871049,7.387864,6.593589,5.597600"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("18.656920,18.748550,18.737830,18.818210,18.778950,19.087660,19.162350", \ "18.393980,18.418300,18.530970,18.554740,18.552040,18.934570,18.957880", \ "18.309310,18.394990,18.382240,18.510560,18.631150,18.349930,18.800840", \ "19.297550,19.232620,19.258660,19.210460,18.922960,19.124900,19.024320", \ "21.267190,21.229340,21.101090,20.955100,20.581110,19.944330,20.032980", \ "23.876300,23.846580,23.825320,23.728930,23.337790,22.686730,21.851930", \ "27.869470,27.759930,27.628430,27.323370,26.846940,26.046050,24.665260"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.110025,1.137634,1.145987,1.181664,1.213622,1.224551,1.240827", \ "0.936479,1.027100,1.089334,1.177714,1.258494,1.318272,1.363033", \ "0.567280,0.641807,0.737684,0.878310,1.056906,1.221572,1.331315", \ "1.056846,1.039807,1.004674,0.946577,0.916938,1.095023,1.254897", \ "2.241231,2.156036,2.047906,1.874741,1.626970,1.342969,1.358237", \ "4.122536,3.979463,3.876954,3.584357,3.158478,2.540480,1.926644", \ "6.675625,6.553233,6.395688,6.122699,5.589026,4.630990,3.462751"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("15.959870,16.003100,16.054550,16.166130,16.303280,16.267240,16.246840", \ "15.604810,15.644340,15.798430,15.910940,16.074290,16.039320,16.161660", \ "15.515420,15.652130,15.702310,15.675980,15.945940,15.854030,16.313410", \ "16.535140,16.552800,16.552170,16.450010,16.378400,16.250160,16.509650", \ "18.221760,18.345140,18.395040,18.178470,17.909820,17.604870,17.007170", \ "20.783310,20.777010,20.823960,20.836810,20.574250,19.767160,19.050050", \ "24.892070,24.810680,24.703110,24.498340,24.162590,23.366140,22.183860"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("1.109184,1.142027,1.159677,1.181208,1.213870,1.223189,1.235289", \ "0.923766,1.028635,1.093028,1.179889,1.264546,1.320490,1.362221", \ "0.594203,0.663168,0.737767,0.890053,1.054923,1.221079,1.334268", \ "1.117927,1.089591,1.055754,1.012149,0.960531,1.102371,1.259736", \ "2.333950,2.226895,2.113096,1.934138,1.719346,1.460105,1.387939", \ "4.262221,4.137611,4.004721,3.730268,3.241443,2.662507,2.071187", \ "6.899459,6.749599,6.575966,6.317219,5.759171,4.815158,3.640641"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("17.285520,17.282820,17.373540,17.452570,17.558660,17.538290,17.693240", \ "16.931490,17.020410,17.056960,17.240500,17.284160,17.538910,17.729000", \ "16.840860,16.934480,17.021050,17.114200,17.056660,17.242720,17.278010", \ "17.847140,17.880100,17.828150,17.843010,17.652470,17.600000,17.717130", \ "19.630600,19.689570,19.719900,19.635510,19.393200,19.010390,18.551580", \ "22.124670,22.138750,22.174960,22.262300,22.001360,21.316190,20.370640", \ "26.187780,26.124690,26.079350,25.878710,25.625720,24.826970,23.642620"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("4.587209,4.671568,4.746710,4.864838,4.978898,5.147303,5.302828", \ "4.385567,4.483470,4.545107,4.673784,4.806727,4.968212,5.116595", \ "4.161469,4.240139,4.349874,4.473987,4.633186,4.822927,5.007191", \ "4.459999,4.446656,4.470699,4.441579,4.598499,4.783135,4.961996", \ "5.427370,5.400877,5.350063,5.278504,5.178316,5.026705,5.134342", \ "7.090310,7.054111,6.976564,6.839585,6.570370,6.180088,5.730005", \ "9.638827,9.605069,9.512369,9.294902,8.888333,8.184135,7.279054"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("21.813000,21.900680,21.946910,22.002140,21.904460,22.219630,22.234340", \ "21.640410,21.692690,21.750910,21.847110,21.701700,21.752580,22.159510", \ "21.377810,21.478390,21.477510,21.633820,21.767740,21.924010,21.913230", \ "21.451820,21.527110,21.564370,21.532840,21.446680,21.861180,21.980420", \ "22.351690,22.292440,22.318120,22.201400,22.176250,22.221660,22.187010", \ "23.738960,23.780060,23.848070,23.824500,23.673060,23.460880,22.850110", \ "26.637320,26.580080,26.489080,26.365250,26.140040,25.839460,25.002510"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.674641,2.729631,2.760441,2.833746,2.918468,3.004457,3.080598", \ "2.458382,2.520924,2.555613,2.633126,2.725237,2.823064,2.909135", \ "2.134217,2.230468,2.322191,2.423270,2.542236,2.664969,2.781909", \ "2.551055,2.519683,2.505317,2.459192,2.481849,2.616636,2.741369", \ "3.602398,3.517799,3.455740,3.335846,3.154543,2.880368,2.925264", \ "5.416292,5.291087,5.163738,4.998039,4.635738,4.123517,3.534088", \ "8.042313,7.957324,7.806696,7.559987,7.061822,6.214786,5.148898"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("19.117110,19.115320,19.241570,19.313780,19.229100,19.534000,19.414880", \ "18.896570,18.918130,19.006250,19.146880,19.272830,19.254920,19.243040", \ "18.581160,18.693310,18.810520,18.891430,18.926390,18.985350,19.431430", \ "18.708360,18.766710,18.827290,18.930190,18.971330,19.050240,19.059690", \ "19.215640,19.405550,19.544590,19.545510,19.580980,19.350490,19.622030", \ "20.694880,20.759040,20.831620,20.921740,20.918140,20.626280,20.360980", \ "23.659320,23.627660,23.603010,23.476680,23.344940,22.847450,22.310360"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("2.609184,2.673625,2.735823,2.790044,2.865802,2.967581,3.044648", \ "2.385569,2.464001,2.524739,2.592235,2.674435,2.785832,2.866360", \ "2.157798,2.200129,2.277491,2.384847,2.504167,2.624878,2.755832", \ "2.551646,2.580407,2.547847,2.501473,2.465221,2.603402,2.721562", \ "3.655201,3.568589,3.531053,3.396803,3.227522,2.970545,2.920315", \ "5.555131,5.443145,5.306260,5.108243,4.728370,4.227213,3.637370", \ "8.224746,8.157757,8.012696,7.730662,7.205497,6.353422,5.316162"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,2.889630,5.779270,11.558500,23.117100,46.234100,92.468300"); values ("20.450020,20.443060,20.529530,20.597330,20.561890,20.827770,20.874180", \ "20.128100,20.236600,20.348430,20.438220,20.554990,20.689470,20.628530", \ "19.899700,20.038460,20.115810,20.207730,20.189640,20.378520,20.753210", \ "20.052710,20.072560,20.131550,20.168260,20.200740,20.331170,20.504120", \ "20.578130,20.772150,20.836850,20.796280,20.792210,20.735130,20.669210", \ "22.075480,22.084060,22.133330,22.204080,22.337440,22.015890,21.679910", \ "24.942320,24.968260,24.962180,24.852660,24.708170,24.393280,23.780960"); } } } } /****************************************************************************************** Module : OAI33_X1 Cell Description : Combinational cell (OAI33_X1) with drive strength X1 *******************************************************************************************/ cell (OAI33_X1) { drive_strength : 1; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 48.313952; leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & !B2 & !B3"; value : 10.446700; } leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & !B2 & B3"; value : 45.139380; } leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & B2 & !B3"; value : 45.139490; } leakage_power () { when : "!A1 & !A2 & !A3 & !B1 & B2 & B3"; value : 59.764210; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & !B2 & !B3"; value : 45.139600; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & !B2 & B3"; value : 59.760470; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & B2 & !B3"; value : 59.764320; } leakage_power () { when : "!A1 & !A2 & !A3 & B1 & B2 & B3"; value : 74.386180; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & !B2 & !B3"; value : 17.891543; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & !B2 & B3"; value : 32.355543; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & B2 & !B3"; value : 32.587390; } leakage_power () { when : "!A1 & !A2 & A3 & !B1 & B2 & B3"; value : 45.729860; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & !B2 & !B3"; value : 34.937100; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & !B2 & B3"; value : 45.767348; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & B2 & !B3"; value : 45.839508; } leakage_power () { when : "!A1 & !A2 & A3 & B1 & B2 & B3"; value : 60.383961; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & !B2 & !B3"; value : 17.891541; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & !B2 & B3"; value : 32.587401; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & B2 & !B3"; value : 32.819248; } leakage_power () { when : "!A1 & A2 & !A3 & !B1 & B2 & B3"; value : 45.961718; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & !B2 & !B3"; value : 35.168958; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & !B2 & B3"; value : 45.999206; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & B2 & !B3"; value : 46.071355; } leakage_power () { when : "!A1 & A2 & !A3 & B1 & B2 & B3"; value : 60.615819; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & !B2 & !B3"; value : 15.241493; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & !B2 & B3"; value : 45.727000; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & B2 & !B3"; value : 45.958847; } leakage_power () { when : "!A1 & A2 & A3 & !B1 & B2 & B3"; value : 59.102256; } leakage_power () { when : "!A1 & A2 & A3 & B1 & !B2 & !B3"; value : 48.308359; } leakage_power () { when : "!A1 & A2 & A3 & B1 & !B2 & B3"; value : 59.139775; } leakage_power () { when : "!A1 & A2 & A3 & B1 & B2 & !B3"; value : 59.211925; } leakage_power () { when : "!A1 & A2 & A3 & B1 & B2 & B3"; value : 73.756833; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & !B2 & !B3"; value : 17.891651; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & !B2 & B3"; value : 34.937100; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & B2 & !B3"; value : 35.168958; } leakage_power () { when : "A1 & !A2 & !A3 & !B1 & B2 & B3"; value : 48.311483; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & !B2 & !B3"; value : 37.518602; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & !B2 & B3"; value : 48.348949; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & B2 & !B3"; value : 48.421153; } leakage_power () { when : "A1 & !A2 & !A3 & B1 & B2 & B3"; value : 62.965441; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & !B2 & !B3"; value : 15.237753; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & !B2 & B3"; value : 45.764488; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & B2 & !B3"; value : 45.996445; } leakage_power () { when : "A1 & !A2 & A3 & !B1 & B2 & B3"; value : 59.139776; } leakage_power () { when : "A1 & !A2 & A3 & B1 & !B2 & !B3"; value : 48.345825; } leakage_power () { when : "A1 & !A2 & A3 & B1 & !B2 & B3"; value : 59.177199; } leakage_power () { when : "A1 & !A2 & A3 & B1 & B2 & !B3"; value : 59.248970; } leakage_power () { when : "A1 & !A2 & A3 & B1 & B2 & B3"; value : 73.793677; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & !B2 & !B3"; value : 15.241493; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & !B2 & B3"; value : 45.836637; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & B2 & !B3"; value : 46.068605; } leakage_power () { when : "A1 & A2 & !A3 & !B1 & B2 & B3"; value : 59.211925; } leakage_power () { when : "A1 & A2 & !A3 & B1 & !B2 & !B3"; value : 48.418018; } leakage_power () { when : "A1 & A2 & !A3 & B1 & !B2 & B3"; value : 59.248969; } leakage_power () { when : "A1 & A2 & !A3 & B1 & B2 & !B3"; value : 59.321592; } leakage_power () { when : "A1 & A2 & !A3 & B1 & B2 & B3"; value : 73.866301; } leakage_power () { when : "A1 & A2 & A3 & !B1 & !B2 & !B3"; value : 12.254882; } leakage_power () { when : "A1 & A2 & A3 & !B1 & !B2 & B3"; value : 60.377251; } leakage_power () { when : "A1 & A2 & A3 & !B1 & B2 & !B3"; value : 60.609208; } leakage_power () { when : "A1 & A2 & A3 & !B1 & B2 & B3"; value : 73.754192; } leakage_power () { when : "A1 & A2 & A3 & B1 & !B2 & !B3"; value : 62.958236; } leakage_power () { when : "A1 & A2 & A3 & B1 & !B2 & B3"; value : 73.791146; } leakage_power () { when : "A1 & A2 & A3 & B1 & B2 & !B3"; value : 73.863770; } leakage_power () { when : "A1 & A2 & A3 & B1 & B2 & B3"; value : 88.408917; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.679872; fall_capacitance : 1.399667; rise_capacitance : 1.679872; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.617460; fall_capacitance : 1.489542; rise_capacitance : 1.617460; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.573439; fall_capacitance : 1.567009; rise_capacitance : 1.573439; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.651275; fall_capacitance : 1.364427; rise_capacitance : 1.651275; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.611999; fall_capacitance : 1.468489; rise_capacitance : 1.611999; } pin (B3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.581480; fall_capacitance : 1.550381; rise_capacitance : 1.581480; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 11.482200; function : "!(((A1 | A2) | A3) & ((B1 | B2) | B3))"; timing () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & !B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011005,0.011733,0.012998,0.015182,0.018922,0.025317,0.036302", \ "0.012493,0.013218,0.014483,0.016670,0.020421,0.026834,0.037837", \ "0.018230,0.018954,0.020184,0.022205,0.025726,0.031999,0.042938", \ "0.024003,0.025030,0.026797,0.029728,0.034454,0.041860,0.053038", \ "0.027044,0.028405,0.030713,0.034602,0.040860,0.050675,0.065539", \ "0.026845,0.028530,0.031448,0.036279,0.044130,0.056435,0.075107", \ "0.023059,0.025090,0.028568,0.034342,0.043842,0.058725,0.081288"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.027967,0.030304,0.034419,0.041653,0.054359,0.076729,0.116266", \ "0.028206,0.030571,0.034745,0.042083,0.054951,0.077548,0.117295", \ "0.032688,0.034931,0.038926,0.046049,0.058712,0.081184,0.120979", \ "0.044052,0.046408,0.050412,0.057000,0.069095,0.090936,0.130093", \ "0.057735,0.060582,0.065448,0.073626,0.087021,0.108519,0.146645", \ "0.073737,0.076979,0.082565,0.092033,0.107677,0.132776,0.171866", \ "0.092344,0.095973,0.102302,0.112920,0.130512,0.158993,0.203689"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008344,0.008902,0.009885,0.011597,0.014570,0.019750,0.028832", \ "0.008279,0.008861,0.009859,0.011583,0.014568,0.019749,0.028834", \ "0.009125,0.009509,0.010243,0.011642,0.014340,0.019547,0.028782", \ "0.014032,0.014533,0.015383,0.016799,0.019124,0.022864,0.029847", \ "0.020431,0.021076,0.022172,0.023969,0.026895,0.031481,0.038575", \ "0.028446,0.029261,0.030605,0.032838,0.036394,0.041945,0.050438", \ "0.038067,0.039060,0.040734,0.043486,0.047774,0.054346,0.064263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034144,0.036404,0.040404,0.047468,0.059952,0.081933,0.120083", \ "0.034109,0.036383,0.040391,0.047465,0.059946,0.081925,0.120079", \ "0.033725,0.036101,0.040234,0.047408,0.059945,0.081933,0.120077", \ "0.036815,0.038629,0.041938,0.048108,0.059790,0.081919,0.120080", \ "0.045704,0.047424,0.050495,0.055110,0.064709,0.083504,0.120000", \ "0.056198,0.057883,0.060974,0.066532,0.076264,0.092343,0.123805", \ "0.069500,0.071084,0.074066,0.079627,0.089753,0.107192,0.135641"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & !B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010314,0.010971,0.012121,0.014124,0.017605,0.023664,0.034271", \ "0.011775,0.012434,0.013589,0.015603,0.019099,0.025179,0.035806", \ "0.016887,0.017616,0.018854,0.020909,0.024274,0.030292,0.040895", \ "0.021169,0.022238,0.024064,0.027097,0.031959,0.039544,0.050927", \ "0.022561,0.023980,0.026428,0.030492,0.037022,0.047171,0.062411", \ "0.020609,0.022406,0.025502,0.030625,0.038879,0.051685,0.070893", \ "0.014991,0.017178,0.020914,0.027106,0.037124,0.052675,0.075987"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.027965,0.030301,0.034416,0.041648,0.054356,0.076725,0.116261", \ "0.028204,0.030567,0.034742,0.042078,0.054943,0.077543,0.117287", \ "0.032687,0.034929,0.038923,0.046043,0.058707,0.081178,0.120971", \ "0.044049,0.046404,0.050410,0.056996,0.069093,0.090930,0.130086", \ "0.057727,0.060580,0.065443,0.073624,0.087019,0.108516,0.146641", \ "0.073733,0.076981,0.082563,0.092022,0.107675,0.132772,0.171861", \ "0.092355,0.095986,0.102300,0.112914,0.130507,0.158988,0.203683"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007047,0.007562,0.008474,0.010088,0.012954,0.018043,0.027084", \ "0.007003,0.007530,0.008453,0.010080,0.012953,0.018043,0.027083", \ "0.008306,0.008695,0.009334,0.010586,0.013060,0.017953,0.027081", \ "0.013086,0.013600,0.014470,0.015925,0.018290,0.022072,0.028741", \ "0.019501,0.020169,0.021277,0.023111,0.026067,0.030718,0.037876", \ "0.027628,0.028458,0.029834,0.032099,0.035698,0.041279,0.049784", \ "0.037428,0.038450,0.040156,0.042924,0.047256,0.053840,0.063720"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.032590,0.034721,0.038468,0.045045,0.056555,0.076697,0.112118", \ "0.032557,0.034699,0.038458,0.045041,0.056553,0.076691,0.112117", \ "0.032173,0.034419,0.038300,0.044988,0.056546,0.076690,0.112121", \ "0.035273,0.036955,0.040015,0.045685,0.056390,0.076672,0.112123", \ "0.043317,0.044980,0.047919,0.052570,0.061303,0.078262,0.112035", \ "0.052483,0.054166,0.057198,0.062573,0.071835,0.087014,0.115839", \ "0.063480,0.065147,0.068208,0.073747,0.083595,0.100341,0.127591"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008251,0.008765,0.009663,0.011225,0.013934,0.018639,0.026859", \ "0.009810,0.010321,0.011217,0.012780,0.015497,0.020215,0.028446", \ "0.014655,0.015298,0.016385,0.018183,0.021060,0.025676,0.033856", \ "0.017944,0.018897,0.020523,0.023211,0.027517,0.034174,0.044092", \ "0.018228,0.019511,0.021714,0.025368,0.031203,0.040215,0.053657", \ "0.014977,0.016610,0.019419,0.024076,0.031531,0.043025,0.060137", \ "0.007808,0.009813,0.013230,0.018901,0.028019,0.042102,0.063044"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.027876,0.030215,0.034333,0.041570,0.054277,0.076668,0.116244", \ "0.027924,0.030286,0.034453,0.041785,0.054656,0.077261,0.117064", \ "0.032576,0.034802,0.038771,0.045855,0.058467,0.080888,0.120661", \ "0.044187,0.046532,0.050521,0.057089,0.069161,0.090930,0.130001", \ "0.058151,0.061009,0.065851,0.074000,0.087364,0.108823,0.146911", \ "0.074518,0.077842,0.083344,0.092777,0.108388,0.133438,0.172469", \ "0.093738,0.097380,0.103640,0.114184,0.131705,0.160134,0.204744"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005341,0.005742,0.006454,0.007712,0.009935,0.013879,0.020885", \ "0.005275,0.005688,0.006417,0.007690,0.009928,0.013877,0.020883", \ "0.007285,0.007602,0.008136,0.009007,0.010624,0.013997,0.020865", \ "0.011884,0.012326,0.013069,0.014302,0.016299,0.019403,0.024198", \ "0.018025,0.018614,0.019575,0.021165,0.023726,0.027679,0.033688", \ "0.025843,0.026583,0.027802,0.029790,0.032937,0.037758,0.045032", \ "0.035349,0.036262,0.037774,0.040233,0.044058,0.049811,0.058356"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.033789,0.035921,0.039675,0.046261,0.057777,0.077921,0.113327", \ "0.033750,0.035898,0.039661,0.046256,0.057778,0.077920,0.113323", \ "0.033356,0.035611,0.039502,0.046204,0.057771,0.077918,0.113331", \ "0.036437,0.038125,0.041203,0.046894,0.057615,0.077902,0.113328", \ "0.044511,0.046157,0.049091,0.053639,0.062425,0.079444,0.113256", \ "0.053610,0.055327,0.058314,0.063662,0.072885,0.088019,0.116942", \ "0.064519,0.066204,0.069225,0.074747,0.084553,0.101238,0.128455"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & !B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007992,0.008595,0.009665,0.011553,0.014888,0.020779,0.031213", \ "0.009408,0.010015,0.011095,0.013003,0.016363,0.022284,0.032743", \ "0.013544,0.014365,0.015747,0.018006,0.021566,0.027399,0.037832", \ "0.015766,0.016987,0.019064,0.022441,0.027765,0.035855,0.047779", \ "0.014974,0.016647,0.019448,0.024014,0.031180,0.042064,0.058071", \ "0.010775,0.012906,0.016472,0.022247,0.031337,0.045117,0.065331", \ "0.002927,0.005485,0.009803,0.016807,0.027836,0.044600,0.069158"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.026792,0.029123,0.033227,0.040431,0.053085,0.075369,0.114742", \ "0.027020,0.029379,0.033540,0.040851,0.053678,0.076184,0.115776", \ "0.031553,0.033773,0.037747,0.044838,0.057443,0.079825,0.119455", \ "0.042768,0.045155,0.049220,0.055846,0.067866,0.089589,0.128564", \ "0.056059,0.058991,0.063903,0.072175,0.085669,0.107188,0.145155", \ "0.071590,0.075000,0.080668,0.090214,0.105981,0.131209,0.170388", \ "0.089861,0.093589,0.100005,0.110738,0.128463,0.157077,0.201915"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005197,0.005716,0.006635,0.008256,0.011131,0.016224,0.025256", \ "0.005141,0.005670,0.006605,0.008245,0.011129,0.016223,0.025255", \ "0.007401,0.007799,0.008488,0.009618,0.011758,0.016254,0.025255", \ "0.012262,0.012786,0.013663,0.015130,0.017510,0.021314,0.027636", \ "0.018836,0.019490,0.020598,0.022432,0.025373,0.030007,0.037161", \ "0.027168,0.027969,0.029332,0.031588,0.035134,0.040652,0.049091", \ "0.037176,0.038184,0.039876,0.042579,0.046833,0.053327,0.063093"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.021989,0.024020,0.027611,0.033968,0.045204,0.065155,0.100460", \ "0.021951,0.023996,0.027600,0.033964,0.045210,0.065152,0.100469", \ "0.021503,0.023673,0.027420,0.033897,0.045205,0.065140,0.100456", \ "0.024926,0.026441,0.029293,0.034688,0.045075,0.065092,0.100458", \ "0.030888,0.032749,0.035918,0.041408,0.050136,0.066780,0.100373", \ "0.037856,0.039850,0.043288,0.049294,0.059373,0.075654,0.104275", \ "0.046199,0.048301,0.051987,0.058443,0.069419,0.087494,0.116134"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006632,0.007100,0.007926,0.009382,0.011957,0.016507,0.024570", \ "0.008141,0.008611,0.009444,0.010914,0.013505,0.018075,0.026154", \ "0.011787,0.012511,0.013731,0.015713,0.018819,0.023535,0.031568", \ "0.013197,0.014297,0.016149,0.019153,0.023870,0.030994,0.041401", \ "0.011450,0.012961,0.015500,0.019618,0.026047,0.035737,0.049888", \ "0.006088,0.008041,0.011288,0.016550,0.024796,0.037202,0.055241", \ "-0.003162,-0.000808,0.003152,0.009562,0.019643,0.034861,0.056978"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.026672,0.029007,0.033107,0.040312,0.052973,0.075253,0.114633", \ "0.026717,0.029065,0.033213,0.040512,0.053336,0.075839,0.115451", \ "0.031417,0.033621,0.037567,0.044611,0.057159,0.079468,0.119050", \ "0.042868,0.045253,0.049294,0.055899,0.067881,0.089541,0.128397", \ "0.056404,0.059338,0.064249,0.072487,0.085965,0.107431,0.145327", \ "0.072378,0.075740,0.081370,0.090881,0.106616,0.131788,0.170892", \ "0.091214,0.094873,0.101235,0.111907,0.129568,0.158112,0.202860"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.003945,0.004339,0.005043,0.006293,0.008515,0.012458,0.019459", \ "0.003930,0.004329,0.005035,0.006292,0.008514,0.012458,0.019458", \ "0.006603,0.006934,0.007485,0.008414,0.009898,0.012924,0.019458", \ "0.011237,0.011693,0.012445,0.013694,0.015708,0.018855,0.023651", \ "0.017549,0.018125,0.019090,0.020677,0.023223,0.027155,0.033171", \ "0.025596,0.026318,0.027516,0.029476,0.032574,0.037336,0.044528", \ "0.035358,0.036269,0.037737,0.040116,0.043854,0.049518,0.057946"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.021986,0.024022,0.027607,0.033962,0.045214,0.065151,0.100463", \ "0.021941,0.023992,0.027596,0.033958,0.045215,0.065151,0.100465", \ "0.021486,0.023658,0.027411,0.033895,0.045196,0.065132,0.100449", \ "0.024885,0.026411,0.029265,0.034681,0.045073,0.065102,0.100461", \ "0.030723,0.032584,0.035780,0.041286,0.050016,0.066738,0.100373", \ "0.037485,0.039485,0.042955,0.048988,0.059130,0.075427,0.104171", \ "0.045576,0.047665,0.051396,0.057876,0.068922,0.087072,0.115769"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & !B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006632,0.007100,0.007926,0.009382,0.011957,0.016507,0.024570", \ "0.008141,0.008611,0.009444,0.010914,0.013505,0.018075,0.026154", \ "0.011787,0.012511,0.013731,0.015713,0.018819,0.023535,0.031568", \ "0.013197,0.014297,0.016149,0.019153,0.023870,0.030994,0.041401", \ "0.011450,0.012961,0.015500,0.019618,0.026047,0.035737,0.049888", \ "0.006088,0.008041,0.011288,0.016550,0.024796,0.037202,0.055241", \ "-0.003162,-0.000808,0.003152,0.009562,0.019643,0.034861,0.056978"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.026672,0.029007,0.033107,0.040312,0.052973,0.075253,0.114633", \ "0.026717,0.029065,0.033213,0.040512,0.053336,0.075839,0.115451", \ "0.031417,0.033621,0.037567,0.044611,0.057159,0.079468,0.119050", \ "0.042868,0.045253,0.049294,0.055899,0.067881,0.089541,0.128397", \ "0.056404,0.059338,0.064249,0.072487,0.085965,0.107431,0.145327", \ "0.072378,0.075740,0.081370,0.090881,0.106616,0.131788,0.170892", \ "0.091214,0.094873,0.101235,0.111907,0.129568,0.158112,0.202860"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.003945,0.004339,0.005043,0.006293,0.008515,0.012458,0.019459", \ "0.003930,0.004329,0.005035,0.006292,0.008514,0.012458,0.019458", \ "0.006603,0.006934,0.007485,0.008414,0.009898,0.012924,0.019458", \ "0.011237,0.011693,0.012445,0.013694,0.015708,0.018855,0.023651", \ "0.017549,0.018125,0.019090,0.020677,0.023223,0.027155,0.033171", \ "0.025596,0.026318,0.027516,0.029476,0.032574,0.037336,0.044528", \ "0.035358,0.036269,0.037737,0.040116,0.043854,0.049518,0.057946"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.021986,0.024022,0.027607,0.033962,0.045214,0.065151,0.100463", \ "0.021941,0.023992,0.027596,0.033958,0.045215,0.065151,0.100465", \ "0.021486,0.023658,0.027411,0.033895,0.045196,0.065132,0.100449", \ "0.024885,0.026411,0.029265,0.034681,0.045073,0.065102,0.100461", \ "0.030723,0.032584,0.035780,0.041286,0.050016,0.066738,0.100373", \ "0.037485,0.039485,0.042955,0.048988,0.059130,0.075427,0.104171", \ "0.045576,0.047665,0.051396,0.057876,0.068922,0.087072,0.115769"); } } timing () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & B3"; sdf_cond : "(A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006173,0.006598,0.007351,0.008682,0.011029,0.015182,0.022537", \ "0.007711,0.008138,0.008897,0.010238,0.012599,0.016768,0.024137", \ "0.011145,0.011840,0.013002,0.014895,0.017857,0.022318,0.029636", \ "0.012265,0.013321,0.015099,0.017981,0.022491,0.029298,0.039219", \ "0.010187,0.011645,0.014091,0.018048,0.024232,0.033513,0.047043", \ "0.004437,0.006312,0.009448,0.014527,0.022472,0.034402,0.051705", \ "-0.005313,-0.003024,0.000804,0.007008,0.016746,0.031417,0.052696"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.026597,0.028930,0.033030,0.040234,0.052896,0.075179,0.114557", \ "0.026584,0.028926,0.033065,0.040359,0.053179,0.075674,0.115286", \ "0.031379,0.033576,0.037511,0.044538,0.057060,0.079338,0.118885", \ "0.042905,0.045290,0.049328,0.055926,0.067894,0.089519,0.128344", \ "0.056531,0.059469,0.064383,0.072602,0.086065,0.107524,0.145408", \ "0.072644,0.076007,0.081617,0.091133,0.106838,0.131993,0.171077", \ "0.091663,0.095341,0.101656,0.112313,0.129934,0.158471,0.203178"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.003579,0.003939,0.004579,0.005719,0.007745,0.011344,0.017736", \ "0.003573,0.003935,0.004578,0.005719,0.007745,0.011344,0.017735", \ "0.006344,0.006653,0.007174,0.008042,0.009417,0.012018,0.017749", \ "0.010895,0.011323,0.012034,0.013221,0.015123,0.018080,0.022562", \ "0.017104,0.017653,0.018566,0.020075,0.022477,0.026209,0.031881", \ "0.025044,0.025732,0.026878,0.028739,0.031685,0.036200,0.043017", \ "0.034713,0.035581,0.036985,0.039261,0.042811,0.048192,0.056178"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.021985,0.024022,0.027610,0.033963,0.045211,0.065150,0.100469", \ "0.021940,0.023991,0.027593,0.033962,0.045203,0.065147,0.100466", \ "0.021480,0.023653,0.027407,0.033891,0.045206,0.065116,0.100448", \ "0.024871,0.026397,0.029260,0.034674,0.045082,0.065100,0.100462", \ "0.030668,0.032548,0.035727,0.041240,0.049997,0.066736,0.100379", \ "0.037352,0.039379,0.042859,0.048893,0.059041,0.075346,0.104129", \ "0.045330,0.047442,0.051181,0.057685,0.068754,0.086931,0.115646"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014090,0.014804,0.016050,0.018212,0.021940,0.028349,0.039381", \ "0.015451,0.016167,0.017417,0.019583,0.023318,0.029734,0.040770", \ "0.020883,0.021546,0.022686,0.024752,0.028405,0.034755,0.045750", \ "0.028025,0.028968,0.030601,0.033326,0.037779,0.044813,0.055734", \ "0.032609,0.033842,0.035976,0.039577,0.045464,0.054798,0.069099", \ "0.034176,0.035712,0.038363,0.042830,0.050190,0.061846,0.079775", \ "0.032473,0.034324,0.037496,0.042758,0.051615,0.065649,0.087235"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.038786,0.041086,0.045149,0.052312,0.064939,0.087255,0.126745", \ "0.039490,0.041829,0.045951,0.053218,0.065996,0.088478,0.128138", \ "0.043755,0.046062,0.050148,0.057375,0.070160,0.092747,0.132608", \ "0.052307,0.054675,0.058749,0.065889,0.078521,0.100941,0.140690", \ "0.062938,0.065734,0.070542,0.078757,0.092549,0.115145,0.154546", \ "0.077115,0.080271,0.085651,0.094795,0.110068,0.135144,0.175825", \ "0.094793,0.098364,0.104372,0.114569,0.131368,0.158772,0.202987"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010096,0.010647,0.011605,0.013301,0.016271,0.021466,0.030593", \ "0.010102,0.010651,0.011612,0.013307,0.016273,0.021467,0.030593", \ "0.010221,0.010693,0.011559,0.013129,0.016035,0.021368,0.030559", \ "0.015052,0.015546,0.016372,0.017762,0.020065,0.023836,0.031270", \ "0.021535,0.022174,0.023256,0.025030,0.027916,0.032475,0.039520", \ "0.029416,0.030226,0.031592,0.033805,0.037368,0.042928,0.051414", \ "0.038735,0.039739,0.041398,0.044171,0.048471,0.055109,0.065161"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034183,0.036431,0.040415,0.047469,0.059949,0.081928,0.120080", \ "0.034187,0.036431,0.040414,0.047467,0.059948,0.081937,0.120078", \ "0.034202,0.036443,0.040421,0.047467,0.059953,0.081933,0.120081", \ "0.036122,0.038047,0.041544,0.047960,0.060004,0.081937,0.120083", \ "0.043703,0.045436,0.048280,0.053351,0.063549,0.083242,0.120084", \ "0.052317,0.054017,0.057000,0.062506,0.072447,0.089619,0.122943", \ "0.063111,0.064657,0.067469,0.072803,0.082719,0.100522,0.131152"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.012975,0.013642,0.014810,0.016845,0.020383,0.026531,0.037250", \ "0.014333,0.015002,0.016174,0.018216,0.021761,0.027916,0.038640", \ "0.019509,0.020179,0.021327,0.023278,0.026780,0.032913,0.043617", \ "0.025310,0.026288,0.027962,0.030781,0.035358,0.042549,0.053554", \ "0.028411,0.029702,0.031943,0.035703,0.041794,0.051408,0.066045", \ "0.028434,0.030055,0.032860,0.037561,0.045228,0.057303,0.075710", \ "0.025196,0.027151,0.030509,0.036092,0.045329,0.059902,0.082139"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.038783,0.041086,0.045147,0.052313,0.064941,0.087251,0.126739", \ "0.039486,0.041826,0.045948,0.053217,0.065996,0.088473,0.128130", \ "0.043752,0.046060,0.050147,0.057372,0.070160,0.092742,0.132600", \ "0.052305,0.054673,0.058745,0.065890,0.078514,0.100936,0.140683", \ "0.062936,0.065731,0.070536,0.078753,0.092545,0.115140,0.154538", \ "0.077121,0.080268,0.085669,0.094801,0.110065,0.135140,0.175819", \ "0.094807,0.098378,0.104368,0.114563,0.131364,0.158768,0.202980"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008749,0.009270,0.010183,0.011811,0.014696,0.019812,0.028887", \ "0.008758,0.009276,0.010188,0.011814,0.014698,0.019813,0.028887", \ "0.009333,0.009755,0.010535,0.011967,0.014669,0.019801,0.028889", \ "0.014254,0.014746,0.015587,0.016992,0.019296,0.023035,0.030103", \ "0.020727,0.021380,0.022465,0.024257,0.027190,0.031765,0.038843", \ "0.028706,0.029527,0.030876,0.033110,0.036692,0.042276,0.050766", \ "0.038123,0.039119,0.040806,0.043586,0.047894,0.054552,0.064578"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.032628,0.034745,0.038479,0.045044,0.056554,0.076692,0.112113", \ "0.032631,0.034747,0.038479,0.045044,0.056556,0.076700,0.112118", \ "0.032649,0.034759,0.038485,0.045046,0.056555,0.076696,0.112115", \ "0.034560,0.036360,0.039608,0.045536,0.056609,0.076696,0.112128", \ "0.041359,0.043029,0.045925,0.050798,0.060139,0.078004,0.112132", \ "0.048928,0.050593,0.053500,0.058725,0.068092,0.084272,0.114978", \ "0.058067,0.059639,0.062436,0.067619,0.077071,0.093885,0.123094"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010182,0.010708,0.011629,0.013227,0.015995,0.020784,0.029107", \ "0.011643,0.012169,0.013090,0.014692,0.017463,0.022257,0.030583", \ "0.016883,0.017471,0.018480,0.020158,0.022878,0.027607,0.035899", \ "0.021532,0.022396,0.023895,0.026394,0.030430,0.036760,0.046310", \ "0.023369,0.024535,0.026548,0.029916,0.035373,0.043895,0.056817", \ "0.021933,0.023411,0.025949,0.030234,0.037147,0.047967,0.064355", \ "0.016985,0.018775,0.021840,0.026959,0.035357,0.048538,0.068491"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.038703,0.041008,0.045069,0.052239,0.064875,0.087200,0.126718", \ "0.039257,0.041582,0.045699,0.052959,0.065736,0.088234,0.127929", \ "0.043639,0.045938,0.050001,0.057202,0.069942,0.092483,0.132317", \ "0.052284,0.054640,0.058706,0.065833,0.078442,0.100817,0.140507", \ "0.063028,0.065817,0.070617,0.078812,0.092587,0.115139,0.154506", \ "0.077594,0.080732,0.086093,0.095182,0.110397,0.135417,0.176030", \ "0.095863,0.099381,0.105344,0.115471,0.132178,0.159491,0.203604"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006706,0.007107,0.007817,0.009076,0.011306,0.015261,0.022289", \ "0.006679,0.007085,0.007802,0.009068,0.011303,0.015260,0.022288", \ "0.008082,0.008383,0.008879,0.009820,0.011652,0.015278,0.022287", \ "0.012859,0.013285,0.014005,0.015199,0.017122,0.020149,0.025027", \ "0.019044,0.019610,0.020556,0.022112,0.024629,0.028534,0.034476", \ "0.026727,0.027453,0.028647,0.030600,0.033714,0.038553,0.045828", \ "0.035871,0.036772,0.038280,0.040724,0.044526,0.050327,0.058988"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.033827,0.035948,0.039687,0.046262,0.057776,0.077924,0.113325", \ "0.033830,0.035947,0.039687,0.046262,0.057776,0.077925,0.113324", \ "0.033848,0.035964,0.039693,0.046262,0.057776,0.077925,0.113326", \ "0.035776,0.037575,0.040831,0.046769,0.057835,0.077920,0.113332", \ "0.042648,0.044308,0.047134,0.052001,0.061364,0.079238,0.113333", \ "0.050197,0.051860,0.054724,0.059956,0.069292,0.085437,0.116175", \ "0.059228,0.060748,0.063579,0.068767,0.078177,0.094989,0.124172"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010264,0.010915,0.012054,0.014043,0.017505,0.023546,0.034137", \ "0.011610,0.012264,0.013410,0.015407,0.018878,0.024928,0.035525", \ "0.016423,0.017163,0.018423,0.020508,0.023910,0.029930,0.040507", \ "0.020412,0.021509,0.023374,0.026454,0.031399,0.039032,0.050477", \ "0.021633,0.023087,0.025595,0.029722,0.036320,0.046550,0.061866", \ "0.019787,0.021593,0.024733,0.029912,0.038219,0.051089,0.070358", \ "0.014619,0.016816,0.020559,0.026767,0.036792,0.052327,0.075612"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.037616,0.039913,0.043960,0.051095,0.063675,0.085906,0.125218", \ "0.038306,0.040640,0.044753,0.051989,0.064720,0.087129,0.126618", \ "0.042586,0.044885,0.048956,0.056156,0.068894,0.091387,0.131078", \ "0.051049,0.053456,0.057545,0.064677,0.077252,0.099576,0.139152", \ "0.061387,0.064222,0.069081,0.077335,0.091170,0.113794,0.153017", \ "0.075283,0.078493,0.083939,0.093163,0.108491,0.133614,0.174299", \ "0.092607,0.096216,0.102354,0.112659,0.129552,0.157041,0.201261"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007110,0.007617,0.008513,0.010116,0.012966,0.018047,0.027084", \ "0.007084,0.007596,0.008509,0.010115,0.012967,0.018045,0.027083", \ "0.008533,0.008916,0.009560,0.010790,0.013215,0.018028,0.027090", \ "0.013492,0.013991,0.014840,0.016251,0.018564,0.022303,0.028905", \ "0.019975,0.020632,0.021717,0.023531,0.026463,0.031041,0.038137", \ "0.027949,0.028790,0.030157,0.032411,0.035995,0.041576,0.050060", \ "0.037368,0.038401,0.040131,0.042910,0.047250,0.053886,0.063877"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022026,0.024049,0.027623,0.033969,0.045206,0.065122,0.100472", \ "0.022031,0.024049,0.027623,0.033970,0.045209,0.065122,0.100478", \ "0.022050,0.024063,0.027630,0.033969,0.045220,0.065137,0.100461", \ "0.024090,0.025770,0.028842,0.034519,0.045262,0.065115,0.100452", \ "0.029416,0.031178,0.034296,0.039785,0.048902,0.066506,0.100444", \ "0.035525,0.037350,0.040518,0.046129,0.055973,0.072871,0.103369", \ "0.042868,0.044712,0.047956,0.053719,0.063867,0.081470,0.111586"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008208,0.008718,0.009611,0.011166,0.013864,0.018558,0.026765", \ "0.009671,0.010180,0.011072,0.012629,0.015331,0.020029,0.028240", \ "0.014228,0.014885,0.015999,0.017827,0.020734,0.025389,0.033564", \ "0.017228,0.018208,0.019877,0.022623,0.026999,0.033727,0.043708", \ "0.017334,0.018652,0.020912,0.024630,0.030555,0.039658,0.053179", \ "0.014130,0.015797,0.018656,0.023374,0.030888,0.042468,0.059657", \ "0.007377,0.009394,0.012830,0.018522,0.027658,0.041759,0.062698"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.037506,0.039804,0.043849,0.050984,0.063565,0.085794,0.125111", \ "0.038046,0.040371,0.044474,0.051700,0.064419,0.086823,0.126315", \ "0.042449,0.044737,0.048781,0.055944,0.068628,0.091068,0.130711", \ "0.050993,0.053381,0.057478,0.064587,0.077131,0.099405,0.138887", \ "0.061438,0.064268,0.069106,0.077342,0.091158,0.113730,0.152892", \ "0.075715,0.078900,0.084321,0.093479,0.108764,0.133810,0.174418", \ "0.093587,0.097177,0.103260,0.113472,0.130290,0.157662,0.201778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005427,0.005818,0.006517,0.007759,0.009963,0.013892,0.020891", \ "0.005379,0.005780,0.006493,0.007746,0.009958,0.013891,0.020891", \ "0.007524,0.007826,0.008349,0.009211,0.010800,0.014116,0.020900", \ "0.012289,0.012714,0.013440,0.014635,0.016581,0.019631,0.024388", \ "0.018497,0.019070,0.020014,0.021577,0.024086,0.028013,0.033954", \ "0.026222,0.026959,0.028164,0.030125,0.033240,0.038049,0.045299", \ "0.035423,0.036346,0.037863,0.040317,0.044133,0.049906,0.058486"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022031,0.024056,0.027625,0.033967,0.045204,0.065122,0.100471", \ "0.022034,0.024050,0.027626,0.033962,0.045202,0.065118,0.100476", \ "0.022050,0.024065,0.027632,0.033969,0.045208,0.065119,0.100467", \ "0.024109,0.025778,0.028856,0.034530,0.045264,0.065115,0.100457", \ "0.029387,0.031159,0.034289,0.039782,0.048910,0.066491,0.100454", \ "0.035339,0.037210,0.040376,0.046015,0.055891,0.072813,0.103354", \ "0.042443,0.044301,0.047590,0.053398,0.063590,0.081274,0.111453"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008208,0.008718,0.009611,0.011166,0.013864,0.018558,0.026765", \ "0.009671,0.010180,0.011072,0.012629,0.015331,0.020029,0.028240", \ "0.014228,0.014885,0.015999,0.017827,0.020734,0.025389,0.033564", \ "0.017228,0.018208,0.019877,0.022623,0.026999,0.033727,0.043708", \ "0.017334,0.018652,0.020912,0.024630,0.030555,0.039658,0.053179", \ "0.014130,0.015797,0.018656,0.023374,0.030888,0.042468,0.059657", \ "0.007377,0.009394,0.012830,0.018522,0.027658,0.041759,0.062698"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.037506,0.039804,0.043849,0.050984,0.063565,0.085794,0.125111", \ "0.038046,0.040371,0.044474,0.051700,0.064419,0.086823,0.126315", \ "0.042449,0.044737,0.048781,0.055944,0.068628,0.091068,0.130711", \ "0.050993,0.053381,0.057478,0.064587,0.077131,0.099405,0.138887", \ "0.061438,0.064268,0.069106,0.077342,0.091158,0.113730,0.152892", \ "0.075715,0.078900,0.084321,0.093479,0.108764,0.133810,0.174418", \ "0.093587,0.097177,0.103260,0.113472,0.130290,0.157662,0.201778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005427,0.005818,0.006517,0.007759,0.009963,0.013892,0.020891", \ "0.005379,0.005780,0.006493,0.007746,0.009958,0.013891,0.020891", \ "0.007524,0.007826,0.008349,0.009211,0.010800,0.014116,0.020900", \ "0.012289,0.012714,0.013440,0.014635,0.016581,0.019631,0.024388", \ "0.018497,0.019070,0.020014,0.021577,0.024086,0.028013,0.033954", \ "0.026222,0.026959,0.028164,0.030125,0.033240,0.038049,0.045299", \ "0.035423,0.036346,0.037863,0.040317,0.044133,0.049906,0.058486"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022031,0.024056,0.027625,0.033967,0.045204,0.065122,0.100471", \ "0.022034,0.024050,0.027626,0.033962,0.045202,0.065118,0.100476", \ "0.022050,0.024065,0.027632,0.033969,0.045208,0.065119,0.100467", \ "0.024109,0.025778,0.028856,0.034530,0.045264,0.065115,0.100457", \ "0.029387,0.031159,0.034289,0.039782,0.048910,0.066491,0.100454", \ "0.035339,0.037210,0.040376,0.046015,0.055891,0.072813,0.103354", \ "0.042443,0.044301,0.047590,0.053398,0.063590,0.081274,0.111453"); } } timing () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007544,0.008012,0.008832,0.010257,0.012728,0.017020,0.024520", \ "0.009045,0.009510,0.010326,0.011750,0.014222,0.018518,0.026020", \ "0.013445,0.014073,0.015137,0.016884,0.019659,0.023975,0.031432", \ "0.016086,0.017029,0.018635,0.021264,0.025456,0.031884,0.041407", \ "0.015798,0.017072,0.019248,0.022827,0.028508,0.037241,0.050174", \ "0.012134,0.013744,0.016503,0.021062,0.028304,0.039429,0.055911", \ "0.004823,0.006776,0.010113,0.015612,0.024439,0.038019,0.058166"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.037435,0.039732,0.043775,0.050909,0.063490,0.085713,0.125037", \ "0.037933,0.040251,0.044347,0.051566,0.064276,0.086665,0.126172", \ "0.042404,0.044686,0.048722,0.055871,0.068532,0.090938,0.130562", \ "0.050972,0.053361,0.057455,0.064556,0.077091,0.099329,0.138794", \ "0.061465,0.064288,0.069126,0.077351,0.091155,0.113723,0.152859", \ "0.075866,0.079044,0.084455,0.093597,0.108860,0.133885,0.174464", \ "0.093922,0.097503,0.103570,0.113780,0.130542,0.157888,0.201960"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.004934,0.005294,0.005933,0.007067,0.009080,0.012663,0.019048", \ "0.004875,0.005246,0.005899,0.007048,0.009072,0.012661,0.019047", \ "0.007215,0.007499,0.007985,0.008793,0.010169,0.013038,0.019057", \ "0.011883,0.012288,0.012974,0.014109,0.015947,0.018815,0.023208", \ "0.017991,0.018534,0.019432,0.020914,0.023295,0.027000,0.032617", \ "0.025615,0.026323,0.027469,0.029330,0.032302,0.036868,0.043724", \ "0.034743,0.035626,0.037064,0.039407,0.043032,0.048535,0.056673"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022031,0.024057,0.027625,0.033966,0.045213,0.065124,0.100470", \ "0.022033,0.024051,0.027628,0.033962,0.045207,0.065136,0.100472", \ "0.022050,0.024064,0.027632,0.033969,0.045206,0.065136,0.100470", \ "0.024116,0.025784,0.028861,0.034529,0.045265,0.065117,0.100458", \ "0.029382,0.031153,0.034282,0.039779,0.048921,0.066509,0.100444", \ "0.035280,0.037154,0.040313,0.045968,0.055861,0.072792,0.103347", \ "0.042299,0.044159,0.047463,0.053267,0.063496,0.081200,0.111410"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.015265,0.016026,0.017350,0.019633,0.023533,0.030161,0.041444", \ "0.016485,0.017247,0.018571,0.020852,0.024751,0.031378,0.042665", \ "0.021841,0.022507,0.023721,0.025900,0.029714,0.036281,0.047527", \ "0.030008,0.030929,0.032528,0.035206,0.039587,0.046514,0.057460", \ "0.035765,0.036964,0.039046,0.042550,0.048289,0.057420,0.071461", \ "0.038788,0.040264,0.042801,0.047099,0.054212,0.065546,0.083065", \ "0.038918,0.040668,0.043683,0.048673,0.057150,0.070669,0.091638"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.042268,0.044564,0.048624,0.055782,0.068417,0.090725,0.130213", \ "0.043470,0.045807,0.049928,0.057192,0.069975,0.092454,0.132120", \ "0.048067,0.050370,0.054457,0.061687,0.074477,0.097066,0.136928", \ "0.055566,0.057871,0.061931,0.069078,0.081738,0.104178,0.143952", \ "0.063472,0.066095,0.070651,0.078525,0.091983,0.114570,0.154109", \ "0.072936,0.075805,0.080738,0.089278,0.103826,0.128301,0.169088", \ "0.085585,0.088747,0.094176,0.103342,0.118889,0.144837,0.188003"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011933,0.012470,0.013416,0.015091,0.018032,0.023194,0.032292", \ "0.011859,0.012408,0.013366,0.015052,0.018006,0.023180,0.032283", \ "0.011401,0.011922,0.012856,0.014543,0.017610,0.023056,0.032253", \ "0.016129,0.016612,0.017426,0.018800,0.021072,0.024909,0.032640", \ "0.022835,0.023461,0.024498,0.026239,0.029063,0.033521,0.040482", \ "0.030887,0.031667,0.032975,0.035150,0.038618,0.044076,0.052437", \ "0.040364,0.041325,0.042948,0.045625,0.049787,0.056286,0.066170"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034185,0.036431,0.040415,0.047467,0.059950,0.081938,0.120087", \ "0.034186,0.036431,0.040414,0.047468,0.059950,0.081933,0.120084", \ "0.034195,0.036436,0.040415,0.047467,0.059950,0.081929,0.120083", \ "0.035112,0.037179,0.040896,0.047639,0.059963,0.081941,0.120082", \ "0.040709,0.042578,0.045812,0.051538,0.062478,0.082890,0.120084", \ "0.048381,0.050132,0.053312,0.059150,0.069720,0.088248,0.122652", \ "0.058916,0.060421,0.063230,0.068579,0.078709,0.097347,0.129943"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013790,0.014517,0.015781,0.017969,0.021728,0.028160,0.039202", \ "0.015032,0.015756,0.017016,0.019204,0.022954,0.029384,0.040422", \ "0.020376,0.021044,0.022188,0.024244,0.027912,0.034285,0.045285", \ "0.027273,0.028232,0.029881,0.032646,0.037156,0.044252,0.055215", \ "0.031668,0.032922,0.035089,0.038731,0.044684,0.054083,0.068460", \ "0.033286,0.034834,0.037510,0.042011,0.049404,0.061103,0.079076", \ "0.032077,0.033919,0.037088,0.042339,0.051159,0.065136,0.086667"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.042268,0.044562,0.048622,0.055780,0.068415,0.090722,0.130208", \ "0.043469,0.045804,0.049925,0.057189,0.069972,0.092449,0.132113", \ "0.048064,0.050368,0.054457,0.061685,0.074476,0.097063,0.136921", \ "0.055563,0.057872,0.061927,0.069076,0.081733,0.104173,0.143945", \ "0.063465,0.066092,0.070647,0.078523,0.091981,0.114567,0.154102", \ "0.072932,0.075803,0.080736,0.089272,0.103822,0.128296,0.169081", \ "0.085594,0.088744,0.094171,0.103345,0.118885,0.144833,0.187996"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010408,0.010945,0.011880,0.013531,0.016447,0.021572,0.030632", \ "0.010333,0.010873,0.011820,0.013487,0.016409,0.021548,0.030622", \ "0.010404,0.010866,0.011718,0.013276,0.016156,0.021470,0.030610", \ "0.015433,0.015913,0.016732,0.018112,0.020368,0.024093,0.031439", \ "0.022158,0.022787,0.023842,0.025579,0.028419,0.032881,0.039853", \ "0.030335,0.031117,0.032426,0.034586,0.038047,0.043503,0.051848", \ "0.039992,0.040950,0.042537,0.045211,0.049343,0.055802,0.065639"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.032631,0.034746,0.038479,0.045046,0.056556,0.076692,0.112122", \ "0.032632,0.034747,0.038480,0.045045,0.056556,0.076699,0.112119", \ "0.032641,0.034752,0.038482,0.045046,0.056553,0.076691,0.112120", \ "0.033557,0.035495,0.038960,0.045218,0.056574,0.076695,0.112118", \ "0.038602,0.040400,0.043567,0.049014,0.059064,0.077647,0.112128", \ "0.045283,0.046976,0.050025,0.055566,0.065496,0.082874,0.114697", \ "0.054215,0.055723,0.058507,0.063670,0.073260,0.090776,0.121801"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010497,0.011071,0.012071,0.013800,0.016759,0.021802,0.030418", \ "0.011900,0.012470,0.013461,0.015180,0.018126,0.023157,0.031766", \ "0.017518,0.018109,0.019121,0.020801,0.023568,0.028466,0.037004", \ "0.023116,0.023974,0.025444,0.027909,0.031894,0.038137,0.047585", \ "0.026117,0.027250,0.029194,0.032473,0.037788,0.046136,0.058826", \ "0.026123,0.027544,0.029977,0.034079,0.040732,0.051232,0.067228", \ "0.023072,0.024757,0.027660,0.032477,0.040479,0.053132,0.072442"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.042182,0.044479,0.048541,0.055711,0.068346,0.090678,0.130184", \ "0.043232,0.045566,0.049682,0.056941,0.069717,0.092217,0.131895", \ "0.047952,0.050247,0.054310,0.061511,0.074255,0.096801,0.136639", \ "0.055542,0.057842,0.061893,0.069028,0.081657,0.104056,0.143772", \ "0.063482,0.066108,0.070659,0.078522,0.091974,0.114536,0.154041", \ "0.073129,0.076004,0.080943,0.089448,0.103964,0.128400,0.169156", \ "0.086472,0.089582,0.094941,0.104053,0.119485,0.145325,0.188393"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007880,0.008303,0.009049,0.010358,0.012644,0.016644,0.023682", \ "0.007729,0.008169,0.008937,0.010270,0.012581,0.016606,0.023663", \ "0.008825,0.009113,0.009639,0.010654,0.012621,0.016437,0.023609", \ "0.013888,0.014302,0.014994,0.016149,0.018016,0.020981,0.025888", \ "0.020330,0.020877,0.021784,0.023287,0.025721,0.029510,0.035316", \ "0.028259,0.028942,0.030082,0.031946,0.034955,0.039633,0.046762", \ "0.037698,0.038540,0.039935,0.042283,0.045898,0.051491,0.059929"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.033829,0.035947,0.039688,0.046263,0.057780,0.077919,0.113337", \ "0.033829,0.035950,0.039686,0.046262,0.057780,0.077924,0.113331", \ "0.033840,0.035954,0.039690,0.046262,0.057781,0.077918,0.113329", \ "0.034761,0.036703,0.040178,0.046440,0.057799,0.077923,0.113324", \ "0.039884,0.041667,0.044795,0.050232,0.060295,0.078882,0.113337", \ "0.046627,0.048323,0.051344,0.056855,0.066764,0.084088,0.115908", \ "0.055465,0.056989,0.059738,0.064892,0.074474,0.091973,0.122949"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010615,0.011352,0.012632,0.014833,0.018593,0.025003,0.035989", \ "0.011897,0.012625,0.013893,0.016082,0.019830,0.026229,0.037209", \ "0.017261,0.018005,0.019270,0.021358,0.024877,0.031163,0.042085", \ "0.022491,0.023561,0.025391,0.028404,0.033265,0.040787,0.052093", \ "0.025178,0.026571,0.028977,0.032964,0.039370,0.049338,0.064359", \ "0.025133,0.026852,0.029818,0.034739,0.042686,0.055093,0.073855", \ "0.022317,0.024357,0.027834,0.033606,0.043066,0.057869,0.080337"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.041095,0.043389,0.047436,0.054570,0.067150,0.089369,0.128687", \ "0.042284,0.044618,0.048727,0.055970,0.068696,0.091096,0.130596", \ "0.046893,0.049192,0.053262,0.060471,0.073206,0.095698,0.135400", \ "0.054373,0.056687,0.060742,0.067865,0.080470,0.102818,0.142430", \ "0.062060,0.064704,0.069277,0.077168,0.090635,0.113216,0.152569", \ "0.071286,0.074195,0.079181,0.087755,0.102330,0.126793,0.167552", \ "0.083706,0.086903,0.092379,0.101628,0.117224,0.143188,0.186302"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008759,0.009292,0.010238,0.011893,0.014789,0.019880,0.028885", \ "0.008549,0.009117,0.010101,0.011800,0.014729,0.019849,0.028871", \ "0.009582,0.009939,0.010637,0.011992,0.014613,0.019673,0.028846", \ "0.014830,0.015306,0.016122,0.017485,0.019735,0.023387,0.030200", \ "0.021597,0.022222,0.023251,0.024982,0.027792,0.032244,0.039175", \ "0.029820,0.030604,0.031892,0.034020,0.037461,0.042860,0.051178", \ "0.039501,0.040467,0.042061,0.044716,0.048808,0.055197,0.064964"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022028,0.024050,0.027625,0.033969,0.045203,0.065151,0.100453", \ "0.022034,0.024049,0.027624,0.033962,0.045205,0.065157,0.100464", \ "0.022040,0.024054,0.027627,0.033968,0.045207,0.065155,0.100469", \ "0.023011,0.024848,0.028150,0.034157,0.045233,0.065120,0.100475", \ "0.027002,0.028881,0.032167,0.037945,0.047787,0.066127,0.100445", \ "0.032318,0.034164,0.037408,0.043215,0.053497,0.071397,0.103053", \ "0.039685,0.041423,0.044536,0.050176,0.060317,0.078433,0.110165"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008184,0.008756,0.009753,0.011472,0.014412,0.019423,0.027986", \ "0.009663,0.010218,0.011191,0.012884,0.015797,0.020786,0.029336", \ "0.014840,0.015502,0.016615,0.018451,0.021369,0.026150,0.034591", \ "0.018913,0.019871,0.021505,0.024202,0.028499,0.035140,0.045010", \ "0.020328,0.021590,0.023769,0.027354,0.033102,0.041987,0.055257", \ "0.018770,0.020365,0.023075,0.027558,0.034753,0.045905,0.062635", \ "0.014201,0.016074,0.019271,0.024576,0.033196,0.046628,0.066831"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.040985,0.043279,0.047324,0.054460,0.067037,0.089258,0.128583", \ "0.042025,0.044350,0.048450,0.055676,0.068397,0.090792,0.130296", \ "0.046756,0.049043,0.053089,0.060255,0.072942,0.095380,0.135023", \ "0.054321,0.056631,0.060673,0.067780,0.080349,0.102642,0.142166", \ "0.062038,0.064683,0.069243,0.077126,0.090578,0.113123,0.152424", \ "0.071454,0.074362,0.079332,0.087874,0.102405,0.126828,0.167533", \ "0.084537,0.087686,0.093101,0.102275,0.117750,0.143602,0.186601"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006447,0.006889,0.007659,0.008998,0.011307,0.015311,0.022326", \ "0.006228,0.006692,0.007495,0.008871,0.011218,0.015258,0.022300", \ "0.008353,0.008650,0.009161,0.010012,0.011713,0.015222,0.022224", \ "0.013452,0.013864,0.014558,0.015704,0.017569,0.020524,0.025235", \ "0.019965,0.020509,0.021397,0.022884,0.025287,0.029059,0.034855", \ "0.027990,0.028666,0.029782,0.031623,0.034586,0.039222,0.046290", \ "0.037504,0.038355,0.039759,0.042068,0.045626,0.051149,0.059497"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022032,0.024049,0.027624,0.033965,0.045214,0.065150,0.100456", \ "0.022034,0.024056,0.027622,0.033969,0.045208,0.065157,0.100464", \ "0.022042,0.024055,0.027625,0.033969,0.045207,0.065139,0.100466", \ "0.023017,0.024856,0.028157,0.034166,0.045232,0.065119,0.100471", \ "0.027006,0.028882,0.032173,0.037955,0.047798,0.066130,0.100447", \ "0.032274,0.034120,0.037361,0.043182,0.053474,0.071394,0.103057", \ "0.039449,0.041197,0.044328,0.049973,0.060163,0.078332,0.110105"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008184,0.008756,0.009753,0.011472,0.014412,0.019423,0.027986", \ "0.009663,0.010218,0.011191,0.012884,0.015797,0.020786,0.029336", \ "0.014840,0.015502,0.016615,0.018451,0.021369,0.026150,0.034591", \ "0.018913,0.019871,0.021505,0.024202,0.028499,0.035140,0.045010", \ "0.020328,0.021590,0.023769,0.027354,0.033102,0.041987,0.055257", \ "0.018770,0.020365,0.023075,0.027558,0.034753,0.045905,0.062635", \ "0.014201,0.016074,0.019271,0.024576,0.033196,0.046628,0.066831"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.040985,0.043279,0.047324,0.054460,0.067037,0.089258,0.128583", \ "0.042025,0.044350,0.048450,0.055676,0.068397,0.090792,0.130296", \ "0.046756,0.049043,0.053089,0.060255,0.072942,0.095380,0.135023", \ "0.054321,0.056631,0.060673,0.067780,0.080349,0.102642,0.142166", \ "0.062038,0.064683,0.069243,0.077126,0.090578,0.113123,0.152424", \ "0.071454,0.074362,0.079332,0.087874,0.102405,0.126828,0.167533", \ "0.084537,0.087686,0.093101,0.102275,0.117750,0.143602,0.186601"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006447,0.006889,0.007659,0.008998,0.011307,0.015311,0.022326", \ "0.006228,0.006692,0.007495,0.008871,0.011218,0.015258,0.022300", \ "0.008353,0.008650,0.009161,0.010012,0.011713,0.015222,0.022224", \ "0.013452,0.013864,0.014558,0.015704,0.017569,0.020524,0.025235", \ "0.019965,0.020509,0.021397,0.022884,0.025287,0.029059,0.034855", \ "0.027990,0.028666,0.029782,0.031623,0.034586,0.039222,0.046290", \ "0.037504,0.038355,0.039759,0.042068,0.045626,0.051149,0.059497"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022032,0.024049,0.027624,0.033965,0.045214,0.065150,0.100456", \ "0.022034,0.024056,0.027622,0.033969,0.045208,0.065157,0.100464", \ "0.022042,0.024055,0.027625,0.033969,0.045207,0.065139,0.100466", \ "0.023017,0.024856,0.028157,0.034166,0.045232,0.065119,0.100471", \ "0.027006,0.028882,0.032173,0.037955,0.047798,0.066130,0.100447", \ "0.032274,0.034120,0.037361,0.043182,0.053474,0.071394,0.103057", \ "0.039449,0.041197,0.044328,0.049973,0.060163,0.078332,0.110105"); } } timing () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (B3 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007435,0.007957,0.008867,0.010438,0.013130,0.017718,0.025557", \ "0.008977,0.009479,0.010362,0.011902,0.014561,0.019123,0.026946", \ "0.013987,0.014619,0.015687,0.017438,0.020225,0.024616,0.032303", \ "0.017646,0.018567,0.020133,0.022722,0.026846,0.033194,0.042609", \ "0.018605,0.019826,0.021928,0.025387,0.030897,0.039422,0.052119", \ "0.016528,0.018066,0.020686,0.025022,0.031951,0.042678,0.058725", \ "0.011331,0.013144,0.016252,0.021385,0.029716,0.042657,0.062094"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.040911,0.043207,0.047251,0.054386,0.066964,0.089184,0.128509", \ "0.041911,0.044231,0.048323,0.055542,0.068253,0.090646,0.130145", \ "0.046714,0.048994,0.053033,0.060186,0.072847,0.095260,0.134875", \ "0.054307,0.056611,0.060651,0.067754,0.080310,0.102580,0.142076", \ "0.062032,0.064671,0.069233,0.077113,0.090558,0.113094,0.152378", \ "0.071515,0.074416,0.079379,0.087919,0.102438,0.126847,0.167526", \ "0.084830,0.087961,0.093357,0.102505,0.117948,0.143762,0.186710"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005772,0.006184,0.006898,0.008137,0.010267,0.013947,0.020365", \ "0.005551,0.005984,0.006728,0.008002,0.010171,0.013887,0.020337", \ "0.007988,0.008264,0.008739,0.009529,0.010957,0.014001,0.020239", \ "0.012994,0.013385,0.014043,0.015130,0.016886,0.019670,0.023943", \ "0.019411,0.019922,0.020768,0.022176,0.024443,0.028020,0.033480", \ "0.027345,0.027993,0.029056,0.030806,0.033615,0.037987,0.044671", \ "0.036803,0.037611,0.038946,0.041147,0.044533,0.049752,0.057642"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.022030,0.024048,0.027623,0.033968,0.045202,0.065148,0.100457", \ "0.022033,0.024056,0.027622,0.033966,0.045201,0.065126,0.100464", \ "0.022039,0.024056,0.027626,0.033969,0.045217,0.065126,0.100459", \ "0.023024,0.024858,0.028159,0.034165,0.045224,0.065131,0.100472", \ "0.027008,0.028886,0.032174,0.037958,0.047805,0.066137,0.100447", \ "0.032257,0.034104,0.037348,0.043174,0.053473,0.071392,0.103048", \ "0.039365,0.041130,0.044234,0.049911,0.060108,0.078291,0.110083"); } } timing () { related_pin : "B1"; when : "!A1 & !A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014863,0.015587,0.016848,0.019025,0.022760,0.029149,0.040128", \ "0.016553,0.017283,0.018554,0.020747,0.024504,0.030919,0.041921", \ "0.021296,0.022015,0.023250,0.025389,0.029096,0.035498,0.046521", \ "0.026893,0.027776,0.029290,0.031849,0.036078,0.042984,0.054275", \ "0.031013,0.032167,0.034135,0.037406,0.042728,0.051142,0.064248", \ "0.032330,0.033793,0.036308,0.040454,0.047175,0.057730,0.073709", \ "0.030141,0.031933,0.035081,0.040258,0.048513,0.061502,0.081005"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.047646,0.049978,0.054094,0.061360,0.074151,0.096688,0.136443", \ "0.047898,0.050259,0.054418,0.061749,0.074615,0.097236,0.137096", \ "0.051903,0.054229,0.058345,0.065619,0.078454,0.101060,0.140946", \ "0.062786,0.065033,0.069006,0.076058,0.088560,0.110771,0.150171", \ "0.080735,0.083085,0.087307,0.094488,0.106514,0.128067,0.166693", \ "0.100930,0.103752,0.108668,0.117068,0.131088,0.154021,0.191762", \ "0.123545,0.126735,0.132257,0.141886,0.157738,0.183873,0.225736"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008374,0.008926,0.009905,0.011610,0.014577,0.019748,0.028824", \ "0.008375,0.008937,0.009911,0.011617,0.014585,0.019754,0.028826", \ "0.008543,0.009045,0.009945,0.011552,0.014448,0.019675,0.028803", \ "0.011140,0.011604,0.012416,0.013859,0.016423,0.020946,0.029220", \ "0.015676,0.016173,0.017043,0.018519,0.021050,0.025394,0.033098", \ "0.021695,0.022285,0.023291,0.025004,0.027838,0.032408,0.040036", \ "0.029082,0.029782,0.030937,0.032925,0.036229,0.041404,0.049554"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.046097,0.048338,0.052317,0.059382,0.071908,0.093955,0.132115", \ "0.046098,0.048338,0.052315,0.059383,0.071908,0.093954,0.132119", \ "0.046083,0.048323,0.052308,0.059379,0.071911,0.093957,0.132106", \ "0.046066,0.048236,0.052105,0.059246,0.071877,0.093953,0.132096", \ "0.051249,0.053085,0.056357,0.062357,0.073397,0.094047,0.132092", \ "0.061240,0.063167,0.066530,0.072365,0.081915,0.099886,0.133629", \ "0.072472,0.074569,0.078237,0.084575,0.095337,0.113128,0.142962"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014184,0.014838,0.015982,0.017979,0.021454,0.027509,0.038113", \ "0.015866,0.016527,0.017683,0.019698,0.023196,0.029277,0.039905", \ "0.020289,0.020982,0.022173,0.024198,0.027710,0.033824,0.044496", \ "0.024964,0.025857,0.027379,0.029937,0.034137,0.040981,0.052169", \ "0.027693,0.028891,0.030940,0.034337,0.039811,0.048401,0.061619", \ "0.027340,0.028906,0.031565,0.035958,0.043001,0.053942,0.070340", \ "0.023317,0.025268,0.028637,0.034146,0.042911,0.056499,0.076661"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.047644,0.049973,0.054087,0.061354,0.074143,0.096678,0.136436", \ "0.047894,0.050253,0.054413,0.061738,0.074607,0.097228,0.137089", \ "0.051901,0.054226,0.058341,0.065616,0.078447,0.101048,0.140940", \ "0.062785,0.065031,0.069004,0.076055,0.088559,0.110761,0.150166", \ "0.080720,0.083082,0.087304,0.094477,0.106513,0.128065,0.166693", \ "0.100922,0.103744,0.108662,0.117043,0.131067,0.154010,0.191758", \ "0.123535,0.126723,0.132248,0.141866,0.157729,0.183861,0.225731"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007041,0.007552,0.008464,0.010083,0.012952,0.018043,0.027086", \ "0.007040,0.007553,0.008462,0.010080,0.012952,0.018041,0.027083", \ "0.007413,0.007869,0.008696,0.010203,0.012950,0.018029,0.027080", \ "0.010081,0.010532,0.011331,0.012736,0.015226,0.019679,0.027760", \ "0.014690,0.015205,0.016081,0.017583,0.020104,0.024397,0.031969", \ "0.020814,0.021419,0.022451,0.024198,0.027059,0.031649,0.039188", \ "0.028404,0.029110,0.030284,0.032299,0.035622,0.040807,0.048938"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.043866,0.045961,0.049668,0.056214,0.067726,0.087956,0.123603", \ "0.043862,0.045960,0.049667,0.056212,0.067725,0.087958,0.123606", \ "0.043851,0.045948,0.049659,0.056210,0.067726,0.087958,0.123602", \ "0.043833,0.045861,0.049461,0.056079,0.067698,0.087953,0.123587", \ "0.048986,0.050690,0.053700,0.059184,0.069228,0.088060,0.123583", \ "0.057942,0.059790,0.062992,0.068512,0.077596,0.093876,0.125120", \ "0.067657,0.069692,0.073224,0.079288,0.089509,0.106371,0.134425"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011719,0.012225,0.013112,0.014655,0.017337,0.022000,0.030148", \ "0.013422,0.013933,0.014829,0.016386,0.019085,0.023767,0.031933", \ "0.018607,0.019179,0.020159,0.021810,0.024533,0.029233,0.037435", \ "0.023670,0.024506,0.025931,0.028301,0.032127,0.038138,0.047401", \ "0.026281,0.027438,0.029405,0.032666,0.037914,0.046064,0.058302", \ "0.025675,0.027191,0.029763,0.034017,0.040840,0.051419,0.067167", \ "0.021291,0.023185,0.026454,0.031818,0.040332,0.053553,0.073125"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.051461,0.053774,0.057868,0.065079,0.077805,0.100268,0.139986", \ "0.051730,0.054068,0.058194,0.065483,0.078301,0.100870,0.140712", \ "0.055737,0.058046,0.062125,0.069353,0.082119,0.104680,0.144488", \ "0.066619,0.068838,0.072799,0.079825,0.092288,0.114439,0.153791", \ "0.084820,0.087207,0.091310,0.098280,0.110259,0.131825,0.170441", \ "0.105799,0.108541,0.113326,0.121547,0.135297,0.157941,0.195624", \ "0.129088,0.132188,0.137581,0.146977,0.162603,0.188436,0.229859"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005748,0.006137,0.006835,0.008063,0.010243,0.014100,0.020940", \ "0.005742,0.006135,0.006832,0.008065,0.010240,0.014099,0.020936", \ "0.006562,0.006868,0.007416,0.008440,0.010379,0.014078,0.020930", \ "0.010200,0.010563,0.011193,0.012264,0.014091,0.017168,0.022525", \ "0.015291,0.015731,0.016496,0.017797,0.019953,0.023456,0.029112", \ "0.021802,0.022326,0.023228,0.024773,0.027333,0.031417,0.037855", \ "0.029776,0.030386,0.031420,0.033196,0.036191,0.040913,0.048275"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.047072,0.049184,0.052916,0.059491,0.071036,0.091304,0.126982", \ "0.047067,0.049182,0.052917,0.059490,0.071031,0.091301,0.126970", \ "0.047059,0.049172,0.052908,0.059485,0.071034,0.091306,0.126958", \ "0.046917,0.048971,0.052684,0.059406,0.071021,0.091299,0.126951", \ "0.051549,0.053283,0.056363,0.061971,0.072189,0.091295,0.126941", \ "0.060725,0.062546,0.065708,0.071162,0.080129,0.096635,0.128200", \ "0.070737,0.072730,0.076207,0.082179,0.092259,0.108936,0.137038"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011851,0.012456,0.013523,0.015408,0.018736,0.024623,0.035057", \ "0.013466,0.014087,0.015179,0.017094,0.020459,0.026382,0.036843", \ "0.017435,0.018148,0.019374,0.021458,0.024916,0.030902,0.041423", \ "0.020716,0.021713,0.023401,0.026177,0.030617,0.037671,0.048995", \ "0.021475,0.022861,0.025197,0.028996,0.035005,0.044156,0.057864", \ "0.018875,0.020707,0.023787,0.028776,0.036573,0.048414,0.065648", \ "0.012425,0.014729,0.018646,0.024951,0.034729,0.049520,0.070868"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.046311,0.048639,0.052740,0.059986,0.072728,0.095174,0.134817", \ "0.046561,0.048907,0.053060,0.060364,0.073187,0.095725,0.135453", \ "0.050571,0.052893,0.056995,0.064244,0.077021,0.099548,0.139317", \ "0.061508,0.063728,0.067703,0.074728,0.087163,0.109263,0.148542", \ "0.079222,0.081659,0.085882,0.093093,0.105163,0.126620,0.165060", \ "0.099054,0.101906,0.106875,0.115318,0.129409,0.152451,0.190168", \ "0.121300,0.124510,0.130091,0.139741,0.155700,0.181951,0.223930"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005233,0.005743,0.006650,0.008264,0.011134,0.016220,0.025253", \ "0.005237,0.005745,0.006655,0.008265,0.011132,0.016221,0.025255", \ "0.006069,0.006518,0.007287,0.008690,0.011314,0.016237,0.025256", \ "0.009072,0.009517,0.010299,0.011655,0.014039,0.018356,0.026222", \ "0.013886,0.014409,0.015289,0.016796,0.019274,0.023444,0.030802", \ "0.020260,0.020851,0.021875,0.023604,0.026450,0.030958,0.038343", \ "0.028159,0.028832,0.029978,0.031923,0.035178,0.040275,0.048280"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.032242,0.034309,0.037960,0.044429,0.055857,0.076069,0.111845", \ "0.032236,0.034301,0.037957,0.044427,0.055856,0.076066,0.111848", \ "0.032219,0.034287,0.037953,0.044417,0.055855,0.076076,0.111836", \ "0.032228,0.034215,0.037761,0.044261,0.055828,0.076061,0.111829", \ "0.037478,0.039230,0.042137,0.047531,0.057445,0.076157,0.111728", \ "0.044348,0.046371,0.049847,0.055833,0.065794,0.082124,0.113303", \ "0.051912,0.054219,0.058160,0.064869,0.075973,0.093949,0.122850"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010086,0.010551,0.011373,0.012820,0.015376,0.019892,0.027889", \ "0.011738,0.012215,0.013054,0.014526,0.017109,0.021652,0.029672", \ "0.016263,0.016879,0.017924,0.019656,0.022464,0.027088,0.035163", \ "0.019676,0.020616,0.022212,0.024827,0.028958,0.035314,0.044883", \ "0.020318,0.021649,0.023891,0.027544,0.033316,0.042065,0.054913", \ "0.017499,0.019265,0.022239,0.027062,0.034620,0.046075,0.062697", \ "0.010730,0.012968,0.016755,0.022870,0.032379,0.046764,0.067523"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.050080,0.052386,0.056463,0.063647,0.076319,0.098691,0.138253", \ "0.050346,0.052670,0.056786,0.064039,0.076807,0.099287,0.138961", \ "0.054364,0.056663,0.060728,0.067915,0.080620,0.103075,0.142791", \ "0.065284,0.067506,0.071439,0.078428,0.090825,0.112849,0.152060", \ "0.083375,0.085685,0.089862,0.096886,0.108818,0.130283,0.168701", \ "0.103905,0.106675,0.111502,0.119770,0.133581,0.156291,0.193901", \ "0.126782,0.129919,0.135359,0.144800,0.160507,0.186401,0.227968"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.004348,0.004739,0.005432,0.006664,0.008846,0.012709,0.019552", \ "0.004352,0.004741,0.005433,0.006664,0.008845,0.012714,0.019551", \ "0.005673,0.005991,0.006544,0.007493,0.009285,0.012797,0.019557", \ "0.009412,0.009784,0.010432,0.011531,0.013376,0.016422,0.021653", \ "0.014670,0.015107,0.015871,0.017174,0.019347,0.022846,0.028456", \ "0.021455,0.021958,0.022829,0.024333,0.026850,0.030888,0.037301", \ "0.029812,0.030361,0.031309,0.033003,0.035901,0.040511,0.047785"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034189,0.036266,0.039943,0.046438,0.057908,0.078160,0.114028", \ "0.034181,0.036272,0.039935,0.046433,0.057906,0.078169,0.114015", \ "0.034168,0.036262,0.039932,0.046429,0.057909,0.078160,0.113968", \ "0.034052,0.036067,0.039680,0.046339,0.057888,0.078138,0.113964", \ "0.038837,0.040513,0.043518,0.049035,0.059138,0.078171,0.113869", \ "0.045968,0.047983,0.051423,0.057342,0.067175,0.083626,0.115163", \ "0.053811,0.056043,0.059904,0.066518,0.077528,0.095334,0.124189"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010086,0.010551,0.011373,0.012820,0.015376,0.019892,0.027889", \ "0.011738,0.012215,0.013054,0.014526,0.017109,0.021652,0.029672", \ "0.016263,0.016879,0.017924,0.019656,0.022464,0.027088,0.035163", \ "0.019676,0.020616,0.022212,0.024827,0.028958,0.035314,0.044883", \ "0.020318,0.021649,0.023891,0.027544,0.033316,0.042065,0.054913", \ "0.017499,0.019265,0.022239,0.027062,0.034620,0.046075,0.062697", \ "0.010730,0.012968,0.016755,0.022870,0.032379,0.046764,0.067523"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.050080,0.052386,0.056463,0.063647,0.076319,0.098691,0.138253", \ "0.050346,0.052670,0.056786,0.064039,0.076807,0.099287,0.138961", \ "0.054364,0.056663,0.060728,0.067915,0.080620,0.103075,0.142791", \ "0.065284,0.067506,0.071439,0.078428,0.090825,0.112849,0.152060", \ "0.083375,0.085685,0.089862,0.096886,0.108818,0.130283,0.168701", \ "0.103905,0.106675,0.111502,0.119770,0.133581,0.156291,0.193901", \ "0.126782,0.129919,0.135359,0.144800,0.160507,0.186401,0.227968"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.004348,0.004739,0.005432,0.006664,0.008846,0.012709,0.019552", \ "0.004352,0.004741,0.005433,0.006664,0.008845,0.012714,0.019551", \ "0.005673,0.005991,0.006544,0.007493,0.009285,0.012797,0.019557", \ "0.009412,0.009784,0.010432,0.011531,0.013376,0.016422,0.021653", \ "0.014670,0.015107,0.015871,0.017174,0.019347,0.022846,0.028456", \ "0.021455,0.021958,0.022829,0.024333,0.026850,0.030888,0.037301", \ "0.029812,0.030361,0.031309,0.033003,0.035901,0.040511,0.047785"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034189,0.036266,0.039943,0.046438,0.057908,0.078160,0.114028", \ "0.034181,0.036272,0.039935,0.046433,0.057906,0.078169,0.114015", \ "0.034168,0.036262,0.039932,0.046429,0.057909,0.078160,0.113968", \ "0.034052,0.036067,0.039680,0.046339,0.057888,0.078138,0.113964", \ "0.038837,0.040513,0.043518,0.049035,0.059138,0.078171,0.113869", \ "0.045968,0.047983,0.051423,0.057342,0.067175,0.083626,0.115163", \ "0.053811,0.056043,0.059904,0.066518,0.077528,0.095334,0.124189"); } } timing () { related_pin : "B1"; when : "A1 & A2 & A3 & !B2 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B2 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.009671,0.010101,0.010861,0.012199,0.014561,0.018734,0.026123", \ "0.011334,0.011774,0.012549,0.013910,0.016297,0.020495,0.027904", \ "0.016043,0.016632,0.017633,0.019284,0.021939,0.026221,0.033680", \ "0.019510,0.020431,0.021985,0.024537,0.028573,0.034768,0.043989", \ "0.020155,0.021451,0.023646,0.027228,0.032898,0.041497,0.054113", \ "0.017281,0.019011,0.021925,0.026664,0.034113,0.045394,0.061797", \ "0.010433,0.012619,0.016323,0.022330,0.031685,0.045882,0.066391"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.053409,0.055698,0.059750,0.066911,0.079549,0.101890,0.141407", \ "0.053684,0.055999,0.060094,0.067319,0.080048,0.102488,0.142128", \ "0.057680,0.059966,0.064012,0.071176,0.083847,0.106255,0.145901", \ "0.068512,0.070735,0.074662,0.081643,0.094024,0.116025,0.155191", \ "0.086765,0.089087,0.093165,0.100002,0.111967,0.133437,0.171854", \ "0.107948,0.110652,0.115379,0.123498,0.137084,0.159494,0.197052", \ "0.131377,0.134431,0.139765,0.149018,0.164499,0.190099,0.231260"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.004325,0.004681,0.005318,0.006446,0.008442,0.011974,0.018231", \ "0.004325,0.004683,0.005320,0.006446,0.008442,0.011975,0.018229", \ "0.005841,0.006118,0.006603,0.007424,0.008985,0.012099,0.018229", \ "0.009871,0.010209,0.010800,0.011811,0.013519,0.016292,0.020842", \ "0.015377,0.015776,0.016474,0.017676,0.019700,0.023010,0.028274", \ "0.022444,0.022890,0.023682,0.025065,0.027423,0.031261,0.037371", \ "0.031110,0.031596,0.032455,0.034001,0.036696,0.041055,0.048034"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.036044,0.038136,0.041808,0.048317,0.059800,0.080064,0.115908", \ "0.036037,0.038126,0.041804,0.048321,0.059788,0.080061,0.115895", \ "0.036028,0.038118,0.041796,0.048307,0.059793,0.080071,0.115876", \ "0.035817,0.037870,0.041638,0.048253,0.059778,0.080057,0.115818", \ "0.040091,0.041804,0.044887,0.050460,0.060741,0.079992,0.115788", \ "0.047516,0.049501,0.052898,0.058737,0.068394,0.085019,0.116863", \ "0.055508,0.057739,0.061539,0.068081,0.078958,0.096654,0.125457"); } } timing () { related_pin : "B2"; when : "!A1 & !A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.017892,0.018607,0.019855,0.022022,0.025755,0.032164,0.043194", \ "0.019512,0.020230,0.021483,0.023654,0.027392,0.033807,0.044844", \ "0.024065,0.024773,0.026009,0.028161,0.031887,0.038303,0.049352", \ "0.030300,0.031129,0.032560,0.034999,0.039097,0.045864,0.057065", \ "0.035594,0.036647,0.038463,0.041510,0.046531,0.054594,0.067399", \ "0.038362,0.039700,0.042004,0.045845,0.052142,0.062152,0.077553", \ "0.037894,0.039554,0.042415,0.047178,0.054863,0.067126,0.085815"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.058111,0.060437,0.064547,0.071802,0.084584,0.107104,0.146867", \ "0.058915,0.061251,0.065381,0.072668,0.085487,0.108051,0.147861", \ "0.063476,0.065820,0.069960,0.077265,0.090133,0.112776,0.152629", \ "0.072154,0.074486,0.078604,0.085860,0.098679,0.121268,0.161131", \ "0.085962,0.088475,0.092888,0.100348,0.113006,0.135408,0.175052", \ "0.103105,0.105874,0.110705,0.119076,0.133153,0.156834,0.196261", \ "0.124209,0.127246,0.132536,0.141797,0.157188,0.182823,0.225102"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010103,0.010655,0.011617,0.013315,0.016278,0.021467,0.030584", \ "0.010108,0.010660,0.011623,0.013318,0.016283,0.021468,0.030584", \ "0.010115,0.010641,0.011578,0.013234,0.016194,0.021421,0.030568", \ "0.012282,0.012764,0.013623,0.015129,0.017767,0.022385,0.030884", \ "0.016636,0.017143,0.018018,0.019536,0.022122,0.026584,0.034456", \ "0.022528,0.023125,0.024138,0.025872,0.028742,0.033388,0.041152", \ "0.029697,0.030414,0.031595,0.033614,0.036942,0.042185,0.050461"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.046104,0.048340,0.052319,0.059388,0.071916,0.093962,0.132124", \ "0.046102,0.048342,0.052319,0.059386,0.071914,0.093964,0.132131", \ "0.046097,0.048336,0.052316,0.059381,0.071916,0.093963,0.132110", \ "0.046162,0.048378,0.052331,0.059381,0.071893,0.093948,0.132109", \ "0.050205,0.052086,0.055518,0.061757,0.073183,0.094110,0.132094", \ "0.058212,0.060126,0.063500,0.069470,0.079532,0.098431,0.133452", \ "0.067069,0.069049,0.072548,0.078710,0.089475,0.108020,0.139881"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.016806,0.017472,0.018639,0.020674,0.024214,0.030361,0.041083", \ "0.018427,0.019096,0.020265,0.022306,0.025852,0.032005,0.042732", \ "0.022848,0.023524,0.024702,0.026750,0.030308,0.036486,0.047240", \ "0.028357,0.029186,0.030620,0.033050,0.037111,0.043816,0.054898", \ "0.032448,0.033544,0.035424,0.038566,0.043709,0.051913,0.064805", \ "0.033744,0.035156,0.037574,0.041608,0.048149,0.058493,0.074269", \ "0.031705,0.033445,0.036489,0.041520,0.049614,0.062362,0.081609"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.058101,0.060433,0.064542,0.071796,0.084578,0.107099,0.146860", \ "0.058911,0.061246,0.065376,0.072665,0.085478,0.108045,0.147855", \ "0.063470,0.065816,0.069953,0.077262,0.090126,0.112768,0.152622", \ "0.072152,0.074482,0.078601,0.085855,0.098671,0.121260,0.161127", \ "0.085940,0.088484,0.092876,0.100345,0.113003,0.135403,0.175051", \ "0.103098,0.105865,0.110698,0.119081,0.133146,0.156829,0.196255", \ "0.124198,0.127237,0.132529,0.141763,0.157180,0.182819,0.225098"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008724,0.009248,0.010167,0.011801,0.014691,0.019810,0.028888", \ "0.008724,0.009247,0.010166,0.011800,0.014690,0.019809,0.028887", \ "0.008910,0.009403,0.010280,0.011854,0.014690,0.019805,0.028887", \ "0.011274,0.011735,0.012559,0.014014,0.016579,0.021108,0.029419", \ "0.015748,0.016260,0.017143,0.018644,0.021200,0.025589,0.033308", \ "0.021740,0.022346,0.023377,0.025123,0.027995,0.032626,0.040292", \ "0.029049,0.029773,0.030966,0.032997,0.036329,0.041563,0.049806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.043868,0.045962,0.049669,0.056216,0.067732,0.087964,0.123615", \ "0.043869,0.045964,0.049669,0.056219,0.067731,0.087961,0.123620", \ "0.043864,0.045959,0.049667,0.056215,0.067732,0.087964,0.123605", \ "0.043931,0.046004,0.049687,0.056214,0.067718,0.087955,0.123597", \ "0.047916,0.049689,0.052855,0.058587,0.069006,0.088124,0.123585", \ "0.054972,0.056793,0.059996,0.065623,0.075183,0.092419,0.124945", \ "0.062673,0.064568,0.067900,0.073739,0.083842,0.101269,0.131350"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013600,0.014118,0.015027,0.016609,0.019351,0.024098,0.032348", \ "0.015253,0.015773,0.016685,0.018271,0.021016,0.025768,0.034023", \ "0.020586,0.021131,0.022069,0.023665,0.026414,0.031178,0.039458", \ "0.026797,0.027565,0.028883,0.031093,0.034706,0.040470,0.049513", \ "0.030813,0.031863,0.033667,0.036676,0.041594,0.049319,0.061114", \ "0.031845,0.033213,0.035549,0.039459,0.045796,0.055772,0.070873", \ "0.029418,0.031125,0.034059,0.038956,0.046828,0.059237,0.077894"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.061954,0.064260,0.068331,0.075540,0.088262,0.110699,0.150397", \ "0.062782,0.065101,0.069208,0.076446,0.089215,0.111722,0.151498", \ "0.067293,0.069612,0.073723,0.080978,0.093795,0.116354,0.156215", \ "0.075965,0.078271,0.082357,0.089558,0.102313,0.124824,0.164625", \ "0.090137,0.092624,0.096912,0.104105,0.116693,0.139022,0.178606", \ "0.107804,0.110513,0.115221,0.123446,0.137266,0.160681,0.199896", \ "0.129491,0.132443,0.137600,0.146645,0.161844,0.187143,0.229097"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007071,0.007462,0.008161,0.009396,0.011581,0.015445,0.022303", \ "0.007068,0.007458,0.008161,0.009395,0.011577,0.015444,0.022304", \ "0.007510,0.007841,0.008449,0.009563,0.011621,0.015432,0.022301", \ "0.011104,0.011463,0.012080,0.013145,0.014952,0.018055,0.023576", \ "0.016197,0.016639,0.017390,0.018681,0.020826,0.024304,0.029945", \ "0.022572,0.023099,0.024010,0.025558,0.028138,0.032234,0.038664", \ "0.030244,0.030871,0.031939,0.033748,0.036778,0.041558,0.048990"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.047070,0.049183,0.052919,0.059486,0.071040,0.091301,0.126981", \ "0.047072,0.049182,0.052914,0.059487,0.071038,0.091302,0.126967", \ "0.047069,0.049179,0.052914,0.059485,0.071038,0.091300,0.126973", \ "0.047113,0.049207,0.052922,0.059486,0.071033,0.091297,0.126968", \ "0.050622,0.052455,0.055701,0.061525,0.072084,0.091381,0.126951", \ "0.057770,0.059596,0.062801,0.068421,0.077918,0.095383,0.128131", \ "0.065580,0.067470,0.070798,0.076611,0.086713,0.104083,0.134251"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014110,0.014757,0.015891,0.017875,0.021334,0.027375,0.037969", \ "0.015722,0.016372,0.017511,0.019501,0.022969,0.029018,0.039617", \ "0.019957,0.020650,0.021852,0.023896,0.027401,0.033485,0.044118", \ "0.024421,0.025327,0.026870,0.029445,0.033678,0.040531,0.051723", \ "0.026835,0.028069,0.030163,0.033619,0.039174,0.047833,0.061097", \ "0.026236,0.027835,0.030565,0.035032,0.042184,0.053244,0.069751", \ "0.022145,0.024147,0.027570,0.033191,0.042059,0.055781,0.076050"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.056775,0.059095,0.063194,0.070427,0.083158,0.105591,0.145213", \ "0.057577,0.059908,0.064025,0.071292,0.084058,0.106538,0.146203", \ "0.062133,0.064473,0.068601,0.075884,0.088700,0.111250,0.150987", \ "0.070834,0.073153,0.077265,0.084490,0.097253,0.119751,0.159481", \ "0.084456,0.086998,0.091415,0.098945,0.111622,0.133923,0.173410", \ "0.101391,0.104180,0.109029,0.117453,0.131539,0.155248,0.194637", \ "0.122208,0.125282,0.130611,0.139865,0.155336,0.181046,0.223349"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.007043,0.007555,0.008467,0.010084,0.012952,0.018041,0.027085", \ "0.007038,0.007553,0.008465,0.010084,0.012952,0.018043,0.027084", \ "0.007530,0.007990,0.008816,0.010314,0.013044,0.018051,0.027086", \ "0.010273,0.010722,0.011509,0.012900,0.015362,0.019774,0.027849", \ "0.014958,0.015467,0.016342,0.017840,0.020334,0.024587,0.032111", \ "0.021071,0.021671,0.022696,0.024447,0.027310,0.031884,0.039402", \ "0.028521,0.029230,0.030420,0.032429,0.035757,0.040949,0.049086"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.032238,0.034305,0.037960,0.044428,0.055870,0.076097,0.111886", \ "0.032243,0.034310,0.037960,0.044436,0.055863,0.076083,0.111864", \ "0.032242,0.034302,0.037960,0.044433,0.055873,0.076086,0.111827", \ "0.032306,0.034346,0.037974,0.044425,0.055852,0.076058,0.111840", \ "0.036433,0.038194,0.041279,0.046892,0.057190,0.076239,0.111758", \ "0.041990,0.043943,0.047326,0.053249,0.063392,0.080633,0.113108", \ "0.048346,0.050418,0.054018,0.060258,0.070918,0.089036,0.119638"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011657,0.012158,0.013038,0.014572,0.017244,0.021897,0.030038", \ "0.013304,0.013808,0.014691,0.016230,0.018908,0.023567,0.031713", \ "0.018317,0.018892,0.019875,0.021531,0.024275,0.028966,0.037144", \ "0.023141,0.023994,0.025441,0.027841,0.031705,0.037746,0.047034", \ "0.025445,0.026629,0.028641,0.031962,0.037292,0.045526,0.057840", \ "0.024572,0.026125,0.028773,0.033117,0.040050,0.050745,0.066602", \ "0.020109,0.022050,0.025394,0.030861,0.039506,0.052857,0.072537"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.060582,0.062875,0.066928,0.074110,0.086773,0.109116,0.148670", \ "0.061403,0.063715,0.067804,0.075012,0.087721,0.110137,0.149736", \ "0.065913,0.068224,0.072317,0.079542,0.092294,0.114765,0.154477", \ "0.074600,0.076892,0.080967,0.088133,0.100825,0.123234,0.162881", \ "0.088622,0.091090,0.095410,0.102689,0.115233,0.137442,0.176847", \ "0.106057,0.108774,0.113509,0.121754,0.135603,0.159035,0.198167", \ "0.127473,0.130439,0.135632,0.144703,0.159922,0.185284,0.227246"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005755,0.006146,0.006840,0.008070,0.010244,0.014104,0.020942", \ "0.005752,0.006142,0.006837,0.008067,0.010248,0.014101,0.020943", \ "0.006673,0.006984,0.007532,0.008552,0.010475,0.014128,0.020941", \ "0.010404,0.010763,0.011389,0.012453,0.014263,0.017313,0.022642", \ "0.015573,0.016007,0.016766,0.018055,0.020213,0.023686,0.029284", \ "0.022082,0.022605,0.023491,0.025024,0.027574,0.031666,0.038087", \ "0.029954,0.030564,0.031582,0.033350,0.036331,0.041059,0.048439"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034175,0.036262,0.039942,0.046436,0.057932,0.078159,0.114008", \ "0.034179,0.036261,0.039944,0.046438,0.057910,0.078172,0.113993", \ "0.034176,0.036255,0.039935,0.046438,0.057921,0.078167,0.113985", \ "0.034223,0.036287,0.039951,0.046430,0.057907,0.078152,0.113950", \ "0.037880,0.039653,0.042833,0.048549,0.059013,0.078247,0.113910", \ "0.043599,0.045551,0.048932,0.054858,0.064963,0.082347,0.115073", \ "0.049992,0.052053,0.055656,0.061897,0.072543,0.090674,0.121306"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011657,0.012158,0.013038,0.014572,0.017244,0.021897,0.030038", \ "0.013304,0.013808,0.014691,0.016230,0.018908,0.023567,0.031713", \ "0.018317,0.018892,0.019875,0.021531,0.024275,0.028966,0.037144", \ "0.023141,0.023994,0.025441,0.027841,0.031705,0.037746,0.047034", \ "0.025445,0.026629,0.028641,0.031962,0.037292,0.045526,0.057840", \ "0.024572,0.026125,0.028773,0.033117,0.040050,0.050745,0.066602", \ "0.020109,0.022050,0.025394,0.030861,0.039506,0.052857,0.072537"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.060582,0.062875,0.066928,0.074110,0.086773,0.109116,0.148670", \ "0.061403,0.063715,0.067804,0.075012,0.087721,0.110137,0.149736", \ "0.065913,0.068224,0.072317,0.079542,0.092294,0.114765,0.154477", \ "0.074600,0.076892,0.080967,0.088133,0.100825,0.123234,0.162881", \ "0.088622,0.091090,0.095410,0.102689,0.115233,0.137442,0.176847", \ "0.106057,0.108774,0.113509,0.121754,0.135603,0.159035,0.198167", \ "0.127473,0.130439,0.135632,0.144703,0.159922,0.185284,0.227246"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005755,0.006146,0.006840,0.008070,0.010244,0.014104,0.020942", \ "0.005752,0.006142,0.006837,0.008067,0.010248,0.014101,0.020943", \ "0.006673,0.006984,0.007532,0.008552,0.010475,0.014128,0.020941", \ "0.010404,0.010763,0.011389,0.012453,0.014263,0.017313,0.022642", \ "0.015573,0.016007,0.016766,0.018055,0.020213,0.023686,0.029284", \ "0.022082,0.022605,0.023491,0.025024,0.027574,0.031666,0.038087", \ "0.029954,0.030564,0.031582,0.033350,0.036331,0.041059,0.048439"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034175,0.036262,0.039942,0.046436,0.057932,0.078159,0.114008", \ "0.034179,0.036261,0.039944,0.046438,0.057910,0.078172,0.113993", \ "0.034176,0.036255,0.039935,0.046438,0.057921,0.078167,0.113985", \ "0.034223,0.036287,0.039951,0.046430,0.057907,0.078152,0.113950", \ "0.037880,0.039653,0.042833,0.048549,0.059013,0.078247,0.113910", \ "0.043599,0.045551,0.048932,0.054858,0.064963,0.082347,0.115073", \ "0.049992,0.052053,0.055656,0.061897,0.072543,0.090674,0.121306"); } } timing () { related_pin : "B2"; when : "A1 & A2 & A3 & !B1 & !B3"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B3 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011080,0.011544,0.012357,0.013778,0.016250,0.020554,0.028079", \ "0.012736,0.013202,0.014018,0.015443,0.017920,0.022230,0.029760", \ "0.017994,0.018540,0.019473,0.021033,0.023589,0.027924,0.035480", \ "0.022882,0.023714,0.025126,0.027469,0.031240,0.037111,0.046019", \ "0.025157,0.026319,0.028292,0.031550,0.036786,0.044881,0.056965", \ "0.024211,0.025733,0.028336,0.032607,0.039429,0.049972,0.065613", \ "0.019632,0.021538,0.024816,0.030195,0.038714,0.051890,0.071338"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.063911,0.066195,0.070229,0.077380,0.090002,0.112306,0.151803", \ "0.064739,0.067041,0.071105,0.078295,0.090975,0.113363,0.152926", \ "0.069227,0.071529,0.075606,0.082798,0.095513,0.117960,0.157631", \ "0.077895,0.080183,0.084230,0.091373,0.104026,0.126396,0.165995", \ "0.092199,0.094611,0.098848,0.105932,0.118433,0.140598,0.179942", \ "0.110014,0.112673,0.117324,0.125455,0.139130,0.162322,0.201321", \ "0.131832,0.134751,0.139847,0.148748,0.163798,0.188909,0.230601"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.005640,0.005996,0.006629,0.007751,0.009735,0.013254,0.019498", \ "0.005636,0.005994,0.006626,0.007750,0.009736,0.013258,0.019500", \ "0.006735,0.006998,0.007466,0.008343,0.010027,0.013294,0.019502", \ "0.010806,0.011134,0.011704,0.012676,0.014322,0.017062,0.021671", \ "0.016214,0.016614,0.017309,0.018508,0.020534,0.023802,0.029021", \ "0.022986,0.023457,0.024271,0.025699,0.028096,0.031986,0.038120", \ "0.031145,0.031688,0.032628,0.034267,0.037069,0.041558,0.048663"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.036051,0.038133,0.041808,0.048316,0.059800,0.080062,0.115882", \ "0.036045,0.038136,0.041809,0.048317,0.059784,0.080046,0.115857", \ "0.036042,0.038131,0.041804,0.048307,0.059796,0.080069,0.115858", \ "0.036060,0.038136,0.041803,0.048306,0.059792,0.080038,0.115837", \ "0.039298,0.041095,0.044322,0.050122,0.060702,0.080103,0.115788", \ "0.045146,0.047070,0.050460,0.056364,0.066386,0.083883,0.116859", \ "0.051594,0.053642,0.057202,0.063406,0.074059,0.092154,0.122845"); } } timing () { related_pin : "B3"; when : "!A1 & !A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.019120,0.019878,0.021196,0.023474,0.027371,0.033995,0.045281", \ "0.020599,0.021359,0.022677,0.024953,0.028849,0.035476,0.046760", \ "0.025089,0.025830,0.027131,0.029378,0.033255,0.039875,0.051169", \ "0.031849,0.032679,0.034113,0.036557,0.040691,0.047529,0.058867", \ "0.038006,0.039038,0.040815,0.043811,0.048762,0.056748,0.069528", \ "0.041848,0.043161,0.045408,0.049120,0.055249,0.065050,0.080216", \ "0.042784,0.044386,0.047124,0.051690,0.059106,0.071029,0.089296"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.061595,0.063920,0.068027,0.075279,0.088066,0.110578,0.150324", \ "0.062897,0.065234,0.069362,0.076645,0.089467,0.112024,0.151819", \ "0.067793,0.070134,0.074277,0.081587,0.094452,0.117090,0.156974", \ "0.075378,0.077712,0.081837,0.089121,0.101959,0.124573,0.164497", \ "0.085436,0.087918,0.092221,0.099699,0.112475,0.134967,0.174729", \ "0.097065,0.099733,0.104359,0.112362,0.126203,0.149801,0.189625", \ "0.111993,0.114841,0.119781,0.128399,0.143002,0.167911,0.209904"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011763,0.012319,0.013292,0.014997,0.017971,0.023161,0.032275", \ "0.011734,0.012296,0.013270,0.014980,0.017960,0.023155,0.032273", \ "0.011565,0.012122,0.013097,0.014819,0.017850,0.023112,0.032262", \ "0.013444,0.013948,0.014827,0.016369,0.019084,0.023793,0.032468", \ "0.017737,0.018249,0.019123,0.020645,0.023264,0.027794,0.035759", \ "0.023705,0.024290,0.025286,0.026996,0.029835,0.034476,0.042295", \ "0.030973,0.031667,0.032830,0.034805,0.038086,0.043244,0.051495"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.046101,0.048337,0.052319,0.059382,0.071909,0.093953,0.132110", \ "0.046100,0.048340,0.052320,0.059385,0.071911,0.093957,0.132114", \ "0.046099,0.048341,0.052314,0.059382,0.071904,0.093962,0.132123", \ "0.046119,0.048348,0.052319,0.059374,0.071904,0.093957,0.132137", \ "0.048970,0.050974,0.054566,0.061074,0.072837,0.094096,0.132094", \ "0.055644,0.057633,0.061135,0.067367,0.078233,0.097810,0.133465", \ "0.063514,0.065514,0.069063,0.075368,0.086522,0.105929,0.139274"); } } timing () { related_pin : "B3"; when : "!A1 & A2 & !A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.017694,0.018414,0.019669,0.021846,0.025590,0.032013,0.043056", \ "0.019184,0.019902,0.021158,0.023332,0.027075,0.033495,0.044537", \ "0.023629,0.024338,0.025581,0.027740,0.031472,0.037894,0.048945", \ "0.029787,0.030619,0.032053,0.034502,0.038605,0.045389,0.056610", \ "0.034862,0.035934,0.037772,0.040858,0.045920,0.054032,0.066902", \ "0.037365,0.038733,0.041092,0.044992,0.051354,0.061445,0.076946", \ "0.036831,0.038531,0.041429,0.046244,0.054043,0.066392,0.085162"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.061589,0.063914,0.068022,0.075272,0.088062,0.110568,0.150318", \ "0.062892,0.065229,0.069357,0.076639,0.089459,0.112017,0.151813", \ "0.067787,0.070130,0.074272,0.081580,0.094442,0.117076,0.156967", \ "0.075376,0.077709,0.081833,0.089115,0.101950,0.124560,0.164490", \ "0.085434,0.087897,0.092214,0.099694,0.112468,0.134965,0.174722", \ "0.097056,0.099714,0.104348,0.112365,0.126197,0.149791,0.189618", \ "0.111980,0.114816,0.119773,0.128360,0.142994,0.167917,0.209897"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.010229,0.010777,0.011735,0.013419,0.016366,0.021528,0.030619", \ "0.010195,0.010743,0.011708,0.013395,0.016347,0.021516,0.030614", \ "0.010215,0.010745,0.011688,0.013353,0.016303,0.021502,0.030614", \ "0.012425,0.012908,0.013754,0.015260,0.017888,0.022499,0.030998", \ "0.016930,0.017438,0.018308,0.019808,0.022369,0.026807,0.034630", \ "0.023047,0.023630,0.024623,0.026330,0.029151,0.033755,0.041449", \ "0.030501,0.031183,0.032338,0.034307,0.037548,0.042689,0.050857"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.043868,0.045959,0.049669,0.056212,0.067724,0.087957,0.123600", \ "0.043868,0.045963,0.049668,0.056213,0.067728,0.087960,0.123606", \ "0.043865,0.045960,0.049668,0.056216,0.067725,0.087959,0.123613", \ "0.043886,0.045973,0.049669,0.056209,0.067721,0.087956,0.123621", \ "0.046697,0.048560,0.051907,0.057906,0.068665,0.088107,0.123581", \ "0.052530,0.054412,0.057739,0.063597,0.073806,0.091789,0.124957", \ "0.059287,0.061193,0.064566,0.070516,0.080958,0.099160,0.130724"); } } timing () { related_pin : "B3"; when : "!A1 & A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.013985,0.014547,0.015526,0.017221,0.020136,0.025118,0.033654", \ "0.015550,0.016110,0.017086,0.018779,0.021688,0.026666,0.035199", \ "0.021042,0.021601,0.022561,0.024214,0.027077,0.032029,0.040560", \ "0.028050,0.028813,0.030117,0.032316,0.035910,0.041660,0.050725", \ "0.033080,0.034108,0.035867,0.038819,0.043642,0.051237,0.062887", \ "0.035318,0.036630,0.038910,0.042691,0.048839,0.058581,0.073378", \ "0.034365,0.036020,0.038836,0.043528,0.051096,0.063105,0.081312"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.065438,0.067743,0.071822,0.079023,0.091737,0.114229,0.153885", \ "0.066762,0.069084,0.073186,0.080433,0.093196,0.115710,0.155474", \ "0.071607,0.073932,0.078043,0.085295,0.098121,0.120675,0.160559", \ "0.079173,0.081484,0.085577,0.092809,0.105581,0.128115,0.167936", \ "0.089471,0.091889,0.096136,0.103427,0.116098,0.138530,0.178222", \ "0.101514,0.104115,0.108662,0.116522,0.130175,0.153573,0.193164", \ "0.116917,0.119677,0.124536,0.132994,0.147377,0.172054,0.213777"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008158,0.008571,0.009306,0.010589,0.012838,0.016756,0.023643", \ "0.008114,0.008533,0.009266,0.010557,0.012811,0.016738,0.023634", \ "0.008364,0.008724,0.009377,0.010566,0.012728,0.016666,0.023613", \ "0.012040,0.012394,0.013003,0.014059,0.015863,0.018966,0.024616", \ "0.017301,0.017723,0.018459,0.019713,0.021819,0.025226,0.030841", \ "0.023816,0.024322,0.025196,0.026700,0.029216,0.033225,0.039583", \ "0.031642,0.032238,0.033255,0.035002,0.037931,0.042597,0.049914"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.047070,0.049183,0.052918,0.059487,0.071041,0.091302,0.126974", \ "0.047073,0.049183,0.052918,0.059496,0.071029,0.091300,0.126967", \ "0.047068,0.049182,0.052917,0.059485,0.071040,0.091301,0.126965", \ "0.047082,0.049189,0.052915,0.059483,0.071035,0.091301,0.126958", \ "0.049564,0.051470,0.054878,0.060952,0.071812,0.091386,0.126946", \ "0.055447,0.057337,0.060678,0.066544,0.076721,0.094861,0.128176", \ "0.062231,0.064137,0.067527,0.073491,0.083944,0.102146,0.133733"); } } timing () { related_pin : "B3"; when : "A1 & !A2 & !A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.014598,0.015317,0.016571,0.018742,0.022474,0.028862,0.039842", \ "0.016099,0.016816,0.018067,0.020234,0.023960,0.030346,0.041324", \ "0.020537,0.021264,0.022520,0.024669,0.028372,0.034749,0.045733", \ "0.025848,0.026750,0.028288,0.030874,0.035130,0.042059,0.053382", \ "0.029428,0.030621,0.032662,0.036031,0.041467,0.050010,0.063208", \ "0.030201,0.031742,0.034369,0.038669,0.045568,0.056325,0.072518", \ "0.027824,0.029760,0.033002,0.038345,0.046811,0.060031,0.079730"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.060260,0.062580,0.066674,0.073908,0.086633,0.109066,0.148676", \ "0.061557,0.063891,0.068006,0.075271,0.088038,0.110515,0.150172", \ "0.066453,0.068786,0.072920,0.080202,0.093017,0.115563,0.155326", \ "0.074047,0.076377,0.080489,0.087745,0.100529,0.123047,0.162805", \ "0.083994,0.086470,0.090791,0.098295,0.111086,0.133480,0.173083", \ "0.095437,0.098118,0.102760,0.110785,0.124631,0.148215,0.187994", \ "0.110183,0.113023,0.118011,0.126645,0.141268,0.166185,0.208143"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.008479,0.009034,0.010011,0.011710,0.014665,0.019814,0.028865", \ "0.008428,0.008989,0.009967,0.011674,0.014639,0.019800,0.028858", \ "0.008729,0.009233,0.010136,0.011748,0.014628,0.019777,0.028856", \ "0.011464,0.011919,0.012725,0.014145,0.016673,0.021160,0.029417", \ "0.016263,0.016755,0.017599,0.019063,0.021539,0.025811,0.033408", \ "0.022526,0.023095,0.024065,0.025743,0.028515,0.033031,0.040548", \ "0.030153,0.030814,0.031933,0.033845,0.037054,0.042090,0.050148"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.032242,0.034305,0.037960,0.044427,0.055861,0.076063,0.111848", \ "0.032239,0.034315,0.037965,0.044429,0.055865,0.076083,0.111851", \ "0.032237,0.034303,0.037955,0.044427,0.055858,0.076056,0.111859", \ "0.032254,0.034316,0.037958,0.044423,0.055859,0.076071,0.111871", \ "0.035125,0.036993,0.040282,0.046174,0.056827,0.076213,0.111763", \ "0.039749,0.041730,0.045202,0.051293,0.061840,0.079984,0.113105", \ "0.045296,0.047337,0.050930,0.057234,0.068118,0.086918,0.118988"); } } timing () { related_pin : "B3"; when : "A1 & !A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011762,0.012313,0.013278,0.014952,0.017836,0.022777,0.031255", \ "0.013340,0.013889,0.014849,0.016518,0.019394,0.024328,0.032801", \ "0.018668,0.019257,0.020269,0.021972,0.024814,0.029705,0.038166", \ "0.024417,0.025261,0.026695,0.029074,0.032913,0.038936,0.048234", \ "0.027871,0.029022,0.030983,0.034219,0.039433,0.047512,0.059655", \ "0.028370,0.029861,0.032412,0.036587,0.043269,0.053669,0.069191", \ "0.025590,0.027488,0.030653,0.035836,0.044100,0.056947,0.076067"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.064065,0.066357,0.070425,0.077593,0.090250,0.112599,0.152131", \ "0.065384,0.067696,0.071779,0.078994,0.091707,0.114117,0.153715", \ "0.070227,0.072543,0.076637,0.083860,0.096618,0.119086,0.158792", \ "0.077798,0.080104,0.084177,0.091378,0.104088,0.126522,0.166197", \ "0.087993,0.090412,0.094661,0.102004,0.114637,0.136951,0.176465", \ "0.099864,0.102465,0.107022,0.114894,0.128526,0.151897,0.191430", \ "0.115072,0.117848,0.122711,0.131191,0.145583,0.170240,0.211917"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006721,0.007153,0.007906,0.009223,0.011496,0.015435,0.022315", \ "0.006671,0.007104,0.007859,0.009179,0.011464,0.015413,0.022299", \ "0.007505,0.007828,0.008411,0.009504,0.011540,0.015348,0.022270", \ "0.011461,0.011804,0.012411,0.013446,0.015221,0.018249,0.023660", \ "0.016822,0.017233,0.017947,0.019186,0.021269,0.024657,0.030203", \ "0.023483,0.023966,0.024803,0.026270,0.028723,0.032706,0.039016", \ "0.031516,0.032066,0.033027,0.034708,0.037559,0.042144,0.049388"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034179,0.036261,0.039945,0.046438,0.057914,0.078159,0.114006", \ "0.034179,0.036262,0.039939,0.046447,0.057909,0.078173,0.114004", \ "0.034173,0.036260,0.039945,0.046442,0.057929,0.078160,0.113980", \ "0.034197,0.036265,0.039934,0.046428,0.057918,0.078143,0.113966", \ "0.036762,0.038621,0.041971,0.047951,0.058718,0.078238,0.113899", \ "0.041454,0.043439,0.046939,0.053036,0.063585,0.081813,0.115113", \ "0.046974,0.049026,0.052632,0.058948,0.069852,0.088676,0.120794"); } } timing () { related_pin : "B3"; when : "A1 & A2 & !A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011762,0.012313,0.013278,0.014952,0.017836,0.022777,0.031255", \ "0.013340,0.013889,0.014849,0.016518,0.019394,0.024328,0.032801", \ "0.018668,0.019257,0.020269,0.021972,0.024814,0.029705,0.038166", \ "0.024417,0.025261,0.026695,0.029074,0.032913,0.038936,0.048234", \ "0.027871,0.029022,0.030983,0.034219,0.039433,0.047512,0.059655", \ "0.028370,0.029861,0.032412,0.036587,0.043269,0.053669,0.069191", \ "0.025590,0.027488,0.030653,0.035836,0.044100,0.056947,0.076067"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.064065,0.066357,0.070425,0.077593,0.090250,0.112599,0.152131", \ "0.065384,0.067696,0.071779,0.078994,0.091707,0.114117,0.153715", \ "0.070227,0.072543,0.076637,0.083860,0.096618,0.119086,0.158792", \ "0.077798,0.080104,0.084177,0.091378,0.104088,0.126522,0.166197", \ "0.087993,0.090412,0.094661,0.102004,0.114637,0.136951,0.176465", \ "0.099864,0.102465,0.107022,0.114894,0.128526,0.151897,0.191430", \ "0.115072,0.117848,0.122711,0.131191,0.145583,0.170240,0.211917"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006721,0.007153,0.007906,0.009223,0.011496,0.015435,0.022315", \ "0.006671,0.007104,0.007859,0.009179,0.011464,0.015413,0.022299", \ "0.007505,0.007828,0.008411,0.009504,0.011540,0.015348,0.022270", \ "0.011461,0.011804,0.012411,0.013446,0.015221,0.018249,0.023660", \ "0.016822,0.017233,0.017947,0.019186,0.021269,0.024657,0.030203", \ "0.023483,0.023966,0.024803,0.026270,0.028723,0.032706,0.039016", \ "0.031516,0.032066,0.033027,0.034708,0.037559,0.042144,0.049388"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.034179,0.036261,0.039945,0.046438,0.057914,0.078159,0.114006", \ "0.034179,0.036262,0.039939,0.046447,0.057909,0.078173,0.114004", \ "0.034173,0.036260,0.039945,0.046442,0.057929,0.078160,0.113980", \ "0.034197,0.036265,0.039934,0.046428,0.057918,0.078143,0.113966", \ "0.036762,0.038621,0.041971,0.047951,0.058718,0.078238,0.113899", \ "0.041454,0.043439,0.046939,0.053036,0.063585,0.081813,0.115113", \ "0.046974,0.049026,0.052632,0.058948,0.069852,0.088676,0.120794"); } } timing () { related_pin : "B3"; when : "A1 & A2 & A3 & !B1 & !B2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (A3 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.011112,0.011620,0.012509,0.014054,0.016719,0.021289,0.029134", \ "0.012709,0.013214,0.014098,0.015638,0.018294,0.022857,0.030696", \ "0.018306,0.018865,0.019819,0.021417,0.024045,0.028549,0.036362", \ "0.024117,0.024939,0.026338,0.028664,0.032407,0.038242,0.047125", \ "0.027531,0.028659,0.030581,0.033760,0.038879,0.046819,0.058728", \ "0.027927,0.029401,0.031902,0.036010,0.042595,0.052850,0.068152", \ "0.025018,0.026862,0.029987,0.035093,0.043238,0.055920,0.074821"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.067393,0.069676,0.073709,0.080861,0.093505,0.115792,0.155287", \ "0.068720,0.071019,0.075090,0.082278,0.094961,0.117348,0.156927", \ "0.073547,0.075846,0.079915,0.087113,0.099827,0.122267,0.161953", \ "0.081101,0.083389,0.087448,0.094615,0.107290,0.129688,0.169290", \ "0.091477,0.093861,0.098056,0.105252,0.117836,0.140108,0.179565", \ "0.103635,0.106203,0.110680,0.118464,0.131966,0.155160,0.194546", \ "0.119153,0.121894,0.126660,0.135038,0.149270,0.173745,0.215228"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.006515,0.006907,0.007591,0.008793,0.010870,0.014472,0.020757", \ "0.006465,0.006858,0.007547,0.008748,0.010833,0.014450,0.020741", \ "0.007508,0.007776,0.008261,0.009192,0.010972,0.014375,0.020704", \ "0.011838,0.012149,0.012693,0.013632,0.015225,0.017905,0.022537", \ "0.017445,0.017821,0.018476,0.019623,0.021558,0.024751,0.029850", \ "0.024364,0.024798,0.025566,0.026927,0.029235,0.032996,0.039013", \ "0.032668,0.033178,0.034046,0.035603,0.038284,0.042638,0.049593"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.036046,0.038131,0.041809,0.048318,0.059832,0.080076,0.115875", \ "0.036044,0.038140,0.041807,0.048324,0.059805,0.080027,0.115866", \ "0.036047,0.038136,0.041808,0.048308,0.059805,0.080054,0.115909", \ "0.036038,0.038125,0.041802,0.048309,0.059790,0.080071,0.115839", \ "0.038320,0.040212,0.043589,0.049614,0.060475,0.080104,0.115805", \ "0.043086,0.045075,0.048566,0.054642,0.065178,0.083417,0.116901", \ "0.048594,0.050658,0.054258,0.060553,0.071467,0.090269,0.122429"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.059877,1.074256,1.080749,1.121914,1.166934,1.218452,1.279729", \ "1.079457,1.085561,1.101064,1.150942,1.192661,1.251306,1.314825", \ "1.117378,1.141531,1.150533,1.185796,1.229136,1.284825,1.348223", \ "1.337001,1.340335,1.325153,1.328980,1.322797,1.353791,1.392431", \ "1.693203,1.686401,1.679299,1.657920,1.626281,1.587545,1.539518", \ "2.205132,2.208564,2.192943,2.160782,2.118790,2.027278,1.910821", \ "2.899715,2.886338,2.874633,2.867444,2.795821,2.682896,2.507832"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.130490,4.138088,4.151146,4.160612,4.194397,4.180062,4.203484", \ "4.053772,4.063686,4.080973,4.100873,4.110453,4.143313,4.180518", \ "4.047182,4.050419,4.058022,4.077054,4.089383,4.093353,4.135590", \ "4.259156,4.255206,4.250802,4.231070,4.218354,4.192339,4.202012", \ "4.693964,4.681572,4.662660,4.630057,4.569771,4.520469,4.388442", \ "5.353560,5.333349,5.296427,5.233550,5.172240,5.040215,4.856133", \ "6.198471,6.183823,6.140386,6.097393,6.006504,5.834663,5.576109"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.769941,0.769088,0.777946,0.789917,0.805239,0.824801,0.844106", \ "0.769998,0.786047,0.790748,0.809090,0.830346,0.859587,0.888245", \ "0.814726,0.815561,0.820448,0.828756,0.853493,0.877826,0.907494", \ "1.002972,0.997731,0.986670,0.965456,0.941434,0.942266,0.951981", \ "1.350756,1.341509,1.318407,1.290910,1.242994,1.175502,1.097437", \ "1.864802,1.857425,1.841160,1.802157,1.735798,1.625626,1.477843", \ "2.530497,2.542954,2.523350,2.507030,2.432629,2.303777,2.092061"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.548325,3.558480,3.563572,3.587646,3.574014,3.599001,3.607050", \ "3.471931,3.479870,3.493686,3.526721,3.541389,3.561936,3.583317", \ "3.465258,3.466633,3.471040,3.470535,3.479308,3.512887,3.538940", \ "3.677082,3.671216,3.663880,3.639962,3.632572,3.612218,3.606335", \ "4.107805,4.094633,4.074000,4.020714,3.969561,3.844534,3.790154", \ "4.728023,4.731250,4.714819,4.654009,4.536281,4.460036,4.257742", \ "5.540557,5.520699,5.482789,5.425795,5.401656,5.251622,4.977048"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & !B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.758657,0.763022,0.761130,0.776045,0.794684,0.814862,0.833507", \ "0.765105,0.777568,0.778335,0.793525,0.823402,0.846693,0.871727", \ "0.819533,0.820972,0.817313,0.835257,0.849025,0.875081,0.904640", \ "1.039946,1.025013,1.024971,1.005287,0.982142,0.952041,0.957096", \ "1.388702,1.383500,1.366642,1.341461,1.296012,1.230997,1.150490", \ "1.902484,1.888572,1.876705,1.854763,1.802693,1.704019,1.556629", \ "2.573210,2.550122,2.547602,2.541784,2.501688,2.391848,2.194930"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.567315,3.588586,3.598592,3.613667,3.618275,3.581917,3.606933", \ "3.477703,3.485303,3.498531,3.529665,3.560270,3.521220,3.569897", \ "3.481783,3.482067,3.484591,3.474102,3.500787,3.474038,3.520098", \ "3.725468,3.719580,3.695776,3.673182,3.665401,3.635569,3.601924", \ "4.170053,4.157060,4.120802,4.092370,4.039723,3.941952,3.807853", \ "4.807004,4.813616,4.789673,4.737644,4.625132,4.511246,4.400932", \ "5.632079,5.613097,5.592736,5.548145,5.490933,5.333603,5.143301"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.303585,0.306831,0.301993,0.303172,0.301575,0.307000,0.310931", \ "0.299220,0.298380,0.308771,0.311674,0.324232,0.338124,0.348204", \ "0.328159,0.321118,0.321242,0.329023,0.341860,0.356507,0.372966", \ "0.551630,0.540260,0.519737,0.490167,0.447873,0.419514,0.417190", \ "0.915541,0.904266,0.880796,0.830682,0.770196,0.679058,0.573565", \ "1.440992,1.436030,1.413667,1.374882,1.282533,1.155548,0.973268", \ "2.148335,2.128891,2.118014,2.090865,2.012521,1.850486,1.604565"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.891400,2.896734,2.905464,2.929312,2.910412,2.932598,2.936362", \ "2.797672,2.822341,2.835231,2.866344,2.877015,2.894438,2.911713", \ "2.810344,2.811270,2.814528,2.801982,2.825046,2.846554,2.868114", \ "3.023238,3.017153,3.009170,2.990689,2.949354,2.947362,2.937334", \ "3.466588,3.442211,3.422112,3.382510,3.314538,3.174252,3.149852", \ "3.963958,3.976262,3.959011,3.964170,3.914861,3.797106,3.585825", \ "4.823314,4.811096,4.775138,4.716557,4.646141,4.594702,4.306833"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.327148,0.321834,0.318998,0.309750,0.309134,0.305774,0.310039", \ "0.319294,0.319864,0.318539,0.326578,0.333290,0.337458,0.349077", \ "0.370078,0.361358,0.350523,0.349342,0.353912,0.362058,0.376775", \ "0.588587,0.569170,0.558757,0.537243,0.499927,0.446898,0.432483", \ "0.941551,0.937819,0.918790,0.884120,0.826505,0.741774,0.637577", \ "1.474292,1.471231,1.446637,1.414751,1.346763,1.226681,1.063488", \ "2.154267,2.147354,2.145989,2.116320,2.058472,1.928989,1.720021"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.883343,2.888922,2.897475,2.920512,2.941711,2.895728,2.929138", \ "2.777091,2.783776,2.813614,2.834386,2.868427,2.906041,2.891991", \ "2.787007,2.800176,2.801750,2.800565,2.817108,2.836046,2.842735", \ "3.029087,3.022742,3.013674,2.989620,2.971636,2.913619,2.925673", \ "3.487353,3.460725,3.440716,3.393364,3.337646,3.234596,3.128847", \ "4.014699,4.009637,4.011510,3.998978,3.941845,3.838666,3.669045", \ "4.890041,4.860586,4.840889,4.794108,4.748056,4.630084,4.362278"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.327148,0.321834,0.318998,0.309750,0.309134,0.305774,0.310039", \ "0.319294,0.319864,0.318539,0.326578,0.333290,0.337458,0.349077", \ "0.370078,0.361358,0.350523,0.349342,0.353912,0.362058,0.376775", \ "0.588587,0.569170,0.558757,0.537243,0.499927,0.446898,0.432483", \ "0.941551,0.937819,0.918790,0.884120,0.826505,0.741774,0.637577", \ "1.474292,1.471231,1.446637,1.414751,1.346763,1.226681,1.063488", \ "2.154267,2.147354,2.145989,2.116320,2.058472,1.928989,1.720021"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.883343,2.888922,2.897475,2.920512,2.941711,2.895728,2.929138", \ "2.777091,2.783776,2.813614,2.834386,2.868427,2.906041,2.891991", \ "2.787007,2.800176,2.801750,2.800565,2.817108,2.836046,2.842735", \ "3.029087,3.022742,3.013674,2.989620,2.971636,2.913619,2.925673", \ "3.487353,3.460725,3.440716,3.393364,3.337646,3.234596,3.128847", \ "4.014699,4.009637,4.011510,3.998978,3.941845,3.838666,3.669045", \ "4.890041,4.860586,4.840889,4.794108,4.748056,4.630084,4.362278"); } } internal_power () { related_pin : "A1"; when : "!A2 & !A3 & B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.330090,0.327408,0.325482,0.316927,0.308946,0.309631,0.309436", \ "0.329491,0.325377,0.331362,0.330541,0.331237,0.338217,0.352366", \ "0.386790,0.379016,0.364287,0.354902,0.359742,0.368121,0.381073", \ "0.595034,0.590804,0.574982,0.545888,0.518240,0.464820,0.436998", \ "0.963302,0.954273,0.934253,0.904030,0.849627,0.763137,0.658486", \ "1.483545,1.482342,1.465740,1.432388,1.364924,1.261738,1.095055", \ "2.149603,2.151493,2.138457,2.131929,2.073718,1.964371,1.752680"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("2.878278,2.883763,2.892486,2.913530,2.925912,2.890418,2.929791", \ "2.768152,2.774529,2.803912,2.828182,2.857698,2.830103,2.887731", \ "2.785318,2.779181,2.797855,2.777904,2.783604,2.741678,2.832504", \ "3.031463,3.025170,3.015825,3.002102,2.968787,2.895622,2.921863", \ "3.494066,3.485185,3.447934,3.423507,3.347885,3.274375,3.133544", \ "4.026060,4.021641,4.022608,4.031626,3.953551,3.842711,3.688266", \ "4.907032,4.894678,4.857804,4.812991,4.765334,4.624522,4.489417"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.552529,1.550010,1.585766,1.611418,1.654633,1.714538,1.770153", \ "1.536879,1.541012,1.577703,1.603983,1.648396,1.700972,1.762498", \ "1.533256,1.545055,1.565932,1.598248,1.641384,1.697540,1.756662", \ "1.679385,1.668912,1.682601,1.683454,1.709086,1.741107,1.795018", \ "2.032102,2.029337,2.018939,2.001285,1.983708,1.952069,1.930089", \ "2.552376,2.547635,2.541521,2.519874,2.471928,2.402852,2.298195", \ "3.269970,3.267220,3.257939,3.226175,3.171370,3.073362,2.905572"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.945101,4.950701,4.969901,4.960029,4.973776,4.961447,4.981842", \ "4.880760,4.888847,4.912760,4.915204,4.955292,4.931332,4.961912", \ "4.794447,4.800587,4.822925,4.816141,4.856967,4.847194,4.890367", \ "4.794037,4.797185,4.800368,4.801718,4.801880,4.827610,4.866385", \ "4.955300,4.951110,4.945310,4.921813,4.892232,4.889080,4.920238", \ "5.380461,5.367741,5.346476,5.296106,5.260001,5.142269,5.096674", \ "5.989722,5.982360,5.948889,5.925956,5.849897,5.670471,5.555525"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.221196,1.229156,1.229418,1.251903,1.274651,1.304532,1.339593", \ "1.212303,1.212775,1.218490,1.244564,1.264654,1.302790,1.334586", \ "1.181144,1.204502,1.209977,1.232632,1.260109,1.285013,1.325842", \ "1.334690,1.321924,1.318336,1.315338,1.313949,1.329628,1.355921", \ "1.679967,1.672265,1.659829,1.635773,1.597561,1.545423,1.496018", \ "2.205999,2.188909,2.183409,2.149019,2.095627,2.001528,1.872096", \ "2.931820,2.921480,2.904358,2.875737,2.809757,2.687316,2.492186"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.363126,4.366790,4.373470,4.375746,4.403120,4.379824,4.384883", \ "4.298536,4.304804,4.315719,4.337670,4.327526,4.348986,4.363856", \ "4.212410,4.216640,4.225400,4.225160,4.268920,4.264931,4.292393", \ "4.212529,4.213877,4.216848,4.205575,4.212799,4.247335,4.270204", \ "4.368900,4.368129,4.357310,4.332769,4.332249,4.308256,4.301738", \ "4.743964,4.760393,4.756554,4.704973,4.673783,4.558976,4.499253", \ "5.330603,5.307166,5.287418,5.248353,5.228181,5.147680,4.956804"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & !B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.181209,1.185226,1.203766,1.223775,1.249503,1.274281,1.313971", \ "1.165821,1.174467,1.186239,1.212259,1.243420,1.275339,1.310112", \ "1.162836,1.169349,1.179639,1.200582,1.226739,1.267137,1.302305", \ "1.358191,1.355657,1.340678,1.333298,1.319233,1.319154,1.339818", \ "1.710928,1.709550,1.696963,1.671248,1.632707,1.587406,1.520651", \ "2.246931,2.235648,2.226466,2.205112,2.149526,2.056359,1.943643", \ "2.959744,2.961647,2.943230,2.920429,2.868782,2.761568,2.584507"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.382542,4.386220,4.392896,4.404935,4.418536,4.436138,4.384586", \ "4.307631,4.321152,4.325390,4.337422,4.360270,4.392533,4.351868", \ "4.229861,4.233200,4.240130,4.258807,4.282554,4.304934,4.275970", \ "4.235991,4.236695,4.238836,4.239017,4.251072,4.197316,4.260808", \ "4.398840,4.393599,4.386277,4.372943,4.323438,4.294631,4.301184", \ "4.808956,4.825626,4.817238,4.772114,4.717092,4.649008,4.512707", \ "5.406936,5.383620,5.364702,5.330232,5.317629,5.143479,4.990285"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.728936,0.736450,0.736953,0.746184,0.763704,0.783474,0.799274", \ "0.709793,0.722733,0.726452,0.736195,0.755685,0.774037,0.795696", \ "0.689330,0.701564,0.710985,0.721124,0.740947,0.764653,0.787262", \ "0.870072,0.866528,0.855432,0.832048,0.801597,0.808467,0.816566", \ "1.240309,1.224439,1.207009,1.168677,1.121808,1.048710,0.966956", \ "1.795026,1.776234,1.752512,1.717512,1.639458,1.524888,1.370488", \ "2.520085,2.509414,2.490548,2.460885,2.373835,2.233085,2.000507"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.706871,3.710297,3.716062,3.710052,3.718143,3.711940,3.728815", \ "3.641224,3.647065,3.657212,3.689214,3.697482,3.679689,3.691064", \ "3.556047,3.559842,3.567550,3.582008,3.573846,3.595783,3.691345", \ "3.540111,3.540616,3.542211,3.546262,3.564669,3.582159,3.600058", \ "3.704549,3.702593,3.698399,3.690102,3.673930,3.642394,3.640109", \ "3.997145,4.008646,4.020988,4.041505,4.022881,3.915212,3.847263", \ "4.616358,4.604064,4.576248,4.529883,4.484766,4.497984,4.285540"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.709201,0.714978,0.716015,0.734502,0.744905,0.770678,0.785233", \ "0.678350,0.690755,0.701753,0.720035,0.741703,0.763811,0.780780", \ "0.684921,0.685398,0.696719,0.706280,0.722172,0.749583,0.772620", \ "0.895112,0.899509,0.883460,0.865302,0.836107,0.802849,0.818515", \ "1.269609,1.266183,1.244090,1.215322,1.162371,1.096485,1.008959", \ "1.826612,1.809197,1.792410,1.754212,1.701493,1.593072,1.438878", \ "2.552824,2.536896,2.531530,2.498579,2.436217,2.310701,2.105297"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.699366,3.702860,3.708641,3.699431,3.690717,3.681729,3.719122", \ "3.623781,3.629214,3.638830,3.658642,3.646073,3.708175,3.672556", \ "3.529458,3.549756,3.556001,3.575437,3.543786,3.614659,3.653740", \ "3.536462,3.536132,3.537793,3.536499,3.521101,3.511978,3.583635", \ "3.724169,3.704742,3.699812,3.689001,3.662986,3.636102,3.624006", \ "4.013314,4.024644,4.036928,4.068969,4.010226,3.963941,3.853463", \ "4.664409,4.635550,4.625210,4.573535,4.565679,4.454058,4.311433"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.709201,0.714978,0.716015,0.734502,0.744905,0.770678,0.785233", \ "0.678350,0.690755,0.701753,0.720035,0.741703,0.763811,0.780780", \ "0.684921,0.685398,0.696719,0.706280,0.722172,0.749583,0.772620", \ "0.895112,0.899509,0.883460,0.865302,0.836107,0.802849,0.818515", \ "1.269609,1.266183,1.244090,1.215322,1.162371,1.096485,1.008959", \ "1.826612,1.809197,1.792410,1.754212,1.701493,1.593072,1.438878", \ "2.552824,2.536896,2.531530,2.498579,2.436217,2.310701,2.105297"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.699366,3.702860,3.708641,3.699431,3.690717,3.681729,3.719122", \ "3.623781,3.629214,3.638830,3.658642,3.646073,3.708175,3.672556", \ "3.529458,3.549756,3.556001,3.575437,3.543786,3.614659,3.653740", \ "3.536462,3.536132,3.537793,3.536499,3.521101,3.511978,3.583635", \ "3.724169,3.704742,3.699812,3.689001,3.662986,3.636102,3.624006", \ "4.013314,4.024644,4.036928,4.068969,4.010226,3.963941,3.853463", \ "4.664409,4.635550,4.625210,4.573535,4.565679,4.454058,4.311433"); } } internal_power () { related_pin : "A2"; when : "!A1 & !A3 & B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.692055,0.690302,0.713586,0.728024,0.742654,0.763327,0.783314", \ "0.677506,0.678336,0.687953,0.713338,0.733429,0.756623,0.778689", \ "0.685712,0.687250,0.692750,0.699772,0.720229,0.747708,0.771369", \ "0.914438,0.905037,0.886804,0.881477,0.849430,0.810264,0.818529", \ "1.282031,1.275366,1.260845,1.234769,1.181648,1.118627,1.024843", \ "1.830348,1.814852,1.804216,1.777518,1.718714,1.615045,1.464279", \ "2.538946,2.553075,2.533321,2.516937,2.452328,2.337291,2.138203"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("3.677294,3.698066,3.703831,3.719412,3.726995,3.721660,3.711339", \ "3.598900,3.621256,3.630573,3.642427,3.674188,3.644318,3.690186", \ "3.526520,3.546484,3.552192,3.550008,3.561897,3.614806,3.637390", \ "3.535492,3.536991,3.536349,3.545202,3.514819,3.568037,3.578098", \ "3.725341,3.705654,3.700529,3.686813,3.649602,3.635646,3.639523", \ "4.022811,4.030424,4.042460,4.068142,4.013447,3.937138,3.855563", \ "4.675334,4.663554,4.636018,4.605945,4.576956,4.548086,4.320991"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.858139,1.883558,1.912521,1.948318,2.006087,2.069859,2.166301", \ "1.816149,1.825789,1.842372,1.896073,1.950599,2.019102,2.109539", \ "1.778845,1.783883,1.818024,1.854351,1.902397,1.985542,2.066631", \ "1.882730,1.895184,1.900565,1.912141,1.953020,2.021299,2.088365", \ "2.257069,2.255830,2.258082,2.250436,2.239137,2.215276,2.219639", \ "2.824044,2.819435,2.809211,2.791491,2.746695,2.684656,2.598792", \ "3.617410,3.596262,3.590241,3.567084,3.495211,3.400514,3.237516"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.684641,5.690448,5.688957,5.706881,5.679825,5.721119,5.743129", \ "5.613064,5.621299,5.642012,5.668801,5.656306,5.681999,5.713452", \ "5.536096,5.542557,5.541464,5.562642,5.552739,5.592029,5.636051", \ "5.498517,5.502707,5.501797,5.509715,5.532277,5.489313,5.527054", \ "5.551764,5.551955,5.558968,5.543784,5.530055,5.553510,5.578948", \ "5.851438,5.843014,5.830880,5.781741,5.751938,5.714205,5.704176", \ "6.384745,6.370712,6.348724,6.317471,6.295019,6.105826,6.062862"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.492328,1.514590,1.534566,1.565505,1.610672,1.661846,1.725075", \ "1.444926,1.448848,1.478783,1.496515,1.553444,1.608588,1.668936", \ "1.392483,1.395774,1.435685,1.468474,1.507960,1.552674,1.618541", \ "1.526257,1.533136,1.533656,1.533700,1.558838,1.592734,1.642001", \ "1.903279,1.891399,1.891462,1.870248,1.839828,1.806107,1.786014", \ "2.475781,2.469146,2.453382,2.415887,2.369997,2.281448,2.167303", \ "3.283558,3.276476,3.259620,3.220087,3.143828,3.021117,2.826079"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.088004,5.107345,5.102653,5.116611,5.114721,5.141139,5.147432", \ "5.030925,5.037154,5.054703,5.079741,5.059247,5.100833,5.116581", \ "4.939514,4.943826,4.965410,4.965446,5.008184,5.010404,5.038797", \ "4.916849,4.919311,4.916843,4.933508,4.921670,4.905821,4.927855", \ "4.965890,4.965002,4.959351,4.952515,4.961082,4.973433,4.982986", \ "5.202911,5.197262,5.218512,5.190023,5.184172,5.132728,5.107994", \ "5.723710,5.707178,5.689310,5.642399,5.599325,5.515849,5.429381"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & !B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.403416,1.421180,1.438871,1.479873,1.540288,1.597950,1.672829", \ "1.351906,1.363286,1.390924,1.419577,1.475075,1.547224,1.618406", \ "1.321693,1.340546,1.342305,1.394577,1.441479,1.499590,1.573758", \ "1.514924,1.533388,1.525501,1.533928,1.523016,1.553491,1.604742", \ "1.911041,1.912705,1.900323,1.894006,1.873248,1.827677,1.782752", \ "2.518369,2.509948,2.484239,2.470510,2.423464,2.338569,2.229070", \ "3.330325,3.327768,3.308607,3.271425,3.223225,3.098238,2.919790"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.122927,5.126696,5.133276,5.131428,5.133566,5.142231,5.147557", \ "5.039939,5.045770,5.056088,5.085377,5.084543,5.089162,5.104585", \ "4.956879,4.976014,4.983413,4.984693,4.994033,4.997598,5.022394", \ "4.940611,4.942312,4.947026,4.950040,4.955372,4.903369,4.917848", \ "4.992107,4.991266,4.990984,4.985615,4.996515,4.975302,4.980737", \ "5.247039,5.255008,5.272753,5.255892,5.208492,5.142341,5.113795", \ "5.801963,5.784761,5.760596,5.709378,5.683986,5.642346,5.451912"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.977756,0.982368,1.009660,1.038252,1.081686,1.121385,1.182305", \ "0.903638,0.934445,0.938078,0.975462,1.019361,1.066037,1.117952", \ "0.886024,0.888351,0.914363,0.937538,0.984283,1.021774,1.081881", \ "1.065028,1.055587,1.055757,1.042477,1.037896,1.067075,1.106047", \ "1.451761,1.453457,1.437317,1.407620,1.366935,1.308798,1.253137", \ "2.073125,2.059008,2.034812,1.989715,1.905442,1.809662,1.667143", \ "2.902096,2.890902,2.860119,2.812585,2.719639,2.571453,2.350809"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.434231,4.434027,4.453787,4.465433,4.444896,4.476139,4.481637", \ "4.373589,4.379539,4.385841,4.410273,4.418274,4.439582,4.445440", \ "4.282616,4.286470,4.291134,4.304765,4.316734,4.342849,4.388901", \ "4.243958,4.245538,4.266845,4.262612,4.262712,4.285711,4.253602", \ "4.318848,4.320948,4.307156,4.294122,4.283942,4.308062,4.312944", \ "4.432530,4.436549,4.453442,4.508155,4.517455,4.466128,4.437919", \ "5.010499,4.990940,4.972318,4.924380,4.910545,4.943171,4.757435"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & !B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.866175,0.905070,0.932832,0.968885,1.009163,1.078460,1.137854", \ "0.818503,0.826571,0.867068,0.900585,0.966194,1.027333,1.083301", \ "0.816099,0.830444,0.854898,0.880971,0.928452,0.985527,1.045510", \ "1.066893,1.067603,1.061641,1.054722,1.040089,1.045009,1.081441", \ "1.481180,1.476795,1.458647,1.427670,1.398404,1.340606,1.271732", \ "2.103396,2.086863,2.069670,2.038914,1.967928,1.869585,1.730319", \ "2.947441,2.922238,2.910511,2.879120,2.800272,2.659310,2.444842"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.423529,4.426647,4.432197,4.434990,4.470817,4.469012,4.484384", \ "4.338847,4.361670,4.371134,4.388466,4.419741,4.419040,4.426846", \ "4.273413,4.276445,4.282485,4.281552,4.323996,4.322473,4.343986", \ "4.240605,4.241835,4.263485,4.268161,4.276272,4.223466,4.237367", \ "4.317527,4.301912,4.303656,4.286807,4.302581,4.301881,4.303643", \ "4.440140,4.464194,4.475486,4.516177,4.487544,4.467683,4.436310", \ "5.043324,5.040402,5.010663,4.956139,4.937046,4.963619,4.772526"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.866175,0.905070,0.932832,0.968885,1.009163,1.078460,1.137854", \ "0.818503,0.826571,0.867068,0.900585,0.966194,1.027333,1.083301", \ "0.816099,0.830444,0.854898,0.880971,0.928452,0.985527,1.045510", \ "1.066893,1.067603,1.061641,1.054722,1.040089,1.045009,1.081441", \ "1.481180,1.476795,1.458647,1.427670,1.398404,1.340606,1.271732", \ "2.103396,2.086863,2.069670,2.038914,1.967928,1.869585,1.730319", \ "2.947441,2.922238,2.910511,2.879120,2.800272,2.659310,2.444842"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.423529,4.426647,4.432197,4.434990,4.470817,4.469012,4.484384", \ "4.338847,4.361670,4.371134,4.388466,4.419741,4.419040,4.426846", \ "4.273413,4.276445,4.282485,4.281552,4.323996,4.322473,4.343986", \ "4.240605,4.241835,4.263485,4.268161,4.276272,4.223466,4.237367", \ "4.317527,4.301912,4.303656,4.286807,4.302581,4.301881,4.303643", \ "4.440140,4.464194,4.475486,4.516177,4.487544,4.467683,4.436310", \ "5.043324,5.040402,5.010663,4.956139,4.937046,4.963619,4.772526"); } } internal_power () { related_pin : "A3"; when : "!A1 & !A2 & B1 & B2 & B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.847015,0.866619,0.895207,0.936992,0.986110,1.062379,1.122200", \ "0.784918,0.814156,0.847058,0.882373,0.934227,1.008505,1.071022", \ "0.796555,0.807920,0.826581,0.860575,0.912462,0.963137,1.030893", \ "1.066042,1.067089,1.067279,1.050854,1.044907,1.031265,1.066530", \ "1.484313,1.472888,1.460287,1.446036,1.408083,1.355883,1.283366", \ "2.108450,2.101330,2.082707,2.050329,1.993004,1.888288,1.745499", \ "2.943915,2.944334,2.924138,2.893853,2.822879,2.680904,2.478676"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.418611,4.421780,4.427346,4.446755,4.419135,4.435720,4.466606", \ "4.331254,4.353733,4.362921,4.362744,4.359462,4.422121,4.417565", \ "4.270660,4.273307,4.278816,4.276116,4.307231,4.321471,4.334803", \ "4.245285,4.240557,4.256717,4.268185,4.236367,4.208489,4.231914", \ "4.317259,4.301632,4.303230,4.307959,4.277383,4.257995,4.300868", \ "4.443115,4.466714,4.477836,4.511654,4.517238,4.486463,4.435909", \ "5.072677,5.051904,5.021384,4.975615,4.974921,4.974851,4.778422"); } } internal_power () { related_pin : "B1"; when : "!A1 & !A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.064221,1.074985,1.084779,1.131759,1.166622,1.224305,1.280166", \ "1.068367,1.075618,1.094187,1.148229,1.197811,1.250916,1.319696", \ "1.049520,1.056350,1.080602,1.129196,1.181500,1.248667,1.325231", \ "1.133762,1.130989,1.147008,1.154041,1.176153,1.239831,1.308738", \ "1.349338,1.342057,1.341703,1.348084,1.340798,1.344575,1.352041", \ "1.689748,1.676876,1.682402,1.674101,1.658434,1.625906,1.579820", \ "2.142869,2.153666,2.148137,2.140875,2.124473,2.061679,1.972400"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.088509,6.092695,6.101358,6.104306,6.128553,6.117793,6.104909", \ "6.013872,6.020286,6.031899,6.048909,6.068282,6.054424,6.058813", \ "5.967236,5.971504,5.981616,6.003855,5.984928,6.052750,6.023182", \ "6.146915,6.146913,6.148221,6.130022,6.144654,6.067310,6.100294", \ "6.545348,6.536610,6.516907,6.514984,6.449144,6.412808,6.387981", \ "7.152795,7.141325,7.125085,7.104872,7.031052,6.978497,6.858604", \ "8.012960,8.001121,7.981902,7.947000,7.843936,7.740918,7.585103"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.764721,0.775225,0.774908,0.790314,0.809425,0.826502,0.846092", \ "0.766295,0.777080,0.782768,0.801325,0.831639,0.858731,0.887765", \ "0.728079,0.735769,0.752087,0.775093,0.807047,0.849889,0.888296", \ "0.780101,0.788760,0.784718,0.787948,0.794023,0.824989,0.867723", \ "0.975746,0.968366,0.964950,0.957127,0.949322,0.931590,0.906200", \ "1.301686,1.296773,1.281055,1.274101,1.251187,1.203105,1.134568", \ "1.765759,1.754378,1.746459,1.746293,1.710482,1.649118,1.536850"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.462893,5.465976,5.483941,5.486098,5.511555,5.492865,5.495497", \ "5.388593,5.393711,5.403491,5.429315,5.449370,5.482257,5.447939", \ "5.357431,5.360741,5.368457,5.377399,5.400975,5.419283,5.413918", \ "5.521357,5.520367,5.519994,5.510851,5.502248,5.517403,5.492085", \ "5.918655,5.910160,5.904031,5.895461,5.867988,5.817941,5.782107", \ "6.536510,6.525094,6.508440,6.470401,6.441854,6.365114,6.252219", \ "7.309513,7.309604,7.301651,7.317326,7.262497,7.141486,6.978775"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.756666,0.765574,0.773273,0.787528,0.799345,0.813326,0.834955", \ "0.758840,0.768538,0.779595,0.802074,0.826297,0.852471,0.877077", \ "0.730723,0.735943,0.753644,0.767658,0.802946,0.840699,0.877419", \ "0.794303,0.792510,0.802690,0.804502,0.804913,0.826866,0.863905", \ "0.993480,0.983597,0.984059,0.980182,0.960250,0.954105,0.928316", \ "1.334375,1.331631,1.318707,1.306860,1.270277,1.225664,1.165042", \ "1.808162,1.807737,1.796611,1.786025,1.750717,1.689656,1.566654"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.839943,5.843131,5.850115,5.867942,5.854550,5.845891,5.849630", \ "5.766733,5.771822,5.781065,5.808318,5.833246,5.859393,5.908606", \ "5.736118,5.739718,5.746480,5.765041,5.769018,5.799791,5.857142", \ "5.902015,5.900408,5.900930,5.896366,5.888485,5.898120,5.851811", \ "6.294323,6.291729,6.286792,6.281902,6.250494,6.160028,6.159497", \ "6.911308,6.900766,6.885732,6.857333,6.812847,6.700467,6.653442", \ "7.713816,7.699639,7.696274,7.712669,7.652937,7.533445,7.364327"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.300268,0.300205,0.303378,0.304269,0.307612,0.310912,0.312771", \ "0.284029,0.287947,0.296512,0.310829,0.325298,0.339616,0.348252", \ "0.215071,0.220906,0.235787,0.255053,0.283186,0.315372,0.348715", \ "0.276609,0.281980,0.278952,0.279381,0.274820,0.290295,0.325357", \ "0.478855,0.482242,0.468569,0.457317,0.442077,0.412140,0.371461", \ "0.835703,0.826299,0.817573,0.783881,0.757202,0.691127,0.613039", \ "1.314042,1.304044,1.284818,1.276443,1.238465,1.152979,1.030217"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("4.774838,4.779188,4.787301,4.792943,4.835710,4.846928,4.894129", \ "4.682576,4.706542,4.721195,4.734534,4.777491,4.776490,4.769727", \ "4.652238,4.656673,4.665608,4.698688,4.727642,4.762431,4.798689", \ "4.833915,4.833477,4.836025,4.841254,4.843873,4.821665,4.814713", \ "5.233214,5.228734,5.221382,5.198861,5.181573,5.112129,5.103580", \ "5.815015,5.810905,5.825302,5.811251,5.729542,5.682922,5.573673", \ "6.546852,6.558809,6.558712,6.553504,6.570095,6.419764,6.303600"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.305836,0.306558,0.309259,0.308278,0.307862,0.306166,0.311965", \ "0.286796,0.294275,0.303325,0.312950,0.323340,0.339819,0.350078", \ "0.219761,0.228978,0.244108,0.261043,0.285477,0.319170,0.346930", \ "0.292652,0.290691,0.293155,0.294275,0.295941,0.298477,0.331485", \ "0.498378,0.497130,0.491774,0.478790,0.458808,0.434853,0.402062", \ "0.864045,0.852485,0.840259,0.820212,0.784410,0.724050,0.646388", \ "1.354292,1.342618,1.340783,1.308810,1.281295,1.186984,1.066669"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.125332,5.129338,5.140514,5.135546,5.160737,5.205466,5.162624", \ "5.033953,5.039725,5.068524,5.074160,5.116419,5.164859,5.118806", \ "5.004745,5.008976,5.016454,5.023698,5.065922,5.101480,5.107123", \ "5.170168,5.188252,5.189855,5.189445,5.184191,5.207034,5.165928", \ "5.585267,5.578040,5.577310,5.550985,5.518452,5.467118,5.464523", \ "6.168455,6.185983,6.176721,6.142257,6.123924,6.052484,5.945203", \ "6.918691,6.915439,6.919408,6.917421,6.942732,6.828411,6.679836"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.305836,0.306558,0.309259,0.308278,0.307862,0.306166,0.311965", \ "0.286796,0.294275,0.303325,0.312950,0.323340,0.339819,0.350078", \ "0.219761,0.228978,0.244108,0.261043,0.285477,0.319170,0.346930", \ "0.292652,0.290691,0.293155,0.294275,0.295941,0.298477,0.331485", \ "0.498378,0.497130,0.491774,0.478790,0.458808,0.434853,0.402062", \ "0.864045,0.852485,0.840259,0.820212,0.784410,0.724050,0.646388", \ "1.354292,1.342618,1.340783,1.308810,1.281295,1.186984,1.066669"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.125332,5.129338,5.140514,5.135546,5.160737,5.205466,5.162624", \ "5.033953,5.039725,5.068524,5.074160,5.116419,5.164859,5.118806", \ "5.004745,5.008976,5.016454,5.023698,5.065922,5.101480,5.107123", \ "5.170168,5.188252,5.189855,5.189445,5.184191,5.207034,5.165928", \ "5.585267,5.578040,5.577310,5.550985,5.518452,5.467118,5.464523", \ "6.168455,6.185983,6.176721,6.142257,6.123924,6.052484,5.945203", \ "6.918691,6.915439,6.919408,6.917421,6.942732,6.828411,6.679836"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & A3 & !B2 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.307877,0.309274,0.305212,0.307212,0.304828,0.310779,0.311864", \ "0.289720,0.298255,0.306637,0.316434,0.326669,0.338537,0.350496", \ "0.222971,0.229603,0.246565,0.261631,0.286850,0.322349,0.347497", \ "0.297748,0.297239,0.297511,0.299757,0.297641,0.302110,0.331072", \ "0.503838,0.506201,0.499692,0.478198,0.463446,0.442109,0.409510", \ "0.879191,0.869008,0.844537,0.830254,0.787931,0.727657,0.654246", \ "1.384157,1.370848,1.355813,1.333150,1.291497,1.202163,1.079060"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.435004,5.438974,5.445534,5.471495,5.487455,5.474328,5.489389", \ "5.362465,5.367943,5.377669,5.410563,5.420948,5.435375,5.445843", \ "5.313887,5.317538,5.343522,5.357022,5.389899,5.386284,5.408594", \ "5.494226,5.494320,5.496219,5.492446,5.489668,5.526275,5.511537", \ "5.884083,5.881316,5.880086,5.870135,5.819073,5.805898,5.793272", \ "6.490784,6.487363,6.476168,6.472409,6.423382,6.379341,6.276146", \ "7.221597,7.221128,7.228683,7.229986,7.247894,7.092114,7.026964"); } } internal_power () { related_pin : "B2"; when : "!A1 & !A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.558347,1.558751,1.589978,1.618681,1.659596,1.705912,1.771524", \ "1.534840,1.545296,1.576860,1.610343,1.646285,1.704890,1.767352", \ "1.493584,1.498838,1.537885,1.570563,1.617378,1.674964,1.749513", \ "1.534538,1.532103,1.540769,1.548818,1.598498,1.655412,1.727994", \ "1.715716,1.725575,1.737651,1.741726,1.740463,1.750608,1.771968", \ "2.050643,2.051125,2.043918,2.038414,2.026137,2.013987,1.985793", \ "2.521926,2.515359,2.512998,2.508498,2.491607,2.447500,2.374820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.866883,6.870858,6.878998,6.886766,6.876314,6.935217,6.879022", \ "6.825087,6.829951,6.839707,6.846760,6.871836,6.879328,6.931121", \ "6.760361,6.765604,6.776088,6.788238,6.778142,6.800385,6.880215", \ "6.739044,6.743381,6.752228,6.753687,6.755429,6.820522,6.786132", \ "6.862037,6.862774,6.867370,6.875795,6.853127,6.853743,6.821623", \ "7.215652,7.210427,7.204000,7.199571,7.163976,7.118336,7.107288", \ "7.822307,7.819544,7.814006,7.791784,7.727444,7.609657,7.558441"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.226644,1.226476,1.242541,1.260995,1.278839,1.303219,1.339878", \ "1.211589,1.212255,1.218028,1.248412,1.273754,1.302926,1.335264", \ "1.161242,1.164856,1.171478,1.207861,1.228613,1.275037,1.313750", \ "1.179152,1.179348,1.187075,1.181735,1.208175,1.247884,1.292193", \ "1.347244,1.352624,1.352968,1.352503,1.338409,1.328921,1.318247", \ "1.670737,1.668120,1.656160,1.652598,1.624569,1.598741,1.548561", \ "2.139864,2.131309,2.123886,2.116074,2.091040,2.033390,1.938568"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.256312,6.259881,6.266480,6.269471,6.285338,6.302012,6.269419", \ "6.199866,6.203522,6.211363,6.227906,6.234572,6.283044,6.223184", \ "6.134624,6.138994,6.160320,6.161664,6.176843,6.206661,6.274232", \ "6.128952,6.132491,6.139757,6.136393,6.138515,6.192587,6.177062", \ "6.250558,6.252534,6.254513,6.236235,6.258445,6.213914,6.212418", \ "6.597778,6.592719,6.586162,6.572660,6.562311,6.520696,6.501081", \ "7.127958,7.120757,7.130239,7.146764,7.135814,7.046769,6.951851"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.204737,1.199179,1.217075,1.240638,1.254800,1.291523,1.321965", \ "1.186800,1.183854,1.213605,1.225500,1.255957,1.281366,1.316201", \ "1.135478,1.143387,1.161614,1.184722,1.211273,1.256856,1.297977", \ "1.190356,1.188816,1.194820,1.195567,1.198264,1.237677,1.279803", \ "1.367807,1.370996,1.360529,1.367379,1.355065,1.344990,1.326795", \ "1.691732,1.691300,1.682860,1.678379,1.655735,1.621036,1.565376", \ "2.176634,2.168106,2.160557,2.153302,2.129315,2.068161,1.968054"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.635495,6.638457,6.644303,6.651410,6.640488,6.693673,6.725717", \ "6.580059,6.584064,6.592077,6.611967,6.633359,6.646639,6.699038", \ "6.511757,6.515674,6.523969,6.552365,6.554838,6.600638,6.631251", \ "6.505029,6.508100,6.515096,6.513222,6.528498,6.570264,6.528549", \ "6.627238,6.629098,6.630877,6.624301,6.599389,6.637160,6.636654", \ "6.981286,6.967802,6.961069,6.954434,6.938897,6.902831,6.860192", \ "7.520168,7.514813,7.527328,7.533397,7.491395,7.369902,7.317687"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.720933,0.739959,0.741642,0.752098,0.763784,0.782247,0.802192", \ "0.708756,0.723164,0.726516,0.746691,0.761801,0.777323,0.795222", \ "0.639932,0.659091,0.666953,0.683935,0.713578,0.743676,0.771268", \ "0.685297,0.679681,0.685296,0.681743,0.683177,0.712346,0.751059", \ "0.868351,0.862842,0.852301,0.850019,0.833038,0.816611,0.781226", \ "1.194038,1.188528,1.171001,1.156843,1.128996,1.086235,1.018955", \ "1.666363,1.668921,1.655283,1.638593,1.614723,1.543907,1.428828"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.551610,5.555678,5.582114,5.584604,5.604100,5.618016,5.698268", \ "5.494182,5.516967,5.526382,5.541290,5.550428,5.555472,5.650944", \ "5.446749,5.451777,5.461996,5.492136,5.482943,5.536799,5.598639", \ "5.423704,5.428046,5.436712,5.450556,5.476678,5.526298,5.498080", \ "5.545180,5.547277,5.551220,5.550927,5.572786,5.509365,5.530387", \ "5.877077,5.885562,5.895245,5.903580,5.856259,5.786119,5.822911", \ "6.375178,6.364677,6.387644,6.387806,6.441846,6.349820,6.274774"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.717388,0.722949,0.735111,0.747367,0.756355,0.769859,0.791956", \ "0.698608,0.708501,0.719397,0.736361,0.747379,0.768746,0.784473", \ "0.638402,0.646534,0.664148,0.673869,0.708729,0.736201,0.764088", \ "0.698545,0.692976,0.691895,0.693685,0.690356,0.705710,0.745079", \ "0.875894,0.879271,0.867804,0.859892,0.847231,0.827838,0.798219", \ "1.222468,1.218085,1.203905,1.188822,1.161949,1.107897,1.044566", \ "1.721599,1.710442,1.699318,1.682130,1.639550,1.572422,1.460912"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.903381,5.907092,5.914092,5.925040,5.961015,5.948384,5.935642", \ "5.847067,5.851728,5.879603,5.879454,5.925128,5.886055,6.001660", \ "5.778655,5.801316,5.811021,5.835083,5.865263,5.859818,5.947447", \ "5.773207,5.776938,5.785026,5.787704,5.824218,5.797570,5.840212", \ "5.894574,5.896282,5.900656,5.891023,5.919138,5.909525,5.967005", \ "6.235028,6.252822,6.244816,6.251192,6.234454,6.201173,6.174153", \ "6.715971,6.725168,6.732705,6.749858,6.804637,6.716446,6.632168"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.717388,0.722949,0.735111,0.747367,0.756355,0.769859,0.791956", \ "0.698608,0.708501,0.719397,0.736361,0.747379,0.768746,0.784473", \ "0.638402,0.646534,0.664148,0.673869,0.708729,0.736201,0.764088", \ "0.698545,0.692976,0.691895,0.693685,0.690356,0.705710,0.745079", \ "0.875894,0.879271,0.867804,0.859892,0.847231,0.827838,0.798219", \ "1.222468,1.218085,1.203905,1.188822,1.161949,1.107897,1.044566", \ "1.721599,1.710442,1.699318,1.682130,1.639550,1.572422,1.460912"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("5.903381,5.907092,5.914092,5.925040,5.961015,5.948384,5.935642", \ "5.847067,5.851728,5.879603,5.879454,5.925128,5.886055,6.001660", \ "5.778655,5.801316,5.811021,5.835083,5.865263,5.859818,5.947447", \ "5.773207,5.776938,5.785026,5.787704,5.824218,5.797570,5.840212", \ "5.894574,5.896282,5.900656,5.891023,5.919138,5.909525,5.967005", \ "6.235028,6.252822,6.244816,6.251192,6.234454,6.201173,6.174153", \ "6.715971,6.725168,6.732705,6.749858,6.804637,6.716446,6.632168"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & A3 & !B1 & !B3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.726031,0.732252,0.730603,0.738038,0.757968,0.772444,0.787643", \ "0.707671,0.714924,0.717446,0.730837,0.750293,0.763295,0.784322", \ "0.645749,0.651285,0.666835,0.678051,0.706091,0.735416,0.764298", \ "0.698563,0.697700,0.692094,0.694625,0.693059,0.706120,0.744418", \ "0.885370,0.880485,0.878933,0.865043,0.854654,0.830819,0.806061", \ "1.233222,1.229236,1.216363,1.200297,1.164397,1.118686,1.054898", \ "1.736574,1.732339,1.716746,1.697246,1.661524,1.590536,1.473936"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.214334,6.235634,6.241973,6.261111,6.280567,6.271211,6.261178", \ "6.176443,6.181135,6.188970,6.215299,6.227108,6.271283,6.223057", \ "6.106120,6.110881,6.119675,6.141662,6.181152,6.136546,6.274453", \ "6.081069,6.084643,6.110726,6.114170,6.134487,6.171007,6.165335", \ "6.202182,6.220968,6.224205,6.208125,6.220601,6.204101,6.211513", \ "6.566918,6.567386,6.567496,6.555957,6.516073,6.465398,6.500188", \ "7.037020,7.040362,7.054751,7.062661,7.115411,7.001944,6.959209"); } } internal_power () { related_pin : "B3"; when : "!A1 & !A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.868130,1.890054,1.913426,1.937708,1.993596,2.069375,2.163846", \ "1.800932,1.824378,1.859639,1.896454,1.953238,2.014872,2.108836", \ "1.761361,1.776899,1.799936,1.842686,1.901190,1.970042,2.059873", \ "1.769243,1.789761,1.799244,1.813319,1.887266,1.954450,2.043122", \ "1.983521,1.996148,1.986421,2.011213,2.015040,2.041656,2.083258", \ "2.321434,2.323763,2.319327,2.330080,2.327456,2.314240,2.298980", \ "2.837108,2.828833,2.837015,2.829717,2.813505,2.765621,2.706618"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("7.607052,7.610824,7.619136,7.611466,7.634165,7.636023,7.639937", \ "7.558686,7.562172,7.571850,7.566085,7.580018,7.577935,7.584147", \ "7.487717,7.492874,7.503391,7.513158,7.535844,7.577398,7.529019", \ "7.452598,7.457277,7.466767,7.480206,7.498211,7.494431,7.549044", \ "7.501991,7.506375,7.497522,7.516409,7.493397,7.550298,7.510952", \ "7.728583,7.728306,7.712227,7.696740,7.699520,7.698009,7.633521", \ "8.198382,8.200575,8.206490,8.218214,8.174609,8.050081,8.040202"); } } internal_power () { related_pin : "B3"; when : "!A1 & A2 & !A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.500359,1.503979,1.534029,1.563827,1.607485,1.661560,1.714247", \ "1.446284,1.449763,1.462368,1.494920,1.550910,1.605889,1.667406", \ "1.393308,1.405503,1.409635,1.454193,1.492207,1.551261,1.618569", \ "1.419301,1.415506,1.417218,1.435353,1.484100,1.538508,1.601498", \ "1.609595,1.617726,1.606367,1.608299,1.619599,1.613767,1.631406", \ "1.940524,1.947142,1.930095,1.934759,1.917548,1.897869,1.859516", \ "2.457791,2.461104,2.445861,2.439785,2.414554,2.353603,2.268730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.981378,6.984183,6.992803,6.995480,6.991861,7.011683,7.031672", \ "6.931988,6.935903,6.949290,6.948216,6.983065,6.994390,6.974930", \ "6.877748,6.882093,6.891032,6.888507,6.931724,6.944041,6.919072", \ "6.842330,6.846236,6.854136,6.867881,6.880219,6.901076,6.941767", \ "6.876545,6.878846,6.884491,6.894303,6.903052,6.917278,6.901973", \ "7.111337,7.110884,7.094257,7.083027,7.076931,7.085613,7.019815", \ "7.507301,7.506852,7.509600,7.516387,7.563039,7.469717,7.433527"); } } internal_power () { related_pin : "B3"; when : "!A1 & A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("1.459848,1.471642,1.492678,1.509400,1.550178,1.617078,1.680182", \ "1.404617,1.416345,1.415423,1.458437,1.507332,1.559304,1.623425", \ "1.346245,1.366562,1.366721,1.403268,1.459595,1.515945,1.575438", \ "1.406266,1.405839,1.419799,1.431708,1.446230,1.494621,1.562221", \ "1.630257,1.629944,1.613843,1.626777,1.629550,1.616664,1.615723", \ "1.973992,1.967988,1.961537,1.958146,1.929914,1.909954,1.867997", \ "2.505344,2.506229,2.486238,2.466726,2.451523,2.375921,2.295573"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("7.360114,7.362863,7.369066,7.390598,7.400661,7.421719,7.387060", \ "7.312199,7.316159,7.324009,7.341426,7.342831,7.379670,7.434376", \ "7.254658,7.258942,7.267665,7.285470,7.269738,7.323285,7.378052", \ "7.217782,7.221236,7.229020,7.231642,7.229946,7.249116,7.290609", \ "7.251944,7.254023,7.259719,7.259696,7.247380,7.252405,7.331264", \ "7.488660,7.477914,7.471155,7.458176,7.448298,7.385950,7.373500", \ "7.891340,7.891378,7.914755,7.917125,7.925714,7.849925,7.795965"); } } internal_power () { related_pin : "B3"; when : "A1 & !A2 & !A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.977426,0.991923,1.012962,1.039547,1.081807,1.122987,1.181492", \ "0.923048,0.937217,0.948943,0.986829,1.025852,1.068352,1.126329", \ "0.862969,0.878895,0.894702,0.929676,0.967816,1.022549,1.077831", \ "0.917289,0.912068,0.924122,0.926784,0.955680,0.995617,1.058212", \ "1.112705,1.121456,1.117376,1.121568,1.110215,1.105493,1.087923", \ "1.469555,1.459994,1.464049,1.443880,1.417841,1.382318,1.334927", \ "2.006298,2.009356,1.995564,1.977350,1.936734,1.861652,1.753462"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.293588,6.297559,6.305486,6.305994,6.340575,6.369432,6.353179", \ "6.226363,6.249577,6.258775,6.256196,6.280387,6.299833,6.295822", \ "6.172935,6.178097,6.188180,6.215160,6.220126,6.290126,6.347397", \ "6.136614,6.141376,6.169208,6.180251,6.202171,6.234695,6.262805", \ "6.169348,6.190867,6.198755,6.210474,6.199337,6.181650,6.220294", \ "6.343201,6.359497,6.391072,6.416597,6.390944,6.354089,6.344748", \ "6.748504,6.746307,6.771190,6.791415,6.819909,6.831845,6.755434"); } } internal_power () { related_pin : "B3"; when : "A1 & !A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.934715,0.935388,0.962397,0.994482,1.037735,1.089078,1.143488", \ "0.880632,0.880289,0.912455,0.938521,0.988613,1.032693,1.094481", \ "0.827061,0.838050,0.862670,0.898844,0.926290,0.982825,1.043480", \ "0.914129,0.922206,0.931969,0.922058,0.935815,0.971365,1.031916", \ "1.141231,1.131421,1.135322,1.123678,1.117142,1.108953,1.085159", \ "1.500053,1.496937,1.484680,1.474964,1.443155,1.404715,1.351923", \ "2.055800,2.049853,2.033480,2.017371,1.967988,1.894679,1.787514"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.627095,6.648953,6.656807,6.672655,6.690710,6.730708,6.699814", \ "6.579311,6.585887,6.611502,6.620664,6.628916,6.661213,6.647880", \ "6.522728,6.527783,6.537613,6.556804,6.595815,6.626286,6.694253", \ "6.485413,6.489539,6.498330,6.515406,6.542218,6.529841,6.604284", \ "6.518355,6.520977,6.533370,6.549837,6.538311,6.523194,6.562637", \ "6.708163,6.725185,6.763525,6.743137,6.757760,6.714550,6.683892", \ "7.099786,7.098380,7.119447,7.140090,7.192464,7.157773,7.110560"); } } internal_power () { related_pin : "B3"; when : "A1 & A2 & !A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.934715,0.935388,0.962397,0.994482,1.037735,1.089078,1.143488", \ "0.880632,0.880289,0.912455,0.938521,0.988613,1.032693,1.094481", \ "0.827061,0.838050,0.862670,0.898844,0.926290,0.982825,1.043480", \ "0.914129,0.922206,0.931969,0.922058,0.935815,0.971365,1.031916", \ "1.141231,1.131421,1.135322,1.123678,1.117142,1.108953,1.085159", \ "1.500053,1.496937,1.484680,1.474964,1.443155,1.404715,1.351923", \ "2.055800,2.049853,2.033480,2.017371,1.967988,1.894679,1.787514"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.627095,6.648953,6.656807,6.672655,6.690710,6.730708,6.699814", \ "6.579311,6.585887,6.611502,6.620664,6.628916,6.661213,6.647880", \ "6.522728,6.527783,6.537613,6.556804,6.595815,6.626286,6.694253", \ "6.485413,6.489539,6.498330,6.515406,6.542218,6.529841,6.604284", \ "6.518355,6.520977,6.533370,6.549837,6.538311,6.523194,6.562637", \ "6.708163,6.725185,6.763525,6.743137,6.757760,6.714550,6.683892", \ "7.099786,7.098380,7.119447,7.140090,7.192464,7.157773,7.110560"); } } internal_power () { related_pin : "B3"; when : "A1 & A2 & A3 & !B1 & !B2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("0.937378,0.951015,0.952522,0.989485,1.023865,1.079655,1.135445", \ "0.881972,0.888285,0.912750,0.932888,0.981259,1.031916,1.081982", \ "0.834849,0.847077,0.852067,0.885482,0.926353,0.974540,1.038136", \ "0.924427,0.932563,0.934867,0.934730,0.934613,0.970316,1.024922", \ "1.139784,1.146755,1.137604,1.137488,1.122001,1.115701,1.092394", \ "1.515867,1.512437,1.501320,1.482801,1.459815,1.403789,1.351905", \ "2.088352,2.065712,2.054971,2.038158,1.985298,1.911889,1.791888"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.649419,1.153520,2.048920,3.639360,6.464350,11.482200"); values ("6.955596,6.958936,6.965206,6.971658,6.972598,7.003648,7.026799", \ "6.908701,6.913483,6.929466,6.945929,6.959114,7.005968,6.977996", \ "6.833081,6.837414,6.845345,6.877427,6.870907,6.929075,6.913246", \ "6.808721,6.816004,6.823983,6.829871,6.874133,6.880342,6.928278", \ "6.845001,6.847228,6.853876,6.871694,6.848463,6.846717,6.887221", \ "7.027117,7.066552,7.075960,7.063432,7.054639,7.024074,7.012934", \ "7.422010,7.422594,7.444408,7.474684,7.491175,7.435218,7.438096"); } } } } /****************************************************************************************** Module : OAI211_X1 Cell Description : Combinational cell (OAI211_X1) with drive strength X1 *******************************************************************************************/ cell (OAI211_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.039133; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 6.227474; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 4.756606; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 4.756602; } leakage_power () { when : "!A & !B & C1 & C2"; value : 1.363959; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 21.114467; } leakage_power () { when : "!A & B & !C1 & C2"; value : 22.167189; } leakage_power () { when : "!A & B & C1 & !C2"; value : 22.167198; } leakage_power () { when : "!A & B & C1 & C2"; value : 19.004644; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 7.125569; } leakage_power () { when : "A & !B & !C1 & C2"; value : 7.174464; } leakage_power () { when : "A & !B & C1 & !C2"; value : 7.174464; } leakage_power () { when : "A & !B & C1 & C2"; value : 3.818893; } leakage_power () { when : "A & B & !C1 & !C2"; value : 49.582390; } leakage_power () { when : "A & B & !C1 & C2"; value : 53.532677; } leakage_power () { when : "A & B & C1 & !C2"; value : 55.882200; } leakage_power () { when : "A & B & C1 & C2"; value : 66.777326; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.614241; fall_capacitance : 1.530922; rise_capacitance : 1.614241; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.657276; fall_capacitance : 1.498318; rise_capacitance : 1.657276; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.595175; fall_capacitance : 1.441853; rise_capacitance : 1.595175; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.555656; fall_capacitance : 1.555656; rise_capacitance : 1.524728; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.558500; function : "!(((C1 | C2) & A) & B)"; timing () { related_pin : "A"; when : "B & !C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.019239,0.020624,0.023137,0.028072,0.037765,0.056893,0.094870", \ "0.020533,0.021932,0.024469,0.029439,0.039174,0.058341,0.096350", \ "0.024702,0.026096,0.028637,0.033630,0.043422,0.062668,0.100744", \ "0.030597,0.032310,0.035326,0.040972,0.051359,0.070690,0.108861", \ "0.035642,0.037844,0.041692,0.048749,0.061191,0.082750,0.121559", \ "0.039227,0.041930,0.046685,0.055317,0.070504,0.096038,0.138774", \ "0.041412,0.044600,0.050233,0.060469,0.078410,0.108546,0.157455"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.013582,0.014672,0.016665,0.020606,0.028403,0.043879,0.074687", \ "0.015113,0.016215,0.018225,0.022193,0.030025,0.045534,0.076373", \ "0.021498,0.022552,0.024500,0.028405,0.036181,0.051654,0.082470", \ "0.030490,0.032102,0.034919,0.040018,0.048811,0.064063,0.094613", \ "0.039691,0.041788,0.045457,0.052148,0.063863,0.083202,0.114304", \ "0.049459,0.051994,0.056472,0.064608,0.078993,0.103143,0.141441", \ "0.059909,0.062885,0.068135,0.077703,0.094600,0.123181,0.169313"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.010440,0.011554,0.013608,0.017700,0.025888,0.042235,0.074922", \ "0.010438,0.011556,0.013611,0.017702,0.025883,0.042238,0.074921", \ "0.010572,0.011617,0.013578,0.017682,0.025884,0.042238,0.074928", \ "0.013431,0.014392,0.016156,0.019710,0.026802,0.042278,0.074919", \ "0.018594,0.019623,0.021449,0.024936,0.031685,0.045302,0.075315", \ "0.025272,0.026464,0.028524,0.032399,0.039494,0.052797,0.079707", \ "0.033269,0.034616,0.036957,0.041342,0.049237,0.063269,0.089490"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.010358,0.011441,0.013423,0.017387,0.025241,0.040557,0.070283", \ "0.010359,0.011440,0.013424,0.017389,0.025232,0.040562,0.070284", \ "0.011253,0.012122,0.013800,0.017430,0.025240,0.040563,0.070278", \ "0.017752,0.018598,0.020050,0.022686,0.027970,0.040935,0.070289", \ "0.025874,0.026961,0.028848,0.032323,0.038277,0.048180,0.071662", \ "0.035337,0.036600,0.038829,0.043000,0.050370,0.062464,0.081993", \ "0.046146,0.047525,0.050009,0.054757,0.063357,0.077883,0.100790"); } } timing () { related_pin : "A"; when : "B & C1 & !C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.015535,0.016839,0.019229,0.023983,0.033448,0.052334,0.090071", \ "0.016761,0.018088,0.020518,0.025325,0.034846,0.053778,0.091548", \ "0.020675,0.022106,0.024599,0.029463,0.039065,0.058094,0.095946", \ "0.025027,0.026918,0.030182,0.036117,0.046752,0.066107,0.104065", \ "0.028017,0.030510,0.034798,0.042484,0.055657,0.077802,0.116773", \ "0.029604,0.032703,0.038006,0.047455,0.063664,0.090238,0.133705", \ "0.029919,0.033619,0.039872,0.051060,0.070217,0.101667,0.151771"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.013234,0.014325,0.016318,0.020257,0.028047,0.043499,0.074278", \ "0.014762,0.015865,0.017877,0.021844,0.029667,0.045156,0.075956", \ "0.021134,0.022214,0.024158,0.028059,0.035823,0.051272,0.082067", \ "0.029924,0.031557,0.034408,0.039564,0.048425,0.063688,0.094193", \ "0.038912,0.041038,0.044754,0.051507,0.063302,0.082749,0.113888", \ "0.048435,0.051009,0.055542,0.063763,0.078248,0.102525,0.140949", \ "0.058591,0.061623,0.066952,0.076640,0.093651,0.122383,0.168666"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.007886,0.008997,0.011047,0.015141,0.023308,0.039615,0.072205", \ "0.007884,0.008999,0.011051,0.015145,0.023309,0.039620,0.072202", \ "0.008563,0.009521,0.011344,0.015187,0.023315,0.039618,0.072201", \ "0.011999,0.012926,0.014597,0.017967,0.024785,0.039820,0.072207", \ "0.017488,0.018496,0.020286,0.023698,0.030218,0.043486,0.072793", \ "0.024459,0.025569,0.027570,0.031360,0.038333,0.051330,0.077734", \ "0.032687,0.033910,0.036163,0.040443,0.048204,0.062018,0.087872"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.008821,0.009807,0.011633,0.015291,0.022612,0.037244,0.066552", \ "0.008820,0.009807,0.011635,0.015293,0.022611,0.037259,0.066535", \ "0.009779,0.010550,0.012052,0.015351,0.022615,0.037253,0.066523", \ "0.015270,0.016169,0.017701,0.020441,0.025414,0.037647,0.066530", \ "0.021463,0.022689,0.024781,0.028543,0.034843,0.044936,0.067912", \ "0.028470,0.030010,0.032641,0.037372,0.045430,0.058290,0.078313", \ "0.036565,0.038406,0.041532,0.047184,0.056851,0.072553,0.096599"); } } timing () { related_pin : "A"; when : "B & C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.013948,0.015056,0.017090,0.021134,0.029186,0.045248,0.077341", \ "0.015226,0.016357,0.018424,0.022513,0.030613,0.046716,0.078833", \ "0.019788,0.021051,0.023223,0.027374,0.035551,0.051742,0.083934", \ "0.024487,0.026302,0.029427,0.035037,0.044710,0.061413,0.093708", \ "0.027428,0.029849,0.034011,0.041479,0.054226,0.074988,0.109019", \ "0.028858,0.031871,0.037031,0.046260,0.062095,0.087921,0.128594", \ "0.028905,0.032500,0.038620,0.049561,0.068323,0.099118,0.147672"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.014340,0.015422,0.017402,0.021325,0.029096,0.044532,0.075285", \ "0.015877,0.016971,0.018971,0.022923,0.030734,0.046212,0.077007", \ "0.022228,0.023280,0.025227,0.029118,0.036870,0.052313,0.083095", \ "0.031599,0.033162,0.035903,0.040897,0.049538,0.064727,0.095224", \ "0.041133,0.043180,0.046760,0.053322,0.064858,0.083989,0.114944", \ "0.051242,0.053713,0.058077,0.066068,0.080259,0.104177,0.142225", \ "0.062005,0.064914,0.070061,0.079450,0.096141,0.124476,0.170329"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.007241,0.008179,0.009906,0.013353,0.020222,0.033909,0.061274", \ "0.007240,0.008179,0.009906,0.013352,0.020214,0.033915,0.061267", \ "0.008128,0.008871,0.010317,0.013437,0.020219,0.033916,0.061268", \ "0.012391,0.013174,0.014577,0.017269,0.022490,0.034288,0.061272", \ "0.018293,0.019198,0.020810,0.023866,0.029474,0.039889,0.062431", \ "0.025629,0.026615,0.028424,0.031916,0.038336,0.049671,0.070357", \ "0.034230,0.035331,0.037351,0.041291,0.048553,0.061335,0.083426"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.009288,0.010289,0.012132,0.015817,0.023165,0.037825,0.067121", \ "0.009288,0.010288,0.012132,0.015814,0.023164,0.037834,0.067116", \ "0.010036,0.010842,0.012421,0.015819,0.023167,0.037837,0.067126", \ "0.015493,0.016378,0.017895,0.020614,0.025701,0.038156,0.067128", \ "0.021758,0.022971,0.025041,0.028761,0.035034,0.045143,0.068391", \ "0.028784,0.030308,0.032908,0.037606,0.045618,0.058432,0.078537", \ "0.036902,0.038702,0.041795,0.047395,0.057008,0.072654,0.096675"); } } timing () { related_pin : "B"; when : "A & !C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.020386,0.021769,0.024283,0.029218,0.038910,0.058038,0.096016", \ "0.021581,0.022980,0.025517,0.030486,0.040221,0.059387,0.097396", \ "0.024545,0.025942,0.028482,0.033477,0.043266,0.062509,0.100588", \ "0.028100,0.029662,0.032462,0.037823,0.048035,0.067385,0.105524", \ "0.031246,0.033100,0.036359,0.042448,0.053634,0.074342,0.113093", \ "0.032737,0.035033,0.039050,0.046358,0.059307,0.081983,0.123021", \ "0.032211,0.034969,0.039829,0.048592,0.063877,0.089635,0.133773"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.015115,0.016243,0.018294,0.022325,0.030239,0.045839,0.076782", \ "0.016666,0.017801,0.019862,0.023907,0.031836,0.047451,0.078403", \ "0.023078,0.024162,0.026169,0.030159,0.038036,0.053607,0.084532", \ "0.033336,0.034853,0.037513,0.042368,0.050820,0.066065,0.096709", \ "0.043903,0.045849,0.049318,0.055667,0.066888,0.085638,0.116449", \ "0.055266,0.057614,0.061806,0.069484,0.083207,0.106551,0.144011", \ "0.067759,0.070470,0.075335,0.084286,0.100280,0.127792,0.172775"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.010444,0.011556,0.013604,0.017706,0.025888,0.042242,0.074928", \ "0.010442,0.011553,0.013607,0.017701,0.025883,0.042240,0.074923", \ "0.010532,0.011597,0.013588,0.017685,0.025880,0.042238,0.074930", \ "0.012107,0.013172,0.015117,0.019007,0.026628,0.042350,0.074920", \ "0.015537,0.016517,0.018320,0.021966,0.029395,0.044543,0.075432", \ "0.021246,0.022249,0.024026,0.027492,0.034400,0.048740,0.078656", \ "0.028632,0.029736,0.031640,0.035279,0.042110,0.055601,0.084240"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.011890,0.012951,0.014907,0.018824,0.026616,0.041888,0.071603", \ "0.011886,0.012949,0.014906,0.018825,0.026616,0.041890,0.071601", \ "0.012244,0.013189,0.014977,0.018775,0.026614,0.041881,0.071598", \ "0.018248,0.019075,0.020498,0.023053,0.028744,0.042093,0.071597", \ "0.026164,0.027264,0.029152,0.032622,0.038570,0.048659,0.072721", \ "0.035093,0.036413,0.038711,0.042996,0.050474,0.062658,0.082482", \ "0.045010,0.046521,0.049146,0.054134,0.063023,0.077833,0.100936"); } } timing () { related_pin : "B"; when : "A & C1 & !C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.016681,0.017985,0.020374,0.025127,0.034594,0.053478,0.091215", \ "0.017805,0.019133,0.021562,0.026369,0.035891,0.054825,0.092593", \ "0.020585,0.021980,0.024450,0.029304,0.038904,0.057929,0.095778", \ "0.023383,0.024988,0.027836,0.033243,0.043478,0.062799,0.100719", \ "0.025069,0.027130,0.030683,0.037125,0.048618,0.069491,0.108296", \ "0.024665,0.027292,0.031793,0.039803,0.053497,0.076719,0.118011", \ "0.022276,0.025446,0.030925,0.040596,0.056974,0.083742,0.128457"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.014744,0.015876,0.017932,0.021964,0.029873,0.045453,0.076342", \ "0.016294,0.017433,0.019497,0.023544,0.031469,0.047064,0.077961", \ "0.022726,0.023808,0.025811,0.029798,0.037670,0.053221,0.084090", \ "0.032797,0.034332,0.037026,0.041931,0.050446,0.065684,0.096277", \ "0.043162,0.045133,0.048642,0.055047,0.066341,0.085189,0.116029", \ "0.054319,0.056688,0.060932,0.068675,0.082486,0.105935,0.143532", \ "0.066561,0.069307,0.074231,0.083255,0.099367,0.126993,0.172123"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.007885,0.009000,0.011046,0.015142,0.023315,0.039613,0.072206", \ "0.007883,0.008999,0.011050,0.015142,0.023308,0.039612,0.072207", \ "0.008298,0.009321,0.011241,0.015170,0.023315,0.039614,0.072202", \ "0.010170,0.011167,0.013037,0.016832,0.024393,0.039856,0.072195", \ "0.014284,0.015202,0.016894,0.020311,0.027411,0.042314,0.072890", \ "0.020543,0.021480,0.023173,0.026438,0.032956,0.046794,0.076344", \ "0.028395,0.029395,0.031174,0.034639,0.041150,0.054094,0.082128"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.010154,0.011139,0.012956,0.016600,0.023896,0.038530,0.067804", \ "0.010148,0.011137,0.012953,0.016597,0.023897,0.038531,0.067804", \ "0.010563,0.011411,0.013050,0.016543,0.023895,0.038520,0.067799", \ "0.015827,0.016703,0.018195,0.020883,0.026085,0.038745,0.067807", \ "0.021980,0.023196,0.025251,0.028955,0.035199,0.045376,0.068943", \ "0.028677,0.030223,0.032839,0.037582,0.045653,0.058536,0.078782", \ "0.036190,0.038043,0.041173,0.046888,0.056702,0.072581,0.096785"); } } timing () { related_pin : "B"; when : "A & C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.015122,0.016229,0.018263,0.022307,0.030359,0.046422,0.078512", \ "0.016293,0.017424,0.019491,0.023580,0.031680,0.047783,0.079902", \ "0.019321,0.020534,0.022690,0.026833,0.035002,0.051187,0.083377", \ "0.022610,0.024091,0.026689,0.031544,0.040533,0.057197,0.089458", \ "0.024433,0.026415,0.029824,0.035964,0.046643,0.065293,0.098963", \ "0.023998,0.026551,0.030925,0.038710,0.051932,0.073707,0.110599", \ "0.021486,0.024581,0.029922,0.039378,0.055375,0.081259,0.122778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.016080,0.017184,0.019201,0.023179,0.031028,0.046550,0.077389", \ "0.017641,0.018751,0.020777,0.024770,0.032637,0.048177,0.079027", \ "0.024018,0.025101,0.027089,0.031035,0.038848,0.054343,0.085166", \ "0.034592,0.036054,0.038641,0.043368,0.051644,0.066830,0.097364", \ "0.045496,0.047394,0.050765,0.056982,0.068003,0.086514,0.117169", \ "0.057181,0.059483,0.063573,0.071094,0.084622,0.107698,0.144881", \ "0.069980,0.072649,0.077410,0.086189,0.101986,0.129221,0.173896"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.007241,0.008182,0.009907,0.013355,0.020223,0.033908,0.061267", \ "0.007243,0.008180,0.009908,0.013355,0.020223,0.033916,0.061267", \ "0.007760,0.008604,0.010189,0.013439,0.020219,0.033906,0.061274", \ "0.010117,0.010931,0.012442,0.015499,0.021698,0.034339,0.061272", \ "0.014779,0.015569,0.016994,0.019830,0.025562,0.037526,0.062401", \ "0.021400,0.022209,0.023682,0.026555,0.032103,0.043298,0.067017", \ "0.029567,0.030428,0.031975,0.035068,0.040904,0.051920,0.074468"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.010495,0.011501,0.013347,0.017033,0.024392,0.039091,0.068403", \ "0.010494,0.011500,0.013346,0.017034,0.024395,0.039086,0.068419", \ "0.010820,0.011711,0.013408,0.017028,0.024391,0.039087,0.068416", \ "0.015952,0.016826,0.018311,0.020960,0.026360,0.039262,0.068401", \ "0.022193,0.023399,0.025437,0.029122,0.035358,0.045578,0.069451", \ "0.028925,0.030446,0.033052,0.037770,0.045815,0.058674,0.079019", \ "0.036403,0.038230,0.041346,0.047035,0.056832,0.072678,0.096858"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0113724,0.0126800,0.0150779,0.0198408,0.0293160,0.0482054,0.0859391", \ "0.0125999,0.0139291,0.0163581,0.0211677,0.0306949,0.0496325,0.0873991", \ "0.0170720,0.0185492,0.0210836,0.0257760,0.0352828,0.0542326,0.0920222", \ "0.0209675,0.0230942,0.0267593,0.0333191,0.0444674,0.0634069,0.100995", \ "0.0228050,0.0255551,0.0303129,0.0388326,0.0534135,0.0772081,0.115629", \ "0.0223694,0.0257851,0.0316373,0.0420845,0.0599536,0.0893043,0.135657", \ "0.0195134,0.0235442,0.0304892,0.0428951,0.0640468,0.0987708,0.153966"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0196550,0.0219439,0.0261068,0.0343274,0.0506052,0.0829614,0.147525", \ "0.0204927,0.0228074,0.0270290,0.0353625,0.0517902,0.0843002,0.148974", \ "0.0256929,0.0278898,0.0319622,0.0401389,0.0564790,0.0890270,0.153807", \ "0.0350864,0.0378995,0.0427462,0.0514298,0.0672000,0.0992369,0.163698", \ "0.0453336,0.0488356,0.0548438,0.0657819,0.0847511,0.116808,0.180335", \ "0.0570805,0.0611943,0.0682201,0.0811273,0.103794,0.141714,0.205200", \ "0.0703325,0.0750731,0.0831927,0.0979566,0.123941,0.167970,0.239256"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.00787215,0.00898939,0.0110484,0.0151454,0.0233154,0.0396130,0.0721994", \ "0.00784806,0.00897737,0.0110420,0.0151450,0.0233067,0.0396204,0.0722032", \ "0.00925582,0.0100220,0.0116108,0.0151950,0.0233034,0.0396127,0.0722113", \ "0.0143086,0.0152924,0.0170139,0.0202265,0.0261706,0.0398975,0.0721967", \ "0.0211218,0.0223328,0.0244220,0.0282604,0.0350731,0.0470295,0.0732226", \ "0.0296605,0.0311093,0.0335814,0.0381071,0.0460611,0.0596933,0.0831105", \ "0.0398350,0.0415935,0.0445271,0.0498240,0.0589584,0.0745087,0.100461"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0143529,0.0163664,0.0200715,0.0274813,0.0422931,0.0718939,0.131058", \ "0.0143571,0.0163630,0.0200674,0.0274759,0.0422837,0.0718857,0.131068", \ "0.0146419,0.0164262,0.0200449,0.0274796,0.0422778,0.0718705,0.131067", \ "0.0200298,0.0216370,0.0242388,0.0296375,0.0425136,0.0718763,0.131060", \ "0.0264430,0.0283506,0.0317273,0.0379664,0.0488109,0.0730513,0.131053", \ "0.0341603,0.0362941,0.0400999,0.0473307,0.0602618,0.0822077,0.132084", \ "0.0434608,0.0457846,0.0499626,0.0579269,0.0725377,0.0976909,0.141694"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0147757,0.0161488,0.0186467,0.0235603,0.0332295,0.0523372,0.0902971", \ "0.0158185,0.0171994,0.0197069,0.0246334,0.0343155,0.0534330,0.0914006", \ "0.0205201,0.0218503,0.0242838,0.0291909,0.0388536,0.0579496,0.0959030", \ "0.0265185,0.0284299,0.0317604,0.0378039,0.0483123,0.0671748,0.104911", \ "0.0305597,0.0329979,0.0373050,0.0451540,0.0588396,0.0816172,0.119604", \ "0.0327591,0.0357200,0.0409244,0.0504380,0.0671477,0.0951518,0.140208", \ "0.0330919,0.0365668,0.0426381,0.0537597,0.0733195,0.106270,0.159742"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0238004,0.0260499,0.0301662,0.0383348,0.0545609,0.0868817,0.151421", \ "0.0253361,0.0276301,0.0318149,0.0400925,0.0564502,0.0888955,0.153524", \ "0.0303446,0.0326137,0.0367834,0.0450795,0.0615460,0.0941671,0.158989", \ "0.0381084,0.0407416,0.0453839,0.0540498,0.0703967,0.102978,0.167867", \ "0.0468690,0.0500295,0.0555303,0.0657333,0.0842042,0.117284,0.181984", \ "0.0573212,0.0610148,0.0674379,0.0791822,0.100174,0.137097,0.202571", \ "0.0695063,0.0737989,0.0812094,0.0946156,0.118212,0.159172,0.230044"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0104684,0.0115807,0.0136202,0.0177067,0.0258889,0.0422359,0.0749242", \ "0.0104837,0.0115874,0.0136251,0.0177120,0.0258845,0.0422324,0.0749309", \ "0.0109807,0.0119284,0.0137551,0.0176764,0.0258949,0.0422392,0.0749288", \ "0.0159310,0.0168958,0.0185808,0.0217867,0.0279191,0.0423550,0.0749155", \ "0.0225907,0.0238204,0.0259190,0.0297618,0.0365497,0.0485607,0.0756525", \ "0.0305387,0.0320296,0.0345981,0.0392680,0.0474073,0.0611138,0.0847673", \ "0.0397924,0.0415993,0.0446761,0.0502145,0.0597663,0.0757254,0.101886"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.0143581,0.0163706,0.0200724,0.0274768,0.0422841,0.0719044,0.131065", \ "0.0143564,0.0163649,0.0200724,0.0274756,0.0422900,0.0718957,0.131062", \ "0.0143962,0.0163824,0.0200714,0.0274788,0.0422850,0.0718711,0.131068", \ "0.0176620,0.0193666,0.0223220,0.0286114,0.0423656,0.0719001,0.131051", \ "0.0225776,0.0243529,0.0276027,0.0340125,0.0462949,0.0726775,0.131053", \ "0.0289343,0.0307469,0.0340781,0.0407265,0.0537154,0.0784677,0.131906", \ "0.0365372,0.0384565,0.0419525,0.0488310,0.0622797,0.0882424,0.138050"); } } internal_power () { related_pin : "A"; when : "B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("1.037902,1.048112,1.056419,1.075547,1.091835,1.115630,1.136795", \ "0.985932,0.991458,1.019224,1.031017,1.070825,1.100797,1.126604", \ "0.905176,0.916985,0.933617,0.971285,1.014097,1.059933,1.102559", \ "0.925614,0.918978,0.928466,0.950077,0.980726,1.025657,1.075332", \ "1.194953,1.185114,1.162375,1.120760,1.068366,1.073066,1.092558", \ "1.729445,1.704516,1.647157,1.561436,1.433589,1.287153,1.222813", \ "2.543227,2.512760,2.429251,2.299432,2.087292,1.803250,1.531579"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("4.697690,4.685316,4.715855,4.734406,4.744563,4.646998,4.723209", \ "4.631662,4.622873,4.658754,4.649654,4.658849,4.705705,4.631805", \ "4.621764,4.609967,4.642072,4.631029,4.625352,4.718380,4.562479", \ "4.858840,4.873980,4.835320,4.786949,4.775046,4.830880,4.754714", \ "5.281818,5.272304,5.287366,5.230719,5.239822,5.162189,4.912927", \ "6.103235,6.090651,6.070138,5.992623,5.878749,5.694957,5.499670", \ "7.325920,7.287904,7.246451,7.131877,6.921885,6.745129,6.280679"); } } internal_power () { related_pin : "A"; when : "B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.528669,0.535783,0.550562,0.554700,0.558854,0.561378,0.564239", \ "0.469015,0.486313,0.500442,0.510202,0.534639,0.542541,0.557444", \ "0.377215,0.390013,0.404582,0.428405,0.464683,0.498452,0.530315", \ "0.439209,0.425647,0.408567,0.407102,0.434601,0.466172,0.500530", \ "0.762715,0.734105,0.689346,0.628218,0.542789,0.512700,0.520573", \ "1.361240,1.328837,1.248181,1.122163,0.949447,0.758120,0.660149", \ "2.243607,2.187254,2.084748,1.924615,1.644246,1.299172,0.977623"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("4.016343,4.030922,4.061347,4.068609,4.061724,4.106142,4.137367", \ "3.958038,3.967928,4.003670,4.008479,4.051700,4.097258,4.152570", \ "3.924479,3.958149,3.939240,3.977156,4.022551,3.997822,4.051016", \ "4.151784,4.185839,4.203740,4.166976,4.185887,4.105129,4.070437", \ "4.554529,4.571185,4.561519,4.593183,4.555306,4.459922,4.388268", \ "5.367913,5.376879,5.331904,5.297407,5.236672,5.095158,4.890937", \ "6.560416,6.548460,6.516867,6.438990,6.215356,6.060391,5.683076"); } } internal_power () { related_pin : "A"; when : "B & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.538952,0.544627,0.550130,0.554634,0.559620,0.564783,0.562873", \ "0.479097,0.483221,0.500971,0.514558,0.530442,0.545520,0.552251", \ "0.377874,0.387718,0.407949,0.434686,0.468288,0.502032,0.525773", \ "0.442698,0.433241,0.416197,0.415569,0.431601,0.463575,0.500328", \ "0.773527,0.742241,0.705485,0.636361,0.560186,0.526590,0.525134", \ "1.399984,1.351720,1.270666,1.149568,0.965957,0.783458,0.670456", \ "2.291195,2.237478,2.138345,1.969402,1.682722,1.339121,1.006542"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("4.360405,4.349893,4.376248,4.400742,4.406779,4.429752,4.478634", \ "4.295053,4.309271,4.328574,4.356597,4.368959,4.381580,4.492133", \ "4.281162,4.265116,4.299761,4.319298,4.311010,4.370643,4.457274", \ "4.475127,4.514526,4.527163,4.499546,4.463781,4.472420,4.352840", \ "4.884406,4.906370,4.903892,4.900823,4.891697,4.789670,4.742739", \ "5.721666,5.700569,5.699052,5.618799,5.585739,5.514793,5.087221", \ "6.913089,6.899078,6.879230,6.774804,6.629077,6.387565,6.090722"); } } internal_power () { related_pin : "B"; when : "A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("1.035209,1.045598,1.057231,1.070002,1.091252,1.115526,1.135655", \ "0.992917,1.001136,1.020480,1.042624,1.065722,1.100441,1.127303", \ "0.903292,0.920479,0.932406,0.971879,1.009273,1.059031,1.101968", \ "0.910842,0.907208,0.905693,0.926922,0.968639,1.016707,1.069566", \ "1.153284,1.139367,1.122194,1.089480,1.037641,1.040380,1.076612", \ "1.643431,1.628740,1.588695,1.509140,1.394346,1.259641,1.192942", \ "2.431784,2.386863,2.337867,2.239687,2.042632,1.780059,1.499479"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("5.275133,5.266233,5.301343,5.302034,5.261433,5.382560,5.281040", \ "5.216165,5.209691,5.246719,5.211485,5.246198,5.344088,5.383860", \ "5.199919,5.212412,5.201174,5.221774,5.214971,5.254368,5.374263", \ "5.467371,5.484450,5.471066,5.469748,5.394483,5.435000,5.313682", \ "5.962987,5.942831,5.924311,5.955489,5.900358,5.689926,5.694093", \ "6.808876,6.802194,6.754890,6.719121,6.578326,6.452052,6.060392", \ "8.101778,8.066606,8.001157,7.927354,7.750317,7.432564,6.972427"); } } internal_power () { related_pin : "B"; when : "A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.541010,0.534475,0.548731,0.552334,0.552922,0.557643,0.565409", \ "0.476202,0.485782,0.498609,0.512856,0.534302,0.542089,0.555096", \ "0.373895,0.387197,0.402240,0.427574,0.464116,0.497978,0.526858", \ "0.414603,0.406111,0.395564,0.382660,0.420896,0.454937,0.494286", \ "0.696720,0.673553,0.642093,0.583236,0.516416,0.490549,0.500521", \ "1.248746,1.207415,1.161049,1.054493,0.902075,0.728790,0.622982", \ "2.083404,2.044775,1.955788,1.838024,1.607237,1.277840,0.955374"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("4.549778,4.588152,4.607506,4.637636,4.656978,4.706385,4.712488", \ "4.512080,4.530770,4.542091,4.596742,4.647862,4.669510,4.677641", \ "4.499725,4.536238,4.523007,4.560589,4.585343,4.649953,4.746161", \ "4.766569,4.803247,4.776873,4.783575,4.778121,4.696213,4.745289", \ "5.202987,5.213871,5.220251,5.215961,5.214361,5.100371,4.935425", \ "6.080575,6.062722,6.030911,6.007062,5.844292,5.750472,5.598805", \ "7.345260,7.301330,7.284138,7.208852,6.987783,6.733619,6.336796"); } } internal_power () { related_pin : "B"; when : "A & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.536104,0.537342,0.547922,0.554247,0.560595,0.556700,0.564733", \ "0.478398,0.482288,0.497260,0.517026,0.535145,0.545646,0.555565", \ "0.373420,0.385163,0.400758,0.432697,0.465980,0.503767,0.525346", \ "0.422728,0.411998,0.401990,0.390153,0.421216,0.458252,0.494057", \ "0.699126,0.680362,0.646985,0.599364,0.531941,0.489650,0.505232", \ "1.266675,1.228704,1.173399,1.063791,0.921780,0.752563,0.628878", \ "2.087095,2.060318,1.990005,1.842510,1.626246,1.303375,0.985225"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("4.921634,4.935354,4.954592,4.955835,5.008833,5.038251,4.946066", \ "4.836683,4.879740,4.901456,4.910618,4.984962,5.010923,4.953292", \ "4.866424,4.874890,4.905818,4.935631,4.956665,4.990155,5.045077", \ "5.127314,5.141422,5.109976,5.110287,5.117613,5.088435,4.989364", \ "5.544713,5.574759,5.587150,5.574075,5.599591,5.501989,5.207981", \ "6.422488,6.419226,6.422031,6.363886,6.234652,6.118352,5.811602", \ "7.686213,7.677083,7.666750,7.559819,7.388243,7.199872,6.825935"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("0.574103,0.581722,0.587129,0.601890,0.604943,0.608182,0.612797", \ "0.561690,0.573133,0.584115,0.602030,0.623337,0.637279,0.647016", \ "0.532326,0.540389,0.551263,0.574776,0.599063,0.625918,0.649875", \ "0.733754,0.705000,0.672898,0.637314,0.635463,0.648861,0.659122", \ "1.178478,1.137911,1.084155,0.983984,0.856992,0.780869,0.745578", \ "1.845574,1.803969,1.737722,1.583078,1.373392,1.127111,0.963732", \ "2.723134,2.675533,2.610124,2.469993,2.183639,1.780858,1.387045"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("2.809865,2.819312,2.843946,2.870159,2.877321,2.838497,2.881906", \ "2.738932,2.772851,2.784119,2.787669,2.844964,2.900827,2.848846", \ "2.740370,2.741766,2.764090,2.785111,2.798674,2.787900,2.785345", \ "2.965411,2.953966,2.930642,2.884330,2.849575,2.806521,2.827908", \ "3.305622,3.311613,3.337139,3.279836,3.208531,3.071255,2.989063", \ "3.956509,3.940799,3.906841,3.868033,3.794842,3.551400,3.346386", \ "4.943752,4.919869,4.852832,4.740991,4.531803,4.323809,3.967124"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("1.007880,1.008320,1.018216,1.026898,1.050100,1.063471,1.085259", \ "0.955282,0.960760,0.970540,0.983245,0.995752,1.015733,1.044189", \ "0.913279,0.923190,0.936152,0.951972,0.970115,0.995920,1.015664", \ "1.058834,1.038297,1.021579,1.022120,1.022097,1.028834,1.034909", \ "1.487560,1.464913,1.423175,1.342088,1.233435,1.174599,1.131094", \ "2.167698,2.122863,2.066309,1.952954,1.766210,1.531037,1.381570", \ "3.072912,3.031469,2.960077,2.829953,2.581709,2.216842,1.832730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.798703,1.597410,3.194810,6.389620,12.779200,25.558500"); values ("3.617482,3.620084,3.628983,3.649602,3.659479,3.658415,3.661798", \ "3.564755,3.577464,3.591741,3.623949,3.665178,3.677020,3.615126", \ "3.478169,3.484497,3.513365,3.536126,3.582129,3.559013,3.589927", \ "3.488876,3.488753,3.498404,3.504341,3.528777,3.545423,3.563727", \ "3.588595,3.597847,3.638320,3.675633,3.646545,3.560992,3.540514", \ "3.981091,3.971721,3.946040,3.934324,3.935247,3.782018,3.768498", \ "4.721663,4.704934,4.645495,4.568559,4.470484,4.315485,4.086047"); } } } } /****************************************************************************************** Module : OAI211_X2 Cell Description : Combinational cell (OAI211_X2) with drive strength X2 *******************************************************************************************/ cell (OAI211_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 44.078200; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 12.454970; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 9.513219; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 9.513228; } leakage_power () { when : "!A & !B & C1 & C2"; value : 2.727880; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 42.228890; } leakage_power () { when : "!A & B & !C1 & C2"; value : 44.334333; } leakage_power () { when : "!A & B & C1 & !C2"; value : 44.334443; } leakage_power () { when : "!A & B & C1 & C2"; value : 38.009285; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 14.251193; } leakage_power () { when : "A & !B & !C1 & C2"; value : 14.348929; } leakage_power () { when : "A & !B & C1 & !C2"; value : 14.348929; } leakage_power () { when : "A & !B & C1 & C2"; value : 7.637778; } leakage_power () { when : "A & B & !C1 & !C2"; value : 99.164340; } leakage_power () { when : "A & B & !C1 & C2"; value : 107.065200; } leakage_power () { when : "A & B & C1 & !C2"; value : 111.764290; } leakage_power () { when : "A & B & C1 & C2"; value : 133.554300; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.331182; fall_capacitance : 3.166977; rise_capacitance : 3.331182; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.207926; fall_capacitance : 2.892750; rise_capacitance : 3.207926; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.995510; fall_capacitance : 2.699580; rise_capacitance : 2.995510; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.220988; fall_capacitance : 3.220988; rise_capacitance : 3.158626; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 50.811800; function : "!(((C1 | C2) & A) & B)"; timing () { related_pin : "A"; when : "B & !C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.018996,0.020950,0.023447,0.028352,0.037992,0.057025,0.094815", \ "0.020286,0.022260,0.024779,0.029718,0.039399,0.058468,0.096286", \ "0.024442,0.026410,0.028930,0.033893,0.043633,0.062780,0.100672", \ "0.030289,0.032705,0.035683,0.041263,0.051570,0.070802,0.108787", \ "0.035297,0.038401,0.042193,0.049151,0.061463,0.082873,0.121489", \ "0.038845,0.042675,0.047337,0.055865,0.070883,0.096203,0.138694", \ "0.041011,0.045555,0.051069,0.061145,0.078893,0.108778,0.157359"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.013346,0.014882,0.016859,0.020771,0.028520,0.043906,0.074530", \ "0.014877,0.016429,0.018423,0.022363,0.030144,0.045563,0.076215", \ "0.021257,0.022760,0.024698,0.028576,0.036302,0.051685,0.082327", \ "0.030120,0.032405,0.035176,0.040218,0.048931,0.064092,0.094469", \ "0.039210,0.042184,0.045785,0.052402,0.064014,0.083222,0.114164", \ "0.048868,0.052481,0.056849,0.064908,0.079167,0.103155,0.141266", \ "0.059206,0.063457,0.068590,0.078042,0.094790,0.123184,0.169084"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.010330,0.011905,0.013939,0.018018,0.026155,0.042429,0.074961", \ "0.010327,0.011901,0.013940,0.018017,0.026157,0.042427,0.074957", \ "0.010474,0.011943,0.013915,0.017996,0.026152,0.042429,0.074962", \ "0.013338,0.014693,0.016452,0.019994,0.027050,0.042472,0.074953", \ "0.018481,0.019922,0.021725,0.025178,0.031902,0.045469,0.075354", \ "0.025115,0.026769,0.028807,0.032647,0.039687,0.052935,0.079742", \ "0.033048,0.034908,0.037234,0.041593,0.049420,0.063390,0.089501"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.010154,0.011677,0.013655,0.017596,0.025405,0.040654,0.070224", \ "0.010158,0.011677,0.013655,0.017599,0.025407,0.040656,0.070215", \ "0.011115,0.012333,0.014016,0.017636,0.025407,0.040656,0.070219", \ "0.017637,0.018813,0.020246,0.022828,0.028114,0.041027,0.070228", \ "0.025725,0.027235,0.029105,0.032536,0.038429,0.048262,0.071619", \ "0.035186,0.036929,0.039135,0.043263,0.050555,0.062559,0.081974", \ "0.046012,0.047911,0.050376,0.055075,0.063585,0.077991,0.100772"); } } timing () { related_pin : "A"; when : "B & C1 & !C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.015334,0.017175,0.019556,0.024292,0.033726,0.052549,0.090155", \ "0.016552,0.018430,0.020847,0.025633,0.035120,0.053988,0.091626", \ "0.020416,0.022431,0.024904,0.029745,0.039314,0.058277,0.095998", \ "0.024698,0.027358,0.030569,0.036429,0.046988,0.066267,0.104103", \ "0.027642,0.031147,0.035354,0.042924,0.055948,0.077953,0.116785", \ "0.029236,0.033562,0.038741,0.048049,0.064063,0.090418,0.133676", \ "0.029544,0.034682,0.040807,0.051804,0.070731,0.101907,0.151721"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.013001,0.014538,0.016515,0.020424,0.028164,0.043524,0.074123", \ "0.014529,0.016083,0.018077,0.022015,0.029787,0.045181,0.075804", \ "0.020893,0.022424,0.024358,0.028231,0.035946,0.051305,0.081918", \ "0.029548,0.031874,0.034676,0.039766,0.048551,0.063719,0.094053", \ "0.038429,0.041449,0.045086,0.051764,0.063458,0.082776,0.113747", \ "0.047842,0.051511,0.055934,0.064070,0.078431,0.102543,0.140770", \ "0.057887,0.062215,0.067415,0.076973,0.093850,0.122389,0.168432"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.007778,0.009353,0.011396,0.015477,0.023619,0.039875,0.072365", \ "0.007781,0.009357,0.011396,0.015476,0.023618,0.039875,0.072364", \ "0.008489,0.009841,0.011677,0.015518,0.023621,0.039876,0.072361", \ "0.011916,0.013213,0.014885,0.018245,0.025067,0.040072,0.072366", \ "0.017369,0.018790,0.020562,0.023940,0.030445,0.043701,0.072966", \ "0.024268,0.025854,0.027843,0.031603,0.038529,0.051502,0.077874", \ "0.032399,0.034171,0.036426,0.040673,0.048395,0.062154,0.087949"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.008653,0.010047,0.011862,0.015499,0.022777,0.037343,0.066474", \ "0.008651,0.010046,0.011863,0.015497,0.022778,0.037339,0.066460", \ "0.009685,0.010757,0.012262,0.015551,0.022780,0.037348,0.066451", \ "0.015160,0.016410,0.017918,0.020614,0.025563,0.037734,0.066453", \ "0.021308,0.023007,0.025074,0.028776,0.035005,0.045037,0.067864", \ "0.028279,0.030409,0.032993,0.037668,0.045627,0.058391,0.078283", \ "0.036362,0.038887,0.041962,0.047532,0.057091,0.072668,0.096565"); } } timing () { related_pin : "A"; when : "B & C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.013758,0.015325,0.017348,0.021374,0.029392,0.045388,0.077344", \ "0.015034,0.016631,0.018686,0.022755,0.030820,0.046855,0.078837", \ "0.019561,0.021342,0.023483,0.027610,0.035751,0.051873,0.083931", \ "0.024182,0.026739,0.029810,0.035342,0.044919,0.061532,0.093697", \ "0.027077,0.030477,0.034564,0.041923,0.054520,0.075123,0.108989", \ "0.028507,0.032712,0.037766,0.046857,0.062500,0.088107,0.128545", \ "0.028542,0.033554,0.039534,0.050299,0.068843,0.099362,0.147615"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.014096,0.015622,0.017588,0.021484,0.029208,0.044553,0.075136", \ "0.015632,0.017174,0.019159,0.023085,0.030848,0.046233,0.076842", \ "0.021996,0.023480,0.025415,0.029281,0.036986,0.052340,0.082946", \ "0.031225,0.033450,0.036148,0.041079,0.049652,0.064750,0.095075", \ "0.040651,0.043548,0.047061,0.053555,0.064999,0.084002,0.114797", \ "0.050639,0.054163,0.058430,0.066349,0.080419,0.104182,0.142041", \ "0.061305,0.065460,0.070481,0.079771,0.096320,0.124474,0.170098"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.007138,0.008465,0.010184,0.013615,0.020452,0.034093,0.061349", \ "0.007136,0.008466,0.010184,0.013612,0.020458,0.034098,0.061350", \ "0.008063,0.009110,0.010566,0.013683,0.020459,0.034094,0.061350", \ "0.012306,0.013413,0.014796,0.017475,0.022679,0.034471,0.061354", \ "0.018167,0.019443,0.021043,0.024072,0.029634,0.040026,0.062520", \ "0.025427,0.026847,0.028656,0.032125,0.038495,0.049784,0.070420", \ "0.033962,0.035520,0.037561,0.041493,0.048729,0.061454,0.083452"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.009125,0.010536,0.012367,0.016025,0.023333,0.037911,0.067044", \ "0.009124,0.010538,0.012369,0.016028,0.023334,0.037925,0.067044", \ "0.009923,0.011064,0.012641,0.016031,0.023336,0.037919,0.067044", \ "0.015380,0.016621,0.018112,0.020792,0.025843,0.038238,0.067049", \ "0.021598,0.023283,0.025323,0.028991,0.035189,0.045226,0.068333", \ "0.028601,0.030705,0.033255,0.037893,0.045814,0.058526,0.078505", \ "0.036687,0.039175,0.042210,0.047731,0.057241,0.072758,0.096643"); } } timing () { related_pin : "B"; when : "A & !C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.020186,0.022140,0.024635,0.029540,0.039181,0.058213,0.096002", \ "0.021379,0.023353,0.025871,0.030810,0.040490,0.059561,0.097380", \ "0.024331,0.026302,0.028824,0.033787,0.043524,0.062668,0.100563", \ "0.027855,0.030057,0.032823,0.038139,0.048287,0.067536,0.105488", \ "0.030967,0.033580,0.036794,0.042815,0.053913,0.074494,0.113051", \ "0.032437,0.035672,0.039620,0.046837,0.059651,0.082162,0.122980", \ "0.031884,0.035807,0.040566,0.049201,0.064308,0.089851,0.133738"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.014944,0.016534,0.018569,0.022572,0.030437,0.045953,0.076732", \ "0.016492,0.018091,0.020135,0.024151,0.032032,0.047561,0.078356", \ "0.022914,0.024445,0.026438,0.030402,0.038234,0.053720,0.084481", \ "0.033067,0.035218,0.037836,0.042633,0.051009,0.066174,0.096663", \ "0.043537,0.046324,0.049712,0.055986,0.067112,0.085746,0.116402", \ "0.054819,0.058181,0.062259,0.069854,0.083465,0.106663,0.143941", \ "0.067216,0.071124,0.075878,0.084703,0.100573,0.127894,0.172661"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.010329,0.011899,0.013941,0.018016,0.026156,0.042431,0.074961", \ "0.010330,0.011903,0.013938,0.018017,0.026157,0.042430,0.074963", \ "0.010428,0.011933,0.013926,0.017999,0.026154,0.042425,0.074962", \ "0.012007,0.013503,0.015442,0.019310,0.026892,0.042543,0.074957", \ "0.015458,0.016837,0.018633,0.022253,0.029653,0.044728,0.075477", \ "0.021162,0.022561,0.024321,0.027761,0.034636,0.048911,0.078698", \ "0.028524,0.030043,0.031936,0.035549,0.042316,0.055752,0.084277"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.011706,0.013203,0.015154,0.019051,0.026806,0.042002,0.071573", \ "0.011700,0.013206,0.015153,0.019053,0.026807,0.042007,0.071580", \ "0.012104,0.013428,0.015216,0.019009,0.026805,0.042005,0.071576", \ "0.018140,0.019294,0.020695,0.023222,0.028902,0.042210,0.071577", \ "0.026016,0.027546,0.029417,0.032842,0.038731,0.048774,0.072702", \ "0.034933,0.036757,0.039043,0.043276,0.050684,0.062775,0.082488", \ "0.044866,0.046919,0.049537,0.054467,0.063278,0.077965,0.100941"); } } timing () { related_pin : "B"; when : "A & C1 & !C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.016521,0.018364,0.020744,0.025480,0.034914,0.053736,0.091344", \ "0.017641,0.019520,0.021937,0.026724,0.036212,0.055081,0.092719", \ "0.020393,0.022356,0.024811,0.029644,0.039208,0.058169,0.095889", \ "0.023146,0.025409,0.028221,0.033589,0.043771,0.063017,0.100810", \ "0.024775,0.027676,0.031168,0.037525,0.048927,0.069700,0.108365", \ "0.024327,0.028032,0.032449,0.040332,0.053870,0.076941,0.118061", \ "0.021917,0.026412,0.031757,0.041265,0.057449,0.083994,0.128498"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.014577,0.016172,0.018210,0.022213,0.030073,0.045568,0.076296", \ "0.016124,0.017727,0.019774,0.023791,0.031667,0.047178,0.077917", \ "0.022566,0.024092,0.026083,0.030045,0.037870,0.053336,0.084045", \ "0.032523,0.034708,0.037355,0.042200,0.050638,0.065795,0.096227", \ "0.042790,0.045613,0.049040,0.055374,0.066572,0.085294,0.115982", \ "0.053855,0.057266,0.061389,0.069052,0.082750,0.106047,0.143456", \ "0.066016,0.069973,0.074779,0.083682,0.099650,0.127101,0.172018"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.007779,0.009355,0.011397,0.015483,0.023621,0.039880,0.072367", \ "0.007779,0.009352,0.011397,0.015476,0.023621,0.039873,0.072366", \ "0.008211,0.009657,0.011576,0.015502,0.023621,0.039879,0.072364", \ "0.010084,0.011497,0.013364,0.017143,0.024687,0.040116,0.072359", \ "0.014216,0.015515,0.017189,0.020589,0.027695,0.042551,0.073053", \ "0.020469,0.021786,0.023452,0.026703,0.033190,0.047021,0.076497", \ "0.028289,0.029671,0.031448,0.034885,0.041376,0.054291,0.082259"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.010002,0.011391,0.013201,0.016822,0.024082,0.038635,0.067768", \ "0.009999,0.011391,0.013198,0.016823,0.024083,0.038642,0.067766", \ "0.010454,0.011653,0.013284,0.016772,0.024081,0.038638,0.067769", \ "0.015733,0.016948,0.018416,0.021049,0.026249,0.038860,0.067769", \ "0.021841,0.023516,0.025547,0.029202,0.035378,0.045475,0.068921", \ "0.028509,0.030624,0.033211,0.037888,0.045876,0.058656,0.078791", \ "0.035989,0.038514,0.041609,0.047249,0.056969,0.072707,0.096785"); } } timing () { related_pin : "B"; when : "A & C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.014975,0.016540,0.018564,0.022590,0.030608,0.046604,0.078560", \ "0.016143,0.017741,0.019796,0.023865,0.031929,0.047965,0.079949", \ "0.019151,0.020862,0.022994,0.027113,0.035245,0.051362,0.083416", \ "0.022397,0.024484,0.027048,0.031852,0.040783,0.057365,0.089491", \ "0.024159,0.026948,0.030300,0.036358,0.046930,0.065466,0.098990", \ "0.023677,0.027276,0.031571,0.039231,0.052301,0.073910,0.110614", \ "0.021134,0.025524,0.030740,0.040042,0.055847,0.081512,0.122791"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.015897,0.017457,0.019459,0.023412,0.031217,0.046659,0.077338", \ "0.017457,0.019024,0.021035,0.025002,0.032824,0.048284,0.078977", \ "0.023840,0.025367,0.027344,0.031267,0.039036,0.054451,0.085117", \ "0.034313,0.036398,0.038937,0.043614,0.051821,0.066930,0.097317", \ "0.045122,0.047831,0.051134,0.057281,0.068222,0.086611,0.117114", \ "0.056727,0.060005,0.063987,0.071446,0.084860,0.107792,0.144796", \ "0.069439,0.073261,0.077912,0.086578,0.102239,0.129313,0.173772"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.007138,0.008465,0.010184,0.013613,0.020451,0.034092,0.061350", \ "0.007136,0.008466,0.010184,0.013612,0.020458,0.034097,0.061349", \ "0.007678,0.008867,0.010448,0.013689,0.020451,0.034097,0.061352", \ "0.010042,0.011191,0.012693,0.015743,0.021915,0.034519,0.061352", \ "0.014717,0.015825,0.017244,0.020053,0.025756,0.037693,0.062489", \ "0.021316,0.022458,0.023916,0.026770,0.032289,0.043447,0.067099", \ "0.029441,0.030634,0.032197,0.035269,0.041082,0.052051,0.074530"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.010347,0.011763,0.013600,0.017268,0.024587,0.039202,0.068378", \ "0.010345,0.011763,0.013598,0.017267,0.024585,0.039201,0.068373", \ "0.010706,0.011958,0.013653,0.017261,0.024587,0.039195,0.068389", \ "0.015861,0.017069,0.018527,0.021138,0.026535,0.039371,0.068368", \ "0.022053,0.023715,0.025731,0.029366,0.035528,0.045680,0.069435", \ "0.028743,0.030848,0.033412,0.038075,0.046037,0.058787,0.079006", \ "0.036195,0.038700,0.041775,0.047398,0.057087,0.072802,0.096847"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0113805,0.0132295,0.0156162,0.0203613,0.0298026,0.0486297,0.0862388", \ "0.0126021,0.0144817,0.0168991,0.0216888,0.0311815,0.0500540,0.0876894", \ "0.0170496,0.0191294,0.0216130,0.0262922,0.0357673,0.0546530,0.0923152", \ "0.0208959,0.0238934,0.0274928,0.0339519,0.0449810,0.0638264,0.101295", \ "0.0226947,0.0265888,0.0312505,0.0396330,0.0540563,0.0776814,0.115929", \ "0.0222214,0.0270470,0.0327820,0.0430508,0.0607298,0.0898765,0.135998", \ "0.0193258,0.0250357,0.0318434,0.0440294,0.0649645,0.0994387,0.154362"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0194463,0.0226699,0.0268032,0.0349701,0.0511493,0.0833183,0.147509", \ "0.0202754,0.0235399,0.0277333,0.0360082,0.0523330,0.0846551,0.148957", \ "0.0254821,0.0285845,0.0326393,0.0407677,0.0570158,0.0893775,0.153787", \ "0.0347898,0.0387532,0.0434936,0.0520266,0.0677273,0.0995882,0.163683", \ "0.0449560,0.0498653,0.0557708,0.0665565,0.0853102,0.117146,0.180316", \ "0.0566024,0.0623719,0.0692973,0.0820289,0.104456,0.142059,0.205174", \ "0.0698244,0.0764726,0.0844040,0.0989668,0.124683,0.168362,0.239207"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.00776804,0.00934883,0.0113968,0.0154758,0.0236233,0.0398780,0.0723638", \ "0.00774745,0.00933873,0.0113918,0.0154798,0.0236191,0.0398785,0.0723617", \ "0.00919241,0.0102876,0.0119026,0.0155114,0.0236107,0.0398722,0.0723685", \ "0.0142103,0.0155918,0.0172954,0.0204871,0.0263995,0.0401451,0.0723624", \ "0.0209943,0.0226898,0.0247462,0.0285445,0.0353067,0.0472156,0.0733746", \ "0.0294965,0.0315073,0.0339576,0.0384180,0.0463209,0.0598793,0.0832129", \ "0.0396528,0.0420701,0.0449455,0.0501571,0.0592380,0.0746966,0.100563"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0141502,0.0169845,0.0206640,0.0280353,0.0427672,0.0721842,0.131024", \ "0.0141457,0.0169818,0.0206644,0.0280316,0.0427555,0.0721784,0.131034", \ "0.0144727,0.0169961,0.0206483,0.0280339,0.0427514,0.0721833,0.131037", \ "0.0198649,0.0221168,0.0246409,0.0300911,0.0429398,0.0721812,0.131025", \ "0.0262421,0.0289057,0.0322449,0.0384056,0.0491561,0.0733324,0.131024", \ "0.0339281,0.0368864,0.0406792,0.0478459,0.0606440,0.0824383,0.132050", \ "0.0432531,0.0464507,0.0505847,0.0584874,0.0729590,0.0979189,0.141681"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0147040,0.0166426,0.0191236,0.0240080,0.0336257,0.0526377,0.0904121", \ "0.0157453,0.0176933,0.0201839,0.0250800,0.0347100,0.0537321,0.0915105", \ "0.0204393,0.0223177,0.0247564,0.0296358,0.0392450,0.0582452,0.0960087", \ "0.0263732,0.0290719,0.0323522,0.0383176,0.0487001,0.0674641,0.105018", \ "0.0303607,0.0338339,0.0380719,0.0458080,0.0593342,0.0819347,0.119708", \ "0.0325101,0.0367162,0.0418503,0.0512278,0.0677397,0.0955220,0.140315", \ "0.0327948,0.0377107,0.0437072,0.0546765,0.0740311,0.106706,0.159878"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0235580,0.0267339,0.0308205,0.0389341,0.0550659,0.0872032,0.151371", \ "0.0250852,0.0283203,0.0324772,0.0406996,0.0569590,0.0892140,0.153475", \ "0.0300943,0.0332939,0.0374375,0.0456853,0.0620491,0.0944873,0.158930", \ "0.0378061,0.0414975,0.0460799,0.0546445,0.0708973,0.103292,0.167813", \ "0.0465030,0.0509147,0.0563326,0.0664196,0.0847364,0.117599,0.181926", \ "0.0568779,0.0620861,0.0683754,0.0799648,0.100763,0.137421,0.202521", \ "0.0689926,0.0750507,0.0822950,0.0954992,0.118866,0.159515,0.229966"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0103583,0.0119204,0.0139550,0.0180173,0.0261579,0.0424275,0.0749619", \ "0.0103722,0.0119347,0.0139569,0.0180206,0.0261569,0.0424261,0.0749569", \ "0.0108942,0.0122340,0.0140692,0.0179912,0.0261666,0.0424294,0.0749574", \ "0.0158377,0.0171846,0.0188492,0.0220250,0.0281426,0.0425475,0.0749496", \ "0.0224560,0.0241584,0.0262237,0.0300233,0.0367462,0.0487039,0.0756916", \ "0.0303485,0.0324351,0.0349483,0.0395705,0.0476281,0.0612528,0.0847782", \ "0.0395674,0.0420836,0.0450866,0.0505425,0.0600097,0.0758589,0.101893"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("0.0141464,0.0169836,0.0206632,0.0280335,0.0427740,0.0722086,0.131032", \ "0.0141475,0.0169803,0.0206634,0.0280288,0.0427523,0.0721850,0.131028", \ "0.0142009,0.0169978,0.0206688,0.0280306,0.0427536,0.0721852,0.131036", \ "0.0174921,0.0198711,0.0228173,0.0291083,0.0428463,0.0721921,0.131022", \ "0.0224040,0.0248819,0.0281167,0.0344808,0.0466877,0.0729708,0.131019", \ "0.0287370,0.0312636,0.0345918,0.0412080,0.0541193,0.0787326,0.131895", \ "0.0363307,0.0390061,0.0424564,0.0493133,0.0626906,0.0884924,0.138041"); } } internal_power () { related_pin : "A"; when : "B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("2.234204,2.257954,2.304011,2.326981,2.372950,2.405523,2.450525", \ "2.146837,2.177656,2.217706,2.261283,2.324424,2.378318,2.428306", \ "2.000200,2.032221,2.065979,2.128605,2.214270,2.294992,2.381958", \ "2.028421,2.030420,2.046397,2.085360,2.137536,2.229263,2.327347", \ "2.601621,2.556773,2.501833,2.424701,2.317198,2.328679,2.363148", \ "3.686440,3.580959,3.476372,3.308980,3.058267,2.763284,2.635252", \ "5.288146,5.201736,5.033372,4.790833,4.353358,3.786061,3.251856"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("9.408014,9.441726,9.457015,9.478459,9.413529,9.571322,9.503602", \ "9.273507,9.340123,9.375998,9.372474,9.478960,9.449980,9.358334", \ "9.249841,9.293654,9.287348,9.336686,9.354531,9.438735,9.365078", \ "9.794703,9.726356,9.742565,9.608239,9.562874,9.591721,9.551424", \ "10.647850,10.606470,10.639330,10.634660,10.561750,10.320550,9.984934", \ "12.296200,12.224610,12.181410,12.011110,11.734260,11.520620,11.040750", \ "14.689600,14.606170,14.522390,14.342160,13.815870,13.497780,12.821140"); } } internal_power () { related_pin : "A"; when : "B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.266028,1.259523,1.275305,1.282068,1.301853,1.303927,1.303058", \ "1.129316,1.154560,1.186619,1.204320,1.251125,1.266760,1.294783", \ "0.925092,0.957839,1.002600,1.051393,1.119625,1.178630,1.241599", \ "1.061753,1.036880,1.000503,1.004236,1.057858,1.121743,1.177526", \ "1.733700,1.654081,1.558115,1.441339,1.267382,1.220328,1.224353", \ "2.955740,2.833583,2.676259,2.427651,2.084834,1.704074,1.508996", \ "4.694911,4.527556,4.368592,4.030004,3.482330,2.792425,2.147248"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("8.098293,8.141615,8.104756,8.145275,8.161425,8.119839,8.337342", \ "7.946818,7.967804,8.013893,8.073397,8.079358,8.251504,8.130081", \ "7.930873,7.948740,7.964818,7.994759,8.098059,8.200805,8.143493", \ "8.300016,8.365948,8.422778,8.392668,8.335562,8.327396,8.284375", \ "9.124097,9.200091,9.184380,9.157681,9.253691,9.045280,8.739510", \ "10.832700,10.792730,10.702410,10.638050,10.485700,10.285590,9.739720", \ "13.162800,13.154540,13.058880,12.845400,12.630230,12.115000,11.405860"); } } internal_power () { related_pin : "A"; when : "B & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.242310,1.270093,1.269493,1.282974,1.294982,1.304132,1.305049", \ "1.121278,1.163336,1.187430,1.216596,1.249011,1.276150,1.286903", \ "0.917212,0.966170,1.009436,1.058105,1.125666,1.184002,1.233749", \ "1.071239,1.038273,1.006656,1.023148,1.058390,1.119127,1.187554", \ "1.739155,1.668685,1.591009,1.463135,1.305321,1.239418,1.242739", \ "3.008535,2.869313,2.723027,2.478757,2.129057,1.753835,1.531776", \ "4.786996,4.628619,4.453038,4.097644,3.552131,2.863615,2.204994"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("8.753750,8.755372,8.814308,8.785671,8.882619,8.854102,8.813598", \ "8.601596,8.658467,8.704778,8.759015,8.826334,8.713907,8.880684", \ "8.580361,8.607162,8.624415,8.701129,8.728766,8.664373,8.613418", \ "9.007347,9.090377,9.037240,9.048619,8.987371,8.942030,8.645474", \ "9.779396,9.782279,9.866979,9.862239,9.892824,9.538316,9.461279", \ "11.469900,11.437140,11.433210,11.308050,11.141840,10.952320,10.523710", \ "13.863040,13.823820,13.780170,13.611190,13.288380,12.797010,12.175780"); } } internal_power () { related_pin : "B"; when : "A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("2.227188,2.283603,2.299244,2.334875,2.368424,2.406156,2.448025", \ "2.144006,2.175274,2.202437,2.269634,2.322099,2.375744,2.430860", \ "1.994577,2.027411,2.060387,2.124167,2.208187,2.295885,2.386047", \ "2.012565,1.985242,2.000945,2.049148,2.107787,2.211888,2.316177", \ "2.486305,2.467030,2.428131,2.363895,2.265026,2.275865,2.337633", \ "3.507053,3.444828,3.356335,3.206226,2.978898,2.708316,2.569396", \ "5.057180,4.960627,4.863675,4.650474,4.294205,3.746534,3.190780"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("10.533450,10.603390,10.603420,10.635100,10.763230,10.771290,10.915440", \ "10.451540,10.489960,10.495990,10.439650,10.686690,10.653120,10.853120", \ "10.431650,10.497930,10.479090,10.442870,10.651780,10.598700,10.627340", \ "11.008350,10.951280,10.975160,10.908760,10.920830,10.861240,10.741080", \ "11.975300,11.951100,11.949110,11.965910,11.864330,11.591640,11.436620", \ "13.703930,13.649320,13.550050,13.482270,13.281320,13.044830,12.186860", \ "16.234110,16.163740,16.052700,15.893070,15.511190,14.848230,14.017060"); } } internal_power () { related_pin : "B"; when : "A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.244565,1.256973,1.267199,1.283858,1.295545,1.304144,1.312152", \ "1.127138,1.152589,1.177577,1.214423,1.241518,1.274482,1.289640", \ "0.908373,0.943830,0.998129,1.043366,1.109108,1.182975,1.240600", \ "1.025795,0.996690,0.967985,0.969455,1.025226,1.098423,1.167177", \ "1.577952,1.531864,1.470288,1.364467,1.219836,1.164926,1.195665", \ "2.697313,2.622247,2.504650,2.289842,1.998622,1.648942,1.434355", \ "4.363284,4.271326,4.108062,3.853909,3.391565,2.745376,2.103170"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("9.176746,9.235289,9.236392,9.282196,9.382412,9.392793,9.551524", \ "9.057761,9.078615,9.144897,9.215818,9.269301,9.320558,9.523198", \ "9.077638,9.075426,9.132248,9.171787,9.279533,9.399504,9.428608", \ "9.505974,9.634340,9.632538,9.636489,9.626262,9.486259,9.592508", \ "10.465780,10.487850,10.500140,10.430400,10.486010,10.225000,9.869163", \ "12.186250,12.176780,12.100830,12.020060,11.888380,11.693380,11.193700", \ "14.724690,14.691150,14.590410,14.461850,13.992520,13.511040,12.597600"); } } internal_power () { related_pin : "B"; when : "A & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.263440,1.262156,1.283158,1.288959,1.297004,1.302554,1.304617", \ "1.126215,1.160711,1.187576,1.217644,1.248863,1.269878,1.287318", \ "0.920326,0.944186,0.992903,1.050811,1.113909,1.183591,1.233926", \ "1.021432,1.009341,0.992188,0.961251,1.022557,1.104738,1.168941", \ "1.607144,1.548550,1.482927,1.384017,1.255310,1.167529,1.197623", \ "2.725097,2.643287,2.510386,2.327525,2.033834,1.693123,1.454413", \ "4.414393,4.294074,4.131683,3.863118,3.436668,2.803985,2.161881"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("9.841740,9.914138,9.965805,10.013810,9.987864,10.088720,10.276470", \ "9.747876,9.803674,9.813663,9.919105,9.956833,10.122990,10.164340", \ "9.788955,9.817776,9.785137,9.907177,9.876132,9.944050,10.193160", \ "10.280980,10.310930,10.294280,10.297680,10.214670,10.240500,10.008070", \ "11.173220,11.206800,11.175470,11.159200,11.140180,10.989560,10.504770", \ "12.866620,12.853590,12.842450,12.748060,12.574120,12.368360,11.982830", \ "15.462340,15.376830,15.353590,15.119380,14.819490,14.399860,13.672970"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("1.332233,1.345937,1.367981,1.386718,1.397823,1.402368,1.411263", \ "1.307250,1.332137,1.364799,1.389475,1.429306,1.453790,1.477079", \ "1.260404,1.264592,1.288506,1.340772,1.386321,1.441946,1.477061", \ "1.650306,1.584142,1.512402,1.463469,1.457126,1.474501,1.504033", \ "2.556360,2.441606,2.316189,2.129192,1.880063,1.742537,1.669902", \ "3.877679,3.772682,3.606439,3.339723,2.916985,2.423676,2.109184", \ "5.600566,5.519558,5.387161,5.048209,4.499699,3.726373,2.947376"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("5.757891,5.773628,5.826347,5.855690,5.890297,5.815249,5.915377", \ "5.615627,5.640332,5.706670,5.700703,5.760367,5.699416,5.693354", \ "5.620371,5.621184,5.617465,5.694346,5.638821,5.682037,5.617340", \ "6.069421,6.036389,5.994148,5.917700,5.813722,5.743859,5.679369", \ "6.755023,6.747713,6.833880,6.682708,6.558898,6.217429,6.081827", \ "8.039317,7.975908,7.919785,7.858476,7.734200,7.142571,6.794108", \ "10.038510,9.922750,9.790690,9.491392,9.228683,8.866488,8.119288"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("2.187520,2.203488,2.232190,2.237243,2.284214,2.309749,2.349577", \ "2.082164,2.109770,2.126679,2.141203,2.186713,2.228166,2.267216", \ "2.014979,2.034849,2.058611,2.090016,2.118345,2.168142,2.211305", \ "2.304964,2.247902,2.210507,2.218148,2.227417,2.228536,2.252187", \ "3.172905,3.096263,3.001505,2.856767,2.634938,2.538587,2.440666", \ "4.501646,4.413669,4.286321,4.059984,3.704534,3.237526,2.937047", \ "6.310342,6.223360,6.072128,5.798926,5.321585,4.606177,3.837546"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.587870,3.175740,6.351470,12.702900,25.405900,50.811800"); values ("7.374848,7.393533,7.398926,7.414746,7.426360,7.376733,7.395213", \ "7.267580,7.287389,7.303675,7.316144,7.417320,7.304511,7.299689", \ "7.048887,7.109820,7.145999,7.138744,7.237256,7.294324,7.167104", \ "7.117031,7.113647,7.113280,7.088633,7.203424,7.113996,7.158915", \ "7.297189,7.342235,7.425977,7.455723,7.392456,7.180535,7.210505", \ "8.062877,8.013758,7.994617,8.044596,8.099371,7.654296,7.440447", \ "9.592729,9.466173,9.376423,9.188819,8.973348,8.683968,8.278203"); } } } } /****************************************************************************************** Module : OAI211_X4 Cell Description : Combinational cell (OAI211_X4) with drive strength X4 *******************************************************************************************/ cell (OAI211_X4) { drive_strength : 4; area : 4.522000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 88.156278; leakage_power () { when : "!A & !B & !C1 & !C2"; value : 24.909830; } leakage_power () { when : "!A & !B & !C1 & C2"; value : 19.026412; } leakage_power () { when : "!A & !B & C1 & !C2"; value : 19.026439; } leakage_power () { when : "!A & !B & C1 & C2"; value : 5.455756; } leakage_power () { when : "!A & B & !C1 & !C2"; value : 84.457780; } leakage_power () { when : "!A & B & !C1 & C2"; value : 88.668646; } leakage_power () { when : "!A & B & C1 & !C2"; value : 88.668673; } leakage_power () { when : "!A & B & C1 & C2"; value : 76.018470; } leakage_power () { when : "A & !B & !C1 & !C2"; value : 28.502276; } leakage_power () { when : "A & !B & !C1 & C2"; value : 28.697834; } leakage_power () { when : "A & !B & C1 & !C2"; value : 28.697859; } leakage_power () { when : "A & !B & C1 & C2"; value : 15.275527; } leakage_power () { when : "A & B & !C1 & !C2"; value : 198.328240; } leakage_power () { when : "A & B & !C1 & C2"; value : 214.130180; } leakage_power () { when : "A & B & C1 & !C2"; value : 223.528470; } leakage_power () { when : "A & B & C1 & C2"; value : 267.108050; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.364038; fall_capacitance : 6.047561; rise_capacitance : 6.364038; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.550739; fall_capacitance : 5.929605; rise_capacitance : 6.550739; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.206207; fall_capacitance : 5.612683; rise_capacitance : 6.206207; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.423357; fall_capacitance : 6.423357; rise_capacitance : 6.304077; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 101.624000; function : "!(((C1 | C2) & A) & B)"; timing () { related_pin : "A"; when : "B & !C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.018967,0.021229,0.023738,0.028668,0.038357,0.057483,0.095457", \ "0.020255,0.022541,0.025072,0.030036,0.039765,0.058929,0.096930", \ "0.024391,0.026669,0.029203,0.034195,0.043985,0.063226,0.101301", \ "0.030182,0.032966,0.035937,0.041530,0.051866,0.071194,0.109366", \ "0.035123,0.038706,0.042490,0.049437,0.061739,0.083197,0.121982", \ "0.038593,0.043020,0.047663,0.056185,0.071177,0.096473,0.139083", \ "0.040658,0.045939,0.051428,0.061482,0.079204,0.109054,0.157666"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.013379,0.015162,0.017154,0.021097,0.028900,0.044389,0.075217", \ "0.014904,0.016705,0.018715,0.022684,0.030520,0.046041,0.076892", \ "0.021274,0.023019,0.024974,0.028885,0.036667,0.052153,0.082996", \ "0.030108,0.032745,0.035511,0.040556,0.049285,0.064537,0.095116", \ "0.039170,0.042600,0.046191,0.052808,0.064433,0.083675,0.114774", \ "0.048815,0.052975,0.057333,0.065384,0.079650,0.103673,0.141881", \ "0.059157,0.064036,0.069140,0.078586,0.095331,0.123763,0.169762"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.010296,0.012110,0.014161,0.018257,0.026446,0.042815,0.075543", \ "0.010295,0.012113,0.014158,0.018256,0.026446,0.042813,0.075541", \ "0.010445,0.012146,0.014128,0.018237,0.026442,0.042815,0.075542", \ "0.013282,0.014848,0.016619,0.020182,0.027319,0.042855,0.075536", \ "0.018405,0.020058,0.021860,0.025324,0.032091,0.045792,0.075932", \ "0.025046,0.026922,0.028963,0.032787,0.039837,0.053161,0.080242", \ "0.032980,0.035087,0.037414,0.041757,0.049582,0.063548,0.089875"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.010156,0.011921,0.013921,0.017905,0.025794,0.041162,0.070996", \ "0.010153,0.011923,0.013920,0.017904,0.025795,0.041173,0.070992", \ "0.011124,0.012539,0.014250,0.017928,0.025795,0.041173,0.070988", \ "0.017632,0.018992,0.020429,0.023024,0.028436,0.041521,0.070995", \ "0.025711,0.027446,0.029327,0.032765,0.038698,0.048651,0.072333", \ "0.035165,0.037158,0.039375,0.043517,0.050834,0.062900,0.082564", \ "0.045946,0.048149,0.050625,0.055341,0.063869,0.078342,0.101283"); } } timing () { related_pin : "A"; when : "B & C1 & !C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.015297,0.017428,0.019823,0.024586,0.034070,0.052990,0.090790", \ "0.016510,0.018685,0.021117,0.025929,0.035466,0.054431,0.092260", \ "0.020347,0.022675,0.025158,0.030027,0.039646,0.058707,0.096620", \ "0.024571,0.027637,0.030839,0.036693,0.047279,0.066644,0.104668", \ "0.027440,0.031480,0.035674,0.043225,0.056224,0.078265,0.117263", \ "0.028935,0.033930,0.039091,0.048381,0.064360,0.090702,0.134058", \ "0.029139,0.035084,0.041174,0.052148,0.071049,0.102201,0.152029"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.013027,0.014812,0.016804,0.020744,0.028537,0.044003,0.074791", \ "0.014549,0.016353,0.018364,0.022330,0.030157,0.045653,0.076465", \ "0.020903,0.022678,0.024629,0.028534,0.036304,0.051765,0.082572", \ "0.029526,0.032203,0.035009,0.040105,0.048897,0.064154,0.094684", \ "0.038378,0.041854,0.045490,0.052168,0.063873,0.083221,0.114345", \ "0.047779,0.052004,0.056413,0.064539,0.078906,0.103051,0.141369", \ "0.057833,0.062790,0.067961,0.077511,0.094392,0.122963,0.169091"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.007718,0.009535,0.011594,0.015697,0.023890,0.040248,0.072946", \ "0.007718,0.009537,0.011595,0.015695,0.023890,0.040252,0.072948", \ "0.008436,0.010002,0.011852,0.015732,0.023891,0.040252,0.072948", \ "0.011835,0.013332,0.015013,0.018409,0.025299,0.040437,0.072947", \ "0.017279,0.018910,0.020676,0.024063,0.030607,0.043996,0.073531", \ "0.024192,0.025997,0.027977,0.031737,0.038670,0.051712,0.078348", \ "0.032341,0.034347,0.036590,0.040842,0.048537,0.062321,0.088308"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.008618,0.010233,0.012065,0.015735,0.023083,0.037775,0.067180", \ "0.008619,0.010233,0.012064,0.015732,0.023084,0.037778,0.067163", \ "0.009655,0.010902,0.012432,0.015771,0.023085,0.037782,0.067164", \ "0.015106,0.016550,0.018060,0.020770,0.025785,0.038151,0.067170", \ "0.021223,0.023179,0.025246,0.028953,0.035210,0.045344,0.068524", \ "0.028176,0.030604,0.033198,0.037877,0.045854,0.058679,0.078823", \ "0.036212,0.039105,0.042190,0.047764,0.057350,0.072966,0.097033"); } } timing () { related_pin : "A"; when : "B & C1 & C2"; sdf_cond : "(B == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.013726,0.015538,0.017573,0.021619,0.029673,0.045737,0.077826", \ "0.014998,0.016847,0.018913,0.023001,0.031101,0.047203,0.079318", \ "0.019494,0.021551,0.023693,0.027839,0.036016,0.052205,0.084394", \ "0.024056,0.027001,0.030062,0.035579,0.045152,0.061819,0.094116", \ "0.026874,0.030797,0.034872,0.042210,0.054777,0.075369,0.109330", \ "0.028206,0.033066,0.038100,0.047174,0.062785,0.088366,0.128822", \ "0.028153,0.033941,0.039894,0.050632,0.069149,0.099641,0.147883"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.014135,0.015904,0.017885,0.021811,0.029588,0.045033,0.075804", \ "0.015665,0.017454,0.019454,0.023408,0.031224,0.046709,0.077514", \ "0.022021,0.023743,0.025696,0.029592,0.037352,0.052807,0.083606", \ "0.031215,0.033786,0.036482,0.041419,0.050001,0.065191,0.095714", \ "0.040615,0.043954,0.047470,0.053963,0.065413,0.084451,0.115400", \ "0.050599,0.054643,0.058906,0.066822,0.080897,0.104693,0.142636", \ "0.061245,0.066014,0.071020,0.080311,0.096859,0.125042,0.170756"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.007096,0.008629,0.010357,0.013805,0.020683,0.034413,0.061840", \ "0.007096,0.008628,0.010357,0.013804,0.020685,0.034414,0.061839", \ "0.008031,0.009244,0.010717,0.013868,0.020683,0.034412,0.061839", \ "0.012241,0.013518,0.014904,0.017596,0.022854,0.034773,0.061837", \ "0.018105,0.019560,0.021154,0.024178,0.029766,0.040236,0.062974", \ "0.025381,0.026991,0.028792,0.032253,0.038615,0.049936,0.070750", \ "0.033934,0.035699,0.037741,0.041648,0.048866,0.061593,0.083688"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.009095,0.010729,0.012578,0.016271,0.023645,0.038363,0.067766", \ "0.009094,0.010729,0.012579,0.016271,0.023647,0.038369,0.067747", \ "0.009896,0.011224,0.012827,0.016268,0.023646,0.038362,0.067772", \ "0.015335,0.016766,0.018262,0.020947,0.026090,0.038658,0.067765", \ "0.021528,0.023457,0.025505,0.029182,0.035407,0.045548,0.069005", \ "0.028502,0.030899,0.033468,0.038112,0.046054,0.058826,0.079044", \ "0.036565,0.039394,0.042445,0.047979,0.057509,0.073076,0.097117"); } } timing () { related_pin : "B"; when : "A & !C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.020139,0.022400,0.024909,0.029839,0.039528,0.058654,0.096630", \ "0.021328,0.023612,0.026144,0.031108,0.040837,0.060001,0.098002", \ "0.024272,0.026552,0.029089,0.034080,0.043867,0.063105,0.101177", \ "0.027755,0.030300,0.033066,0.038408,0.048601,0.067940,0.106074", \ "0.030816,0.033822,0.037039,0.043068,0.054187,0.074847,0.113574", \ "0.032215,0.035950,0.039885,0.047092,0.059902,0.082459,0.123433", \ "0.031570,0.036116,0.040855,0.049476,0.064561,0.090103,0.134117"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.014948,0.016794,0.018844,0.022876,0.030798,0.046416,0.077387", \ "0.016492,0.018348,0.020408,0.024454,0.032390,0.048022,0.079002", \ "0.022907,0.024684,0.026696,0.030691,0.038580,0.054170,0.085122", \ "0.033032,0.035515,0.038132,0.042936,0.051328,0.066598,0.097279", \ "0.043476,0.046684,0.050068,0.056351,0.067492,0.086163,0.116987", \ "0.054748,0.058609,0.062684,0.070275,0.083899,0.107144,0.144514", \ "0.067134,0.071638,0.076353,0.085174,0.101049,0.128422,0.173292"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.010297,0.012109,0.014161,0.018257,0.026443,0.042814,0.075548", \ "0.010298,0.012113,0.014162,0.018257,0.026446,0.042814,0.075542", \ "0.010396,0.012139,0.014141,0.018243,0.026444,0.042815,0.075539", \ "0.011967,0.013693,0.015639,0.019532,0.027165,0.042924,0.075541", \ "0.015399,0.016984,0.018791,0.022437,0.029899,0.045085,0.076059", \ "0.021100,0.022698,0.024461,0.027905,0.034822,0.049230,0.079235", \ "0.028468,0.030190,0.032086,0.035687,0.042475,0.056000,0.084762"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.011733,0.013471,0.015440,0.019379,0.027208,0.042530,0.072337", \ "0.011732,0.013469,0.015439,0.019379,0.027208,0.042532,0.072337", \ "0.012128,0.013666,0.015484,0.019334,0.027205,0.042530,0.072343", \ "0.018155,0.019483,0.020892,0.023449,0.029240,0.042716,0.072337", \ "0.026020,0.027770,0.029650,0.033091,0.039012,0.049179,0.073427", \ "0.034923,0.037006,0.039296,0.043548,0.050975,0.063131,0.083090", \ "0.044839,0.047188,0.049805,0.054754,0.063576,0.078330,0.101463"); } } timing () { related_pin : "B"; when : "A & C1 & !C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.016466,0.018599,0.020994,0.025757,0.035241,0.054161,0.091962", \ "0.017582,0.019756,0.022187,0.027000,0.036538,0.055503,0.093333", \ "0.020317,0.022587,0.025055,0.029916,0.039531,0.058588,0.096498", \ "0.023033,0.025643,0.028461,0.033847,0.044071,0.063403,0.101385", \ "0.024600,0.027941,0.031429,0.037774,0.049196,0.070041,0.108877", \ "0.024069,0.028338,0.032734,0.040601,0.054126,0.077234,0.118501", \ "0.021557,0.026748,0.032066,0.041553,0.057714,0.084256,0.128870"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.014573,0.016425,0.018478,0.022511,0.030425,0.046021,0.076942", \ "0.016116,0.017978,0.020042,0.024087,0.032017,0.047627,0.078558", \ "0.022552,0.024324,0.026334,0.030327,0.038207,0.053776,0.084678", \ "0.032479,0.035000,0.037649,0.042500,0.050951,0.066206,0.096837", \ "0.042720,0.045970,0.049398,0.055737,0.066949,0.085705,0.116550", \ "0.053775,0.057689,0.061807,0.069470,0.083174,0.106515,0.144011", \ "0.065908,0.070483,0.075258,0.084161,0.100137,0.127621,0.172626"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.007719,0.009535,0.011596,0.015699,0.023887,0.040252,0.072948", \ "0.007723,0.009540,0.011591,0.015699,0.023890,0.040250,0.072950", \ "0.008152,0.009830,0.011762,0.015721,0.023888,0.040252,0.072948", \ "0.010012,0.011648,0.013527,0.017339,0.024932,0.040484,0.072947", \ "0.014135,0.015634,0.017316,0.020742,0.027909,0.042889,0.073617", \ "0.020394,0.021901,0.023568,0.026830,0.033359,0.047309,0.077026", \ "0.028232,0.029803,0.031577,0.035015,0.041503,0.054517,0.082732"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.009985,0.011596,0.013417,0.017070,0.024399,0.039084,0.068471", \ "0.009981,0.011593,0.013418,0.017072,0.024399,0.039084,0.068479", \ "0.010435,0.011824,0.013481,0.017022,0.024394,0.039087,0.068486", \ "0.015693,0.017099,0.018566,0.021210,0.026494,0.039288,0.068469", \ "0.021774,0.023692,0.025731,0.029394,0.035597,0.045803,0.069600", \ "0.028419,0.030831,0.033425,0.038114,0.046118,0.058956,0.079317", \ "0.035882,0.038753,0.041852,0.047506,0.057237,0.073024,0.097250"); } } timing () { related_pin : "B"; when : "A & C1 & C2"; sdf_cond : "(A == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.014925,0.016737,0.018772,0.022818,0.030872,0.046936,0.079025", \ "0.016088,0.017937,0.020003,0.024092,0.032192,0.048294,0.080410", \ "0.019080,0.021057,0.023195,0.027333,0.035502,0.051685,0.083871", \ "0.022283,0.024689,0.027250,0.032063,0.041017,0.057654,0.089910", \ "0.023982,0.027196,0.030541,0.036576,0.047148,0.065720,0.099347", \ "0.023417,0.027561,0.031835,0.039479,0.052523,0.074132,0.110915", \ "0.020773,0.025833,0.031031,0.040309,0.056089,0.081725,0.123046"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.015912,0.017721,0.019739,0.023722,0.031579,0.047121,0.077991", \ "0.017467,0.019286,0.021313,0.025309,0.033184,0.048743,0.079627", \ "0.023839,0.025614,0.027607,0.031559,0.039383,0.054899,0.085756", \ "0.034287,0.036695,0.039234,0.043919,0.052135,0.067349,0.097932", \ "0.045073,0.048188,0.051495,0.057649,0.068596,0.087024,0.117689", \ "0.056666,0.060420,0.064412,0.071871,0.085296,0.108265,0.145355", \ "0.069375,0.073756,0.078385,0.087061,0.102736,0.129835,0.174388"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.007095,0.008627,0.010356,0.013806,0.020683,0.034415,0.061839", \ "0.007096,0.008629,0.010357,0.013805,0.020686,0.034412,0.061836", \ "0.007644,0.009017,0.010611,0.013876,0.020686,0.034410,0.061837", \ "0.009993,0.011318,0.012831,0.015905,0.022121,0.034822,0.061838", \ "0.014656,0.015930,0.017348,0.020174,0.025929,0.037964,0.062951", \ "0.021264,0.022572,0.024032,0.026889,0.032430,0.043667,0.067517", \ "0.029430,0.030777,0.032333,0.035403,0.041204,0.052235,0.074885"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.010336,0.011972,0.013825,0.017524,0.024910,0.039658,0.069096", \ "0.010334,0.011972,0.013825,0.017525,0.024909,0.039657,0.069093", \ "0.010690,0.012145,0.013866,0.017517,0.024910,0.039655,0.069097", \ "0.015830,0.017221,0.018690,0.021312,0.026797,0.039803,0.069096", \ "0.021995,0.023900,0.025924,0.029568,0.035762,0.046029,0.070102", \ "0.028659,0.031064,0.033642,0.038313,0.046290,0.059102,0.079559", \ "0.036089,0.038945,0.042037,0.047665,0.057373,0.073137,0.097333"); } } timing () { related_pin : "C1"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0112607,0.0134037,0.0158073,0.0205809,0.0300751,0.0490023,0.0868049", \ "0.0124836,0.0146602,0.0170938,0.0219118,0.0314568,0.0504289,0.0882609", \ "0.0169265,0.0193301,0.0218042,0.0265207,0.0360514,0.0550378,0.0928962", \ "0.0207355,0.0242057,0.0278002,0.0342606,0.0452990,0.0642270,0.101893", \ "0.0225070,0.0270121,0.0316590,0.0400412,0.0544873,0.0781550,0.116551", \ "0.0220098,0.0275940,0.0332964,0.0435751,0.0612742,0.0904761,0.136716", \ "0.0190944,0.0257071,0.0324662,0.0446675,0.0656281,0.100169,0.155234"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0191917,0.0229059,0.0270446,0.0352260,0.0514252,0.0836364,0.147907", \ "0.0200236,0.0237861,0.0279853,0.0362745,0.0526217,0.0849816,0.149362", \ "0.0252484,0.0288236,0.0328871,0.0410319,0.0573057,0.0897085,0.154196", \ "0.0344640,0.0390230,0.0437564,0.0522678,0.0680012,0.0999113,0.164092", \ "0.0445462,0.0501815,0.0560796,0.0668528,0.0855904,0.117450,0.180713", \ "0.0561245,0.0627185,0.0696382,0.0823540,0.104767,0.142362,0.205542", \ "0.0692275,0.0768275,0.0847672,0.0993128,0.125012,0.168683,0.239552"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.00770910,0.00953116,0.0115895,0.0156962,0.0238880,0.0402494,0.0729480", \ "0.00768696,0.00952233,0.0115886,0.0156988,0.0238885,0.0402481,0.0729496", \ "0.00914099,0.0104253,0.0120601,0.0157152,0.0238820,0.0402491,0.0729468", \ "0.0141405,0.0157309,0.0174389,0.0206397,0.0266009,0.0404944,0.0729459", \ "0.0209131,0.0228476,0.0249077,0.0287174,0.0355039,0.0474815,0.0739113", \ "0.0293941,0.0316805,0.0341253,0.0386108,0.0465341,0.0601619,0.0836516", \ "0.0395135,0.0422602,0.0451285,0.0503656,0.0594772,0.0749942,0.100985"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0139313,0.0171861,0.0208785,0.0282580,0.0429996,0.0724712,0.131408", \ "0.0139337,0.0171889,0.0208763,0.0282628,0.0430058,0.0724721,0.131418", \ "0.0142854,0.0171835,0.0208619,0.0282528,0.0430120,0.0724782,0.131408", \ "0.0196877,0.0222730,0.0247868,0.0302660,0.0431782,0.0724731,0.131413", \ "0.0260372,0.0290833,0.0324272,0.0385858,0.0493472,0.0735956,0.131405", \ "0.0336993,0.0370820,0.0408899,0.0480556,0.0608479,0.0826670,0.132421", \ "0.0430285,0.0466608,0.0508191,0.0587253,0.0731930,0.0981593,0.142004"); } } timing () { related_pin : "C2"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0146084,0.0168535,0.0193491,0.0242613,0.0339309,0.0530404,0.0910030", \ "0.0156487,0.0179068,0.0204115,0.0253350,0.0350159,0.0541351,0.0921024", \ "0.0203518,0.0225305,0.0249895,0.0298965,0.0395576,0.0586567,0.0966097", \ "0.0262693,0.0293993,0.0326758,0.0386361,0.0490293,0.0678886,0.105637", \ "0.0302421,0.0342910,0.0385149,0.0462422,0.0597861,0.0824205,0.120349", \ "0.0323937,0.0372928,0.0424048,0.0517885,0.0682985,0.0961422,0.141030", \ "0.0326868,0.0383952,0.0443727,0.0553495,0.0747057,0.107454,0.160755"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0233387,0.0269956,0.0310925,0.0392207,0.0553716,0.0875512,0.151801", \ "0.0248715,0.0285962,0.0327603,0.0409928,0.0572715,0.0895703,0.153908", \ "0.0298836,0.0335716,0.0377254,0.0459874,0.0623728,0.0948480,0.159371", \ "0.0375497,0.0417962,0.0463803,0.0549407,0.0712202,0.103657,0.168257", \ "0.0461780,0.0512496,0.0566651,0.0667532,0.0850710,0.117962,0.182368", \ "0.0564722,0.0624546,0.0687408,0.0803241,0.101114,0.137785,0.202956", \ "0.0684901,0.0754594,0.0826854,0.0958776,0.119228,0.159888,0.230386"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0103298,0.0121274,0.0141737,0.0182606,0.0264461,0.0428144,0.0755457", \ "0.0103424,0.0121367,0.0141767,0.0182624,0.0264463,0.0428130,0.0755424", \ "0.0108604,0.0124162,0.0142699,0.0182306,0.0264581,0.0428138,0.0755398", \ "0.0157899,0.0173362,0.0190080,0.0222003,0.0283715,0.0429173,0.0755393", \ "0.0223931,0.0243312,0.0264032,0.0302039,0.0369571,0.0489841,0.0762420", \ "0.0302604,0.0326280,0.0351573,0.0397797,0.0478537,0.0615302,0.0852227", \ "0.0394595,0.0423055,0.0453131,0.0507790,0.0602630,0.0761657,0.102318"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("0.0139299,0.0171867,0.0208753,0.0282550,0.0430008,0.0724826,0.131408", \ "0.0139320,0.0171841,0.0208754,0.0282658,0.0430080,0.0724709,0.131413", \ "0.0139858,0.0172070,0.0208797,0.0282557,0.0430095,0.0724768,0.131417", \ "0.0173019,0.0200380,0.0229876,0.0293140,0.0430711,0.0724730,0.131413", \ "0.0222128,0.0250565,0.0282952,0.0346664,0.0468811,0.0732460,0.131404", \ "0.0285521,0.0314432,0.0347857,0.0414030,0.0543246,0.0789823,0.132266", \ "0.0361468,0.0391657,0.0426585,0.0495304,0.0629221,0.0887447,0.138395"); } } internal_power () { related_pin : "A"; when : "B & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("4.460558,4.536998,4.595611,4.645836,4.711598,4.813441,4.887444", \ "4.286320,4.379136,4.439084,4.539523,4.624146,4.756782,4.851679", \ "3.993327,4.061604,4.144390,4.257298,4.409442,4.589407,4.754833", \ "4.067480,4.051289,4.093128,4.159445,4.289886,4.450088,4.653927", \ "5.199174,5.057856,4.988760,4.815351,4.622860,4.646735,4.734796", \ "7.308459,7.139974,6.927168,6.574738,6.063760,5.482722,5.231164", \ "10.582470,10.306140,10.031280,9.532316,8.629322,7.527091,6.454661"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("18.995740,19.117140,19.132500,19.270600,19.201040,19.290550,18.855940", \ "18.743530,18.928960,18.910940,19.008620,19.062230,19.286560,19.516380", \ "18.802230,18.733040,18.845920,18.979500,18.845140,19.168010,19.020350", \ "19.807890,19.804400,19.776730,19.719810,19.459840,19.601390,19.134340", \ "21.529120,21.493940,21.488220,21.552540,21.094420,20.891680,19.872030", \ "24.824670,24.689810,24.567350,24.157740,24.009790,23.334730,21.752550", \ "29.607650,29.422780,29.251170,28.819270,28.093200,26.888780,25.551710"); } } internal_power () { related_pin : "A"; when : "B & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.492816,2.535925,2.540934,2.559878,2.590402,2.598277,2.599558", \ "2.254077,2.320444,2.363685,2.425355,2.486609,2.541727,2.560788", \ "1.829697,1.921494,1.998478,2.107961,2.233651,2.370440,2.462664", \ "2.120946,2.040348,1.985422,2.020906,2.090921,2.228967,2.365431", \ "3.467359,3.249070,3.106910,2.855157,2.526938,2.439663,2.440026", \ "5.918119,5.584272,5.275520,4.791087,4.121554,3.379022,2.984145", \ "9.404975,9.021793,8.649885,8.004364,6.906088,5.534987,4.271497"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("16.417660,16.452190,16.524210,16.606070,16.717990,16.662850,16.820510", \ "16.144670,16.268020,16.309730,16.439640,16.604290,16.431990,16.456800", \ "16.096920,16.149890,16.240600,16.229900,16.404190,16.591330,16.335290", \ "16.809730,17.060040,17.055500,16.953840,16.975360,16.930540,16.955250", \ "18.491380,18.509640,18.581540,18.661470,18.695740,17.913890,17.595810", \ "21.834490,21.823000,21.643710,21.539500,21.074880,20.700740,19.882320", \ "26.561540,26.437130,26.321830,25.901550,25.272600,24.519540,22.483640"); } } internal_power () { related_pin : "A"; when : "B & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.496369,2.542718,2.555001,2.575930,2.590960,2.591714,2.603443", \ "2.233508,2.306308,2.373509,2.434074,2.496104,2.544473,2.569809", \ "1.857712,1.946733,2.006860,2.117195,2.230067,2.363148,2.474030", \ "2.130484,2.076888,2.015708,2.030213,2.110079,2.232598,2.359942", \ "3.491202,3.324548,3.157988,2.900774,2.588386,2.466687,2.466244", \ "6.022146,5.709051,5.371304,4.904763,4.220328,3.484816,3.031353", \ "9.588974,9.225470,8.817184,8.170030,7.061370,5.680716,4.365885"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("17.730350,17.694570,17.822290,17.882990,17.991300,18.105760,18.068830", \ "17.441620,17.485620,17.622940,17.686010,17.868740,17.916550,17.444620", \ "17.403200,17.385190,17.457920,17.576690,17.732860,17.946770,17.364610", \ "18.240160,18.446620,18.371910,18.353270,18.176500,18.310770,18.132990", \ "19.855070,19.846450,19.832580,19.994690,19.909450,19.316600,18.711910", \ "23.179420,23.110630,22.951820,22.814570,22.450150,22.035420,20.985840", \ "27.954510,27.851860,27.763680,27.230440,26.776690,25.782940,24.726230"); } } internal_power () { related_pin : "B"; when : "A & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("4.495335,4.533972,4.596246,4.645864,4.720494,4.812846,4.870655", \ "4.297285,4.382351,4.422857,4.508218,4.621803,4.755181,4.854160", \ "3.984261,4.058880,4.138786,4.245724,4.418919,4.586466,4.752578", \ "4.021680,3.971070,3.973770,4.094232,4.239350,4.432090,4.615504", \ "4.986631,4.912213,4.839579,4.697475,4.510806,4.543606,4.667274", \ "7.011275,6.819412,6.649019,6.386525,5.931210,5.391101,5.130120", \ "10.112360,9.904621,9.704421,9.290988,8.514655,7.443916,6.356707"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("21.376980,21.357400,21.515480,21.597440,21.796940,21.887090,22.049110", \ "21.059960,21.155150,21.301890,21.373890,21.464970,21.507380,21.402540", \ "21.091730,21.204790,21.264370,21.329520,21.462140,21.721170,21.371680", \ "22.245140,22.200760,22.062090,22.061140,21.986040,21.726970,21.801690", \ "24.180490,24.183330,24.112140,24.112110,23.888200,23.267150,22.697500", \ "27.532180,27.398050,27.341490,26.927670,26.773660,26.068170,24.940650", \ "32.689460,32.537470,32.264130,31.955370,31.231140,30.168450,28.610050"); } } internal_power () { related_pin : "B"; when : "A & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.505578,2.541837,2.536108,2.556250,2.591522,2.602121,2.600347", \ "2.254574,2.288071,2.360728,2.410275,2.491308,2.530856,2.562127", \ "1.817240,1.925287,1.981584,2.096668,2.237360,2.365369,2.455838", \ "2.050584,1.995986,1.928700,1.937995,2.048761,2.191361,2.329231", \ "3.156917,3.024194,2.899507,2.708451,2.419356,2.319825,2.381726", \ "5.400449,5.183702,4.963980,4.568069,3.959800,3.268068,2.869653", \ "8.732143,8.472417,8.185361,7.663296,6.749500,5.453379,4.174673"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("18.608740,18.669650,18.796570,18.795780,19.100600,19.004190,18.968360", \ "18.348310,18.458600,18.581300,18.608980,18.745050,19.009010,19.194840", \ "18.387040,18.443150,18.512360,18.584130,18.655150,18.939140,19.003670", \ "19.249890,19.514940,19.460750,19.395810,19.499040,19.126940,19.181810", \ "21.009760,21.083750,21.213860,21.222770,21.127720,20.819220,20.563020", \ "24.609410,24.567910,24.434590,24.220370,23.792730,23.201860,22.373390", \ "29.672640,29.464120,29.384810,29.083760,28.420390,27.382060,25.827300"); } } internal_power () { related_pin : "B"; when : "A & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.521305,2.546070,2.547590,2.564291,2.582679,2.603266,2.608484", \ "2.226097,2.323670,2.369509,2.429938,2.493712,2.534434,2.573895", \ "1.835970,1.929363,1.988910,2.104542,2.228787,2.359696,2.459870", \ "2.040366,2.019829,1.968163,1.950702,2.048363,2.191838,2.346540", \ "3.214100,3.051801,2.931008,2.748453,2.496433,2.338844,2.390339", \ "5.449656,5.216749,5.006904,4.606084,4.020399,3.364613,2.894916", \ "8.833068,8.565093,8.243561,7.737023,6.829116,5.558626,4.295373"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("19.921210,19.967270,20.089680,20.230920,20.320310,20.587860,20.793290", \ "19.690230,19.759250,19.911700,20.106270,20.184780,20.368750,20.493840", \ "19.729930,19.811020,19.897200,20.078390,20.133460,20.112390,20.425610", \ "20.855240,20.857920,20.880100,20.759580,20.815810,20.846250,20.555600", \ "22.584420,22.615040,22.590160,22.746860,22.659710,22.218050,21.914870", \ "25.970130,25.944250,25.934570,25.748890,25.428460,25.000580,23.736680", \ "31.125790,30.959490,30.909240,30.615620,29.943550,29.113780,27.294140"); } } internal_power () { related_pin : "C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("2.680834,2.720637,2.722526,2.764015,2.793406,2.805779,2.812408", \ "2.614977,2.643447,2.717447,2.792369,2.858737,2.909828,2.937268", \ "2.497816,2.554784,2.602949,2.683857,2.780117,2.882958,2.961897", \ "3.290164,3.145051,3.004711,2.912921,2.920510,2.956030,2.993465", \ "5.114276,4.850810,4.595554,4.224552,3.745101,3.485430,3.323548", \ "7.778805,7.508004,7.202273,6.636570,5.799141,4.814130,4.190504", \ "11.245830,11.000700,10.697010,10.113770,8.982745,7.393025,5.864944"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("11.644630,11.767720,11.823490,11.898460,11.829680,11.841100,11.450340", \ "11.365370,11.507410,11.589490,11.750050,11.820530,11.975550,12.063110", \ "11.374190,11.466120,11.424160,11.472150,11.480020,11.730500,11.915890", \ "12.302920,12.189330,12.165390,12.023980,12.034890,11.821610,12.004430", \ "13.647410,13.702680,13.798280,13.569920,13.195930,12.482750,12.187240", \ "16.273150,16.053130,15.993460,15.878310,15.610140,14.519390,13.604310", \ "20.235630,19.907650,19.720940,19.155980,18.554260,17.893280,16.073950"); } } internal_power () { related_pin : "C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("4.388740,4.436866,4.454684,4.517335,4.569586,4.635747,4.708169", \ "4.162875,4.188655,4.240098,4.286566,4.384133,4.458955,4.533665", \ "4.030333,4.059502,4.114923,4.168368,4.261361,4.348950,4.436394", \ "4.602267,4.488709,4.427058,4.456637,4.449913,4.463695,4.500202", \ "6.342087,6.148477,5.990936,5.672121,5.267190,5.061336,4.875357", \ "9.015406,8.755099,8.527465,8.072534,7.368166,6.446107,5.858102", \ "12.630720,12.411040,12.120640,11.546130,10.600110,9.162166,7.657181"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.175750,6.351500,12.703000,25.406000,50.812000,101.624000"); values ("14.875010,14.976650,15.025280,15.021190,14.960790,15.023360,14.727580", \ "14.663920,14.796480,14.889530,14.979230,14.848520,15.195140,14.688890", \ "14.324590,14.357710,14.487720,14.554920,14.611620,14.976010,15.011190", \ "14.367050,14.351320,14.341310,14.445020,14.425960,14.591490,14.379750", \ "14.756950,14.910280,15.090800,15.073650,14.915840,14.627000,14.992870", \ "16.268040,16.222110,16.228370,16.230500,16.205590,15.935190,15.556310", \ "19.334160,19.118950,18.902870,18.497290,18.311480,17.561160,16.567800"); } } } } /****************************************************************************************** Module : OAI221_X1 Cell Description : Combinational cell (OAI221_X1) with drive strength X1 *******************************************************************************************/ cell (OAI221_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 33.937672; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 6.394245; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 5.155874; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 5.155878; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 1.777160; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 21.193315; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 22.246035; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 22.246037; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 19.083492; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 21.193315; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 22.246035; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 22.246048; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 19.083492; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 35.813657; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 36.866487; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 36.866489; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 33.703946; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 7.987694; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 10.484580; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 10.484591; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 7.224065; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 49.661150; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 51.261100; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 53.610733; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 64.505991; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 49.661260; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 53.610843; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 55.960300; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 66.855382; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 64.286200; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 64.514461; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 66.864369; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 77.761266; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.630225; fall_capacitance : 1.539664; rise_capacitance : 1.630225; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.655378; fall_capacitance : 1.410660; rise_capacitance : 1.655378; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.605417; fall_capacitance : 1.543518; rise_capacitance : 1.605417; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.569676; fall_capacitance : 1.415435; rise_capacitance : 1.569676; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.583349; fall_capacitance : 1.583349; rise_capacitance : 1.562054; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 22.163400; function : "!(((C1 | C2) & A) & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.022958,0.024129,0.026421,0.030867,0.039493,0.056257,0.089062", \ "0.024289,0.025469,0.027771,0.032244,0.040897,0.057693,0.090528", \ "0.028456,0.029634,0.031946,0.036438,0.045133,0.061990,0.094889", \ "0.035360,0.036698,0.039266,0.044136,0.053150,0.070037,0.103015", \ "0.042094,0.043769,0.046950,0.052844,0.063452,0.082167,0.115745", \ "0.047435,0.049470,0.053408,0.060599,0.073455,0.095514,0.132706", \ "0.051339,0.053762,0.058394,0.066923,0.082088,0.108045,0.150839"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013665,0.014565,0.016338,0.019820,0.026653,0.040098,0.066617", \ "0.015233,0.016143,0.017932,0.021437,0.028303,0.041782,0.068326", \ "0.021633,0.022502,0.024235,0.027678,0.034484,0.047920,0.074452", \ "0.030706,0.032036,0.034555,0.039120,0.047022,0.060399,0.086645", \ "0.040007,0.041729,0.045012,0.050990,0.061490,0.078922,0.106473", \ "0.049900,0.051990,0.055985,0.063252,0.076110,0.097796,0.132399", \ "0.060537,0.062972,0.067663,0.076186,0.091274,0.116877,0.158393"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012798,0.013736,0.015583,0.019232,0.026433,0.040651,0.068778", \ "0.012800,0.013735,0.015584,0.019236,0.026435,0.040653,0.068780", \ "0.012715,0.013663,0.015537,0.019215,0.026430,0.040652,0.068789", \ "0.014983,0.015825,0.017484,0.020692,0.027119,0.040689,0.068777", \ "0.019818,0.020683,0.022337,0.025509,0.031623,0.043662,0.069353", \ "0.026391,0.027373,0.029225,0.032721,0.039094,0.050956,0.074224", \ "0.034208,0.035366,0.037490,0.041455,0.048564,0.061096,0.084093"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012761,0.013747,0.015692,0.019478,0.026728,0.040377,0.066178", \ "0.012762,0.013749,0.015690,0.019479,0.026729,0.040371,0.066188", \ "0.013659,0.014467,0.016133,0.019570,0.026731,0.040370,0.066176", \ "0.021621,0.022160,0.023222,0.025207,0.029942,0.041098,0.066185", \ "0.032089,0.032741,0.034011,0.036523,0.041155,0.049302,0.068620", \ "0.043388,0.044148,0.045642,0.048635,0.054268,0.064177,0.080514", \ "0.055835,0.056712,0.058361,0.061756,0.068283,0.080075,0.099622"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.018854,0.020001,0.022243,0.026608,0.035100,0.051695,0.084313", \ "0.020141,0.021299,0.023563,0.027960,0.036493,0.053127,0.085779", \ "0.024274,0.025431,0.027696,0.032111,0.040696,0.057405,0.090126", \ "0.030151,0.031576,0.034284,0.039330,0.048550,0.065425,0.098235", \ "0.035210,0.037041,0.040509,0.046841,0.057986,0.077155,0.110944", \ "0.038839,0.041082,0.045354,0.053109,0.066730,0.089651,0.127524", \ "0.041070,0.043715,0.048765,0.057952,0.074053,0.101114,0.144942"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013315,0.014217,0.015989,0.019469,0.026295,0.039722,0.066213", \ "0.014881,0.015791,0.017581,0.021085,0.027945,0.041405,0.067924", \ "0.021276,0.022162,0.023892,0.027331,0.034127,0.047544,0.074046", \ "0.030134,0.031485,0.034041,0.038658,0.046627,0.060029,0.086237", \ "0.039223,0.040967,0.044299,0.050339,0.060924,0.078454,0.106065", \ "0.048877,0.050999,0.055048,0.062398,0.075358,0.097161,0.131879", \ "0.059225,0.061723,0.066465,0.075109,0.090324,0.116064,0.157709"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010429,0.011351,0.013170,0.016786,0.023937,0.038091,0.066133", \ "0.010423,0.011344,0.013172,0.016782,0.023936,0.038091,0.066134", \ "0.010580,0.011448,0.013171,0.016762,0.023925,0.038088,0.066141", \ "0.013428,0.014230,0.015796,0.018929,0.025073,0.038268,0.066127", \ "0.018578,0.019431,0.021065,0.024171,0.030091,0.041868,0.066935", \ "0.025226,0.026204,0.028065,0.031537,0.037850,0.049474,0.072377", \ "0.033134,0.034274,0.036390,0.040334,0.047416,0.059827,0.082475"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010200,0.011089,0.012863,0.016368,0.023259,0.036642,0.062330", \ "0.010196,0.011087,0.012862,0.016373,0.023266,0.036632,0.062329", \ "0.011170,0.011871,0.013339,0.016478,0.023264,0.036643,0.062325", \ "0.017711,0.018411,0.019727,0.022097,0.026562,0.037394,0.062332", \ "0.025820,0.026730,0.028424,0.031552,0.036937,0.045689,0.064810", \ "0.035307,0.036346,0.038329,0.042072,0.048698,0.059643,0.076774", \ "0.046130,0.047283,0.049481,0.053712,0.061388,0.074463,0.095216"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.016612,0.017593,0.019510,0.023238,0.030484,0.044627,0.072406", \ "0.017952,0.018944,0.020878,0.024635,0.031915,0.046092,0.073895", \ "0.022862,0.023846,0.025775,0.029543,0.036870,0.051113,0.078983", \ "0.029437,0.030793,0.033346,0.038013,0.046276,0.060804,0.088756", \ "0.034475,0.036257,0.039628,0.045769,0.056507,0.074445,0.104070", \ "0.037913,0.040103,0.044277,0.051863,0.065170,0.087427,0.123075", \ "0.039865,0.042450,0.047400,0.056399,0.072168,0.098672,0.141246"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014398,0.015294,0.017058,0.020525,0.027337,0.040752,0.067233", \ "0.015971,0.016875,0.018656,0.022151,0.029001,0.042457,0.068968", \ "0.022337,0.023208,0.024941,0.028374,0.035162,0.048576,0.075087", \ "0.031770,0.033067,0.035527,0.039996,0.047765,0.061056,0.087264", \ "0.041389,0.043079,0.046286,0.052159,0.062501,0.079738,0.107118", \ "0.051599,0.053658,0.057555,0.064696,0.077390,0.098865,0.133215", \ "0.062531,0.064941,0.069525,0.077934,0.092838,0.118210,0.159453"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.009423,0.010198,0.011725,0.014753,0.020755,0.032653,0.056201", \ "0.009423,0.010195,0.011724,0.014755,0.020757,0.032650,0.056204", \ "0.009648,0.010347,0.011767,0.014712,0.020750,0.032644,0.056199", \ "0.013485,0.014135,0.015395,0.017837,0.022553,0.032991,0.056196", \ "0.019181,0.019952,0.021418,0.024172,0.029192,0.038461,0.057702", \ "0.026179,0.027086,0.028778,0.031991,0.037777,0.047924,0.066099", \ "0.034437,0.035477,0.037425,0.041085,0.047739,0.059245,0.078861"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010700,0.011601,0.013390,0.016918,0.023835,0.037230,0.062934", \ "0.010696,0.011601,0.013389,0.016922,0.023837,0.037224,0.062925", \ "0.011445,0.012189,0.013724,0.016966,0.023839,0.037230,0.062935", \ "0.017818,0.018531,0.019845,0.022230,0.026837,0.037865,0.062927", \ "0.025901,0.026819,0.028537,0.031673,0.037068,0.045849,0.065223", \ "0.035290,0.036349,0.038374,0.042152,0.048795,0.059752,0.076916", \ "0.046017,0.047209,0.049444,0.053712,0.061426,0.074511,0.095266"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.018854,0.020001,0.022243,0.026608,0.035100,0.051695,0.084313", \ "0.020141,0.021299,0.023563,0.027960,0.036493,0.053127,0.085779", \ "0.024274,0.025431,0.027696,0.032111,0.040696,0.057405,0.090126", \ "0.030151,0.031576,0.034284,0.039330,0.048550,0.065425,0.098235", \ "0.035210,0.037041,0.040509,0.046841,0.057986,0.077155,0.110944", \ "0.038839,0.041082,0.045354,0.053109,0.066730,0.089651,0.127524", \ "0.041070,0.043715,0.048765,0.057952,0.074053,0.101114,0.144942"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013315,0.014217,0.015989,0.019469,0.026295,0.039722,0.066213", \ "0.014881,0.015791,0.017581,0.021085,0.027945,0.041405,0.067924", \ "0.021276,0.022162,0.023892,0.027331,0.034127,0.047544,0.074046", \ "0.030134,0.031485,0.034041,0.038658,0.046627,0.060029,0.086237", \ "0.039223,0.040967,0.044299,0.050339,0.060924,0.078454,0.106065", \ "0.048877,0.050999,0.055048,0.062398,0.075358,0.097161,0.131879", \ "0.059225,0.061723,0.066465,0.075109,0.090324,0.116064,0.157709"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010429,0.011351,0.013170,0.016786,0.023937,0.038091,0.066133", \ "0.010423,0.011344,0.013172,0.016782,0.023936,0.038091,0.066134", \ "0.010580,0.011448,0.013171,0.016762,0.023925,0.038088,0.066141", \ "0.013428,0.014230,0.015796,0.018929,0.025073,0.038268,0.066127", \ "0.018578,0.019431,0.021065,0.024171,0.030091,0.041868,0.066935", \ "0.025226,0.026204,0.028065,0.031537,0.037850,0.049474,0.072377", \ "0.033134,0.034274,0.036390,0.040334,0.047416,0.059827,0.082475"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010200,0.011089,0.012863,0.016368,0.023259,0.036642,0.062330", \ "0.010196,0.011087,0.012862,0.016373,0.023266,0.036632,0.062329", \ "0.011170,0.011871,0.013339,0.016478,0.023264,0.036643,0.062325", \ "0.017711,0.018411,0.019727,0.022097,0.026562,0.037394,0.062332", \ "0.025820,0.026730,0.028424,0.031552,0.036937,0.045689,0.064810", \ "0.035307,0.036346,0.038329,0.042072,0.048698,0.059643,0.076774", \ "0.046130,0.047283,0.049481,0.053712,0.061388,0.074463,0.095216"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.015185,0.016267,0.018400,0.022603,0.030897,0.047290,0.079738", \ "0.016401,0.017505,0.019674,0.023927,0.032276,0.048716,0.081203", \ "0.020241,0.021447,0.023694,0.028007,0.036433,0.052966,0.085541", \ "0.024581,0.026156,0.029103,0.034441,0.043932,0.060945,0.093628", \ "0.027602,0.029677,0.033546,0.040473,0.052346,0.072150,0.106298", \ "0.029256,0.031833,0.036600,0.045116,0.059728,0.083680,0.122416", \ "0.029592,0.032680,0.038334,0.048403,0.065657,0.094019,0.139083"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012968,0.013870,0.015643,0.019120,0.025939,0.039348,0.065811", \ "0.014531,0.015443,0.017233,0.020736,0.027589,0.041032,0.067517", \ "0.020908,0.021826,0.023551,0.026986,0.033772,0.047172,0.073632", \ "0.029564,0.030935,0.033524,0.038189,0.046226,0.059662,0.085827", \ "0.038436,0.040205,0.043578,0.049682,0.060345,0.077974,0.105661", \ "0.047846,0.049999,0.054104,0.061532,0.074594,0.096513,0.131360", \ "0.057912,0.060443,0.065255,0.074008,0.089340,0.115223,0.157024"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.007889,0.008816,0.010642,0.014260,0.021422,0.035566,0.063597", \ "0.007890,0.008814,0.010641,0.014265,0.021422,0.035572,0.063596", \ "0.008603,0.009390,0.011011,0.014356,0.021420,0.035574,0.063594", \ "0.012018,0.012780,0.014277,0.017248,0.023214,0.035971,0.063601", \ "0.017484,0.018321,0.019927,0.022961,0.028701,0.040152,0.064682", \ "0.024391,0.025328,0.027122,0.030521,0.036714,0.048119,0.070642", \ "0.032543,0.033578,0.035602,0.039439,0.046405,0.058657,0.081025"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.008676,0.009498,0.011124,0.014355,0.020763,0.033474,0.058644", \ "0.008677,0.009500,0.011124,0.014355,0.020759,0.033455,0.058624", \ "0.009719,0.010342,0.011647,0.014488,0.020768,0.033470,0.058628", \ "0.015220,0.015969,0.017357,0.019831,0.024131,0.034259,0.058619", \ "0.021386,0.022419,0.024310,0.027712,0.033431,0.042553,0.061134", \ "0.028396,0.029679,0.032045,0.036324,0.043619,0.055317,0.073159", \ "0.036483,0.038033,0.040849,0.045947,0.054673,0.068903,0.090805"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013579,0.014499,0.016311,0.019882,0.026929,0.040854,0.068419", \ "0.014859,0.015797,0.017640,0.021254,0.028347,0.042315,0.069910", \ "0.019391,0.020443,0.022416,0.026096,0.033263,0.047317,0.074989", \ "0.024076,0.025591,0.028415,0.033476,0.042184,0.056973,0.084750", \ "0.027043,0.029061,0.032817,0.039547,0.051057,0.069801,0.100036", \ "0.028528,0.031039,0.035691,0.044001,0.058264,0.081598,0.118288", \ "0.028618,0.031605,0.037127,0.046976,0.063879,0.091654,0.135501"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014051,0.014946,0.016711,0.020175,0.026981,0.040375,0.066812", \ "0.015621,0.016526,0.018307,0.021800,0.028643,0.042078,0.068550", \ "0.022000,0.022868,0.024598,0.028027,0.034807,0.048199,0.074664", \ "0.031221,0.032537,0.035028,0.039539,0.047374,0.060687,0.086850", \ "0.040640,0.042348,0.045594,0.051520,0.061937,0.079267,0.106710", \ "0.050629,0.052709,0.056657,0.063860,0.076640,0.098221,0.132700", \ "0.061287,0.063732,0.068376,0.076859,0.091880,0.117389,0.158777"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.007221,0.008003,0.009537,0.012584,0.018592,0.030473,0.053971", \ "0.007223,0.008000,0.009541,0.012580,0.018589,0.030459,0.053960", \ "0.008138,0.008747,0.010020,0.012735,0.018596,0.030460,0.053959", \ "0.012379,0.013032,0.014286,0.016686,0.021281,0.031146,0.053962", \ "0.018253,0.019001,0.020444,0.023178,0.028173,0.037302,0.055939", \ "0.025519,0.026348,0.027972,0.031105,0.036828,0.046925,0.064895", \ "0.034035,0.034968,0.036790,0.040309,0.046805,0.058250,0.077774"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.009153,0.009988,0.011625,0.014875,0.021311,0.034024,0.059210", \ "0.009153,0.009986,0.011626,0.014875,0.021314,0.034031,0.059216", \ "0.009958,0.010623,0.011999,0.014943,0.021312,0.034033,0.059229", \ "0.015443,0.016185,0.017553,0.020012,0.024380,0.034695,0.059202", \ "0.021681,0.022693,0.024564,0.027933,0.033617,0.042701,0.061545", \ "0.028704,0.029965,0.032319,0.036560,0.043803,0.055462,0.073284", \ "0.036805,0.038334,0.041105,0.046153,0.054825,0.068999,0.090875"); } } timing () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.015834,0.016809,0.018711,0.022409,0.029592,0.043608,0.071141", \ "0.017214,0.018198,0.020118,0.023844,0.031060,0.045111,0.072666", \ "0.021374,0.022374,0.024293,0.028020,0.035274,0.049386,0.077005", \ "0.026593,0.027864,0.030271,0.034722,0.042780,0.057319,0.085029", \ "0.030727,0.032385,0.035513,0.041196,0.051162,0.068109,0.097503", \ "0.033206,0.035255,0.039148,0.046193,0.058481,0.079007,0.112538", \ "0.033959,0.036395,0.041055,0.049477,0.064134,0.088560,0.127800"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013256,0.014157,0.015930,0.019408,0.026234,0.039662,0.066150", \ "0.014778,0.015688,0.017476,0.020980,0.027838,0.041297,0.067815", \ "0.021220,0.022106,0.023828,0.027254,0.034037,0.047441,0.073935", \ "0.030217,0.031554,0.034094,0.038685,0.046623,0.059993,0.086170", \ "0.039600,0.041331,0.044620,0.050609,0.061118,0.078562,0.106099", \ "0.049779,0.051859,0.055854,0.063096,0.075925,0.097565,0.132111", \ "0.060918,0.063355,0.068036,0.076492,0.091483,0.116948,0.158306"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.008693,0.009468,0.011008,0.014055,0.020089,0.032049,0.055747", \ "0.008689,0.009466,0.011007,0.014053,0.020090,0.032050,0.055754", \ "0.009027,0.009733,0.011152,0.014050,0.020073,0.032046,0.055749", \ "0.011914,0.012593,0.013914,0.016529,0.021725,0.032514,0.055739", \ "0.016843,0.017592,0.019009,0.021682,0.026741,0.036652,0.057249", \ "0.023169,0.024042,0.025672,0.028714,0.034183,0.044139,0.063486", \ "0.030729,0.031753,0.033623,0.037111,0.043320,0.054076,0.073457"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010202,0.011092,0.012863,0.016371,0.023266,0.036642,0.062333", \ "0.010198,0.011092,0.012863,0.016371,0.023265,0.036637,0.062326", \ "0.011199,0.011894,0.013358,0.016490,0.023264,0.036643,0.062326", \ "0.017658,0.018369,0.019694,0.022086,0.026564,0.037403,0.062335", \ "0.025563,0.026482,0.028211,0.031376,0.036821,0.045629,0.064801", \ "0.034718,0.035788,0.037813,0.041605,0.048331,0.059398,0.076652", \ "0.045169,0.046335,0.048567,0.052850,0.060646,0.073903,0.094861"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012887,0.013799,0.015596,0.019141,0.026137,0.039969,0.067347", \ "0.014208,0.015137,0.016965,0.020551,0.027594,0.041464,0.068873", \ "0.017917,0.018958,0.020957,0.024655,0.031764,0.045718,0.073198", \ "0.021696,0.023110,0.025745,0.030491,0.038831,0.053598,0.081197", \ "0.023921,0.025809,0.029314,0.035557,0.046200,0.063766,0.093558", \ "0.024510,0.026875,0.031248,0.039012,0.052234,0.073735,0.108090", \ "0.023475,0.026300,0.031527,0.040796,0.056569,0.082235,0.122619"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012908,0.013810,0.015583,0.019060,0.025879,0.039287,0.065743", \ "0.014429,0.015339,0.017129,0.020630,0.027482,0.040924,0.067410", \ "0.020851,0.021771,0.023488,0.026910,0.033682,0.047070,0.073524", \ "0.029648,0.031004,0.033578,0.038220,0.046225,0.059627,0.085761", \ "0.038823,0.040576,0.043904,0.049953,0.060545,0.078083,0.105695", \ "0.048767,0.050885,0.054924,0.062242,0.075168,0.096918,0.131593", \ "0.059635,0.062108,0.066844,0.075403,0.090517,0.116122,0.157621"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.006552,0.007326,0.008868,0.011921,0.017965,0.029932,0.053631", \ "0.006551,0.007326,0.008867,0.011921,0.017965,0.029931,0.053634", \ "0.007473,0.008121,0.009429,0.012175,0.017977,0.029931,0.053634", \ "0.010782,0.011433,0.012711,0.015211,0.020244,0.030682,0.053627", \ "0.015980,0.016711,0.018110,0.020726,0.025635,0.035284,0.055492", \ "0.022571,0.023385,0.024967,0.027943,0.033313,0.043070,0.062115", \ "0.030321,0.031268,0.033067,0.036471,0.042554,0.053173,0.072290"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.008677,0.009499,0.011125,0.014353,0.020763,0.033475,0.058632", \ "0.008677,0.009497,0.011125,0.014354,0.020763,0.033460,0.058624", \ "0.009744,0.010363,0.011668,0.014499,0.020765,0.033472,0.058618", \ "0.015174,0.015931,0.017328,0.019822,0.024131,0.034264,0.058620", \ "0.021172,0.022210,0.024119,0.027549,0.033321,0.042498,0.061124", \ "0.027917,0.029208,0.031599,0.035916,0.043280,0.055080,0.073032", \ "0.035722,0.037257,0.040068,0.045185,0.053994,0.068361,0.090456"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.011230,0.011970,0.013429,0.016306,0.021981,0.033200,0.055407", \ "0.012643,0.013399,0.014882,0.017793,0.023506,0.034757,0.056987", \ "0.017116,0.018026,0.019738,0.022858,0.028647,0.039972,0.062269", \ "0.021120,0.022472,0.024988,0.029484,0.037151,0.049869,0.072346", \ "0.023263,0.025086,0.028480,0.034523,0.044796,0.061417,0.087652", \ "0.023639,0.025941,0.030193,0.037754,0.050618,0.071489,0.103990", \ "0.022292,0.025043,0.030134,0.039185,0.054589,0.079650,0.118800"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.013991,0.014886,0.016651,0.020115,0.026920,0.040313,0.066753", \ "0.015513,0.016418,0.018198,0.021690,0.028532,0.041968,0.068443", \ "0.021944,0.022808,0.024531,0.027948,0.034712,0.048091,0.074549", \ "0.031309,0.032620,0.035084,0.039577,0.047374,0.060655,0.086782", \ "0.041024,0.042713,0.045929,0.051801,0.062150,0.079395,0.106757", \ "0.051518,0.053570,0.057454,0.064574,0.077238,0.098663,0.132967", \ "0.062974,0.065367,0.069944,0.078261,0.093062,0.118319,0.159430"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.005680,0.006309,0.007547,0.010003,0.014849,0.024436,0.043412", \ "0.005680,0.006308,0.007547,0.010002,0.014848,0.024435,0.043409", \ "0.006988,0.007479,0.008412,0.010429,0.014881,0.024440,0.043409", \ "0.011064,0.011620,0.012686,0.014687,0.018433,0.025861,0.043419", \ "0.016620,0.017264,0.018514,0.020864,0.025111,0.032678,0.047033", \ "0.023533,0.024261,0.025673,0.028376,0.033310,0.041904,0.056843", \ "0.031666,0.032477,0.034073,0.037180,0.042829,0.052660,0.069259"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.009152,0.009988,0.011625,0.014877,0.021306,0.034029,0.059209", \ "0.009153,0.009987,0.011625,0.014876,0.021311,0.034022,0.059215", \ "0.009986,0.010641,0.012016,0.014946,0.021309,0.034026,0.059225", \ "0.015393,0.016140,0.017520,0.019990,0.024375,0.034705,0.059204", \ "0.021465,0.022486,0.024376,0.027772,0.033506,0.042632,0.061530", \ "0.028237,0.029503,0.031870,0.036145,0.043457,0.055211,0.073140", \ "0.036041,0.037569,0.040332,0.045389,0.054142,0.068448,0.090493"); } } timing () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.021013,0.022159,0.024401,0.028766,0.037259,0.053854,0.086472", \ "0.022537,0.023696,0.025960,0.030359,0.038893,0.055528,0.088176", \ "0.025766,0.026934,0.029220,0.033659,0.042263,0.058982,0.091708", \ "0.029429,0.030733,0.033247,0.038028,0.047048,0.063936,0.096744", \ "0.032468,0.034018,0.036965,0.042432,0.052407,0.070617,0.104284", \ "0.033332,0.035289,0.038972,0.045648,0.057390,0.077649,0.113609", \ "0.031206,0.033613,0.038186,0.046383,0.060533,0.084107,0.123371"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.034087,0.036024,0.039830,0.047280,0.061843,0.090374,0.146355", \ "0.034893,0.036843,0.040672,0.048152,0.062754,0.091313,0.147335", \ "0.039744,0.041679,0.045482,0.052937,0.067520,0.096085,0.152146", \ "0.051393,0.053185,0.056816,0.064041,0.078287,0.106494,0.162234", \ "0.066841,0.069186,0.073662,0.081944,0.096841,0.124241,0.179184", \ "0.083729,0.086462,0.091774,0.101602,0.119427,0.150363,0.204467", \ "0.102457,0.105581,0.111595,0.122900,0.143355,0.179212,0.239169"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010425,0.011346,0.013176,0.016785,0.023936,0.038087,0.066143", \ "0.010425,0.011352,0.013171,0.016787,0.023937,0.038091,0.066141", \ "0.010452,0.011340,0.013158,0.016774,0.023927,0.038091,0.066139", \ "0.011977,0.012856,0.014596,0.018031,0.024707,0.038258,0.066135", \ "0.015347,0.016161,0.017769,0.020983,0.027457,0.040576,0.066900", \ "0.021064,0.021902,0.023514,0.026610,0.032612,0.044897,0.070453", \ "0.028520,0.029469,0.031219,0.034519,0.040571,0.052166,0.076279"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.028540,0.030353,0.033919,0.040872,0.054364,0.080532,0.131714", \ "0.028544,0.030353,0.033918,0.040870,0.054365,0.080529,0.131725", \ "0.028537,0.030348,0.033911,0.040868,0.054357,0.080531,0.131723", \ "0.030096,0.031650,0.034769,0.041153,0.054349,0.080522,0.131718", \ "0.038174,0.039620,0.042350,0.047333,0.057909,0.081013,0.131710", \ "0.047546,0.049224,0.052412,0.058384,0.069094,0.088559,0.132707", \ "0.057514,0.059420,0.063080,0.069939,0.082303,0.103605,0.142100"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.017339,0.018421,0.020554,0.024761,0.033055,0.049449,0.081901", \ "0.018781,0.019889,0.022063,0.026320,0.034673,0.051118,0.083602", \ "0.021814,0.022965,0.025198,0.029536,0.037991,0.054545,0.087124", \ "0.024684,0.026029,0.028602,0.033449,0.042533,0.059464,0.092141", \ "0.026228,0.027961,0.031196,0.037033,0.047349,0.065804,0.099650", \ "0.024987,0.027248,0.031429,0.038832,0.051399,0.072319,0.108656", \ "0.020556,0.023383,0.028630,0.037825,0.053210,0.077940,0.118026"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.033256,0.035194,0.038999,0.046440,0.060965,0.089397,0.145335", \ "0.034058,0.036012,0.039838,0.047308,0.061873,0.090338,0.146305", \ "0.038917,0.040849,0.044652,0.052096,0.066644,0.095113,0.151089", \ "0.050568,0.052398,0.056007,0.063224,0.077426,0.105530,0.161168", \ "0.065729,0.068086,0.072614,0.080966,0.095950,0.123320,0.178101", \ "0.082303,0.085081,0.090444,0.100347,0.118267,0.149306,0.203401", \ "0.100730,0.103890,0.109963,0.121342,0.141906,0.177872,0.238056"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.007889,0.008812,0.010645,0.014265,0.021419,0.035571,0.063604", \ "0.007889,0.008812,0.010646,0.014259,0.021421,0.035569,0.063601", \ "0.008206,0.009060,0.010783,0.014287,0.021418,0.035569,0.063600", \ "0.010022,0.010854,0.012527,0.015878,0.022539,0.035892,0.063598", \ "0.014054,0.014829,0.016354,0.019375,0.025558,0.038440,0.064563", \ "0.020224,0.021043,0.022613,0.025585,0.031283,0.043112,0.068323", \ "0.028125,0.029008,0.030693,0.033879,0.039712,0.050834,0.074400"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.022048,0.023744,0.027102,0.033730,0.046835,0.072748,0.124037", \ "0.022048,0.023748,0.027099,0.033732,0.046839,0.072726,0.124021", \ "0.022038,0.023739,0.027096,0.033728,0.046832,0.072726,0.123991", \ "0.023704,0.025127,0.028017,0.034020,0.046824,0.072715,0.123945", \ "0.030464,0.032027,0.034953,0.040322,0.050487,0.073253,0.123915", \ "0.037692,0.039575,0.043110,0.049614,0.061067,0.080880,0.124914", \ "0.045361,0.047565,0.051716,0.059347,0.072768,0.095342,0.134445"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.015726,0.016645,0.018458,0.022031,0.029078,0.043004,0.070569", \ "0.017190,0.018132,0.019979,0.023596,0.030693,0.044662,0.072256", \ "0.020449,0.021465,0.023387,0.027083,0.034270,0.048336,0.076011", \ "0.023790,0.025027,0.027369,0.031723,0.039709,0.054349,0.082119", \ "0.025466,0.027133,0.030234,0.035802,0.045435,0.062011,0.091464", \ "0.024194,0.026390,0.030450,0.037649,0.049809,0.069586,0.102301", \ "0.019660,0.022418,0.027536,0.036526,0.051558,0.075570,0.113202"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.035882,0.037789,0.041545,0.048908,0.063346,0.091678,0.147542", \ "0.036700,0.038621,0.042397,0.049795,0.064276,0.092640,0.148520", \ "0.041574,0.043476,0.047228,0.054598,0.069055,0.097442,0.153324", \ "0.053141,0.054951,0.058585,0.065727,0.079870,0.107885,0.163418", \ "0.069041,0.071311,0.075696,0.083815,0.098462,0.125743,0.180425", \ "0.086230,0.088890,0.094117,0.103796,0.121361,0.151972,0.205853", \ "0.105237,0.108296,0.114214,0.125352,0.145553,0.181098,0.240741"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.007223,0.008002,0.009540,0.012584,0.018592,0.030463,0.053958", \ "0.007223,0.008002,0.009538,0.012582,0.018592,0.030474,0.053967", \ "0.007633,0.008340,0.009761,0.012643,0.018589,0.030469,0.053967", \ "0.009935,0.010612,0.011956,0.014662,0.020099,0.030988,0.053960", \ "0.014541,0.015208,0.016497,0.019004,0.023993,0.034312,0.055485", \ "0.021099,0.021808,0.023186,0.025796,0.030697,0.040295,0.060430", \ "0.029335,0.030097,0.031565,0.034399,0.039655,0.049254,0.068248"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.023037,0.024760,0.028155,0.034838,0.048008,0.073985,0.125313", \ "0.023035,0.024757,0.028151,0.034836,0.048006,0.073981,0.125341", \ "0.023033,0.024755,0.028144,0.034833,0.048006,0.073998,0.125295", \ "0.024374,0.025852,0.028817,0.035017,0.048003,0.073977,0.125265", \ "0.031136,0.032679,0.035577,0.040852,0.051259,0.074390,0.125221", \ "0.038512,0.040389,0.043866,0.050315,0.061684,0.081606,0.126091", \ "0.046296,0.048481,0.052579,0.060139,0.073472,0.095927,0.135216"); } } timing () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.024752,0.025916,0.028192,0.032623,0.041229,0.057976,0.090770", \ "0.026069,0.027235,0.029515,0.033955,0.042568,0.059323,0.092123", \ "0.029376,0.030548,0.032838,0.037291,0.045928,0.062716,0.095542", \ "0.033548,0.034816,0.037276,0.041976,0.050902,0.067774,0.100638", \ "0.037493,0.038947,0.041738,0.046996,0.056735,0.074748,0.108262", \ "0.039871,0.041652,0.045036,0.051269,0.062479,0.082264,0.117891", \ "0.039761,0.041937,0.046092,0.053624,0.066880,0.089543,0.128115"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.037966,0.039901,0.043704,0.051149,0.065706,0.094226,0.150221", \ "0.039532,0.041473,0.045283,0.052740,0.067309,0.095854,0.151832", \ "0.044863,0.046810,0.050634,0.058112,0.072715,0.101300,0.157341", \ "0.054120,0.056053,0.059835,0.067267,0.081849,0.110415,0.166458", \ "0.066304,0.068586,0.072943,0.081141,0.096442,0.124880,0.180830", \ "0.080588,0.083158,0.088101,0.097385,0.114521,0.145519,0.201653", \ "0.097430,0.100276,0.105791,0.116234,0.135151,0.169195,0.229536"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012797,0.013734,0.015582,0.019230,0.026431,0.040652,0.068787", \ "0.012797,0.013735,0.015583,0.019236,0.026433,0.040655,0.068783", \ "0.012803,0.013732,0.015574,0.019228,0.026429,0.040651,0.068784", \ "0.014111,0.015009,0.016789,0.020262,0.027054,0.040788,0.068782", \ "0.016983,0.017847,0.019553,0.022920,0.029597,0.042858,0.069452", \ "0.022208,0.023079,0.024744,0.027968,0.034293,0.046954,0.072780", \ "0.029225,0.030186,0.031980,0.035363,0.041632,0.053735,0.078408"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.028543,0.030352,0.033919,0.040872,0.054360,0.080532,0.131727", \ "0.028543,0.030353,0.033916,0.040870,0.054363,0.080532,0.131711", \ "0.028535,0.030348,0.033912,0.040868,0.054362,0.080529,0.131727", \ "0.029377,0.031042,0.034362,0.040990,0.054350,0.080520,0.131707", \ "0.034768,0.036296,0.039276,0.044972,0.056631,0.080921,0.131716", \ "0.041573,0.043191,0.046313,0.052375,0.063878,0.085779,0.132585", \ "0.049141,0.050840,0.054140,0.060543,0.072685,0.095389,0.138658"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.020699,0.021838,0.024068,0.028420,0.036907,0.053514,0.086185", \ "0.022005,0.023148,0.025385,0.029746,0.038243,0.054861,0.087536", \ "0.025256,0.026412,0.028669,0.033058,0.041591,0.058244,0.090950", \ "0.028853,0.030152,0.032657,0.037416,0.046394,0.063277,0.096032", \ "0.031722,0.033296,0.036274,0.041769,0.051760,0.069962,0.103618", \ "0.032408,0.034400,0.038154,0.044909,0.056720,0.077021,0.112966", \ "0.030436,0.032881,0.037523,0.045786,0.059987,0.083591,0.122827"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.037134,0.039071,0.042873,0.050309,0.064828,0.093254,0.149204", \ "0.038699,0.040641,0.044450,0.051898,0.066431,0.094874,0.150830", \ "0.044032,0.045978,0.049801,0.057268,0.071838,0.100319,0.156309", \ "0.053288,0.055232,0.059015,0.066432,0.080977,0.109447,0.165411", \ "0.065289,0.067584,0.071967,0.080198,0.095535,0.123947,0.179743", \ "0.079380,0.081956,0.086944,0.096283,0.113460,0.144519,0.200577", \ "0.095988,0.098869,0.104430,0.114933,0.133926,0.168051,0.228402"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010447,0.011369,0.013197,0.016818,0.023985,0.038166,0.066269", \ "0.010443,0.011369,0.013197,0.016822,0.023986,0.038170,0.066270", \ "0.010616,0.011503,0.013266,0.016834,0.023983,0.038169,0.066270", \ "0.012117,0.012991,0.014725,0.018151,0.024866,0.038417,0.066268", \ "0.015542,0.016359,0.017962,0.021175,0.027626,0.040718,0.067105", \ "0.021206,0.022048,0.023657,0.026757,0.032792,0.045080,0.070633", \ "0.028502,0.029437,0.031186,0.034496,0.040594,0.052268,0.076472"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.022047,0.023743,0.027106,0.033731,0.046827,0.072747,0.124050", \ "0.022047,0.023743,0.027102,0.033734,0.046835,0.072749,0.124047", \ "0.022037,0.023738,0.027098,0.033729,0.046827,0.072720,0.124027", \ "0.022928,0.024481,0.027585,0.033876,0.046819,0.072719,0.123946", \ "0.027442,0.029016,0.032056,0.037877,0.049161,0.073147,0.123924", \ "0.032807,0.034519,0.037818,0.044127,0.055969,0.078087,0.124783", \ "0.038872,0.040743,0.044326,0.051150,0.063845,0.087252,0.130914"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.018456,0.019428,0.021331,0.025042,0.032269,0.046397,0.074166", \ "0.019800,0.020776,0.022685,0.026403,0.033640,0.047776,0.075552", \ "0.023300,0.024295,0.026226,0.029971,0.037241,0.051410,0.079210", \ "0.027523,0.028692,0.030932,0.035153,0.042984,0.057513,0.085370", \ "0.030706,0.032210,0.035040,0.040209,0.049403,0.065612,0.094822", \ "0.031385,0.033322,0.036964,0.043523,0.054871,0.073884,0.106052", \ "0.029290,0.031691,0.036218,0.044304,0.058151,0.080937,0.117546"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.039770,0.041677,0.045426,0.052784,0.067209,0.095541,0.151367", \ "0.041357,0.043269,0.047028,0.054400,0.068845,0.097196,0.153038", \ "0.046682,0.048601,0.052371,0.059764,0.074244,0.102642,0.158527", \ "0.055954,0.057861,0.061580,0.068935,0.083383,0.111755,0.167623", \ "0.068450,0.070672,0.074901,0.082960,0.098043,0.126285,0.181981", \ "0.083040,0.085533,0.090376,0.099488,0.116355,0.147081,0.202903", \ "0.100182,0.102968,0.108380,0.118618,0.137277,0.171022,0.230957"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.009426,0.010198,0.011725,0.014757,0.020757,0.032644,0.056198", \ "0.009425,0.010195,0.011727,0.014757,0.020758,0.032651,0.056200", \ "0.009665,0.010393,0.011851,0.014792,0.020760,0.032643,0.056195", \ "0.011610,0.012315,0.013711,0.016484,0.021966,0.033064,0.056202", \ "0.015773,0.016455,0.017779,0.020383,0.025589,0.036108,0.057537", \ "0.021905,0.022633,0.024042,0.026741,0.031827,0.041761,0.062241", \ "0.029534,0.030352,0.031908,0.034879,0.040318,0.050257,0.069783"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.023039,0.024757,0.028154,0.034837,0.048020,0.073979,0.125309", \ "0.023037,0.024755,0.028154,0.034834,0.048009,0.073983,0.125335", \ "0.023032,0.024753,0.028147,0.034833,0.048009,0.073986,0.125311", \ "0.023752,0.025348,0.028512,0.034908,0.048011,0.073978,0.125272", \ "0.028217,0.029795,0.032844,0.038665,0.050132,0.074321,0.125235", \ "0.033608,0.035324,0.038627,0.044946,0.056822,0.079058,0.126019", \ "0.039651,0.041522,0.045104,0.051945,0.064673,0.088144,0.131970"); } } timing () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.015085,0.016239,0.018491,0.022871,0.031387,0.048019,0.080703", \ "0.016387,0.017548,0.019817,0.024225,0.032778,0.049446,0.082159", \ "0.021255,0.022325,0.024492,0.028847,0.037382,0.054057,0.086786", \ "0.027400,0.028972,0.031942,0.037350,0.046769,0.063238,0.095777", \ "0.031359,0.033402,0.037240,0.044287,0.056586,0.077104,0.110476", \ "0.033079,0.035559,0.040288,0.048883,0.063971,0.089269,0.129930", \ "0.032378,0.035323,0.040889,0.051037,0.068879,0.098799,0.147183"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.020412,0.022312,0.026038,0.033334,0.047632,0.075796,0.131449", \ "0.021240,0.023167,0.026942,0.034337,0.048780,0.077094,0.132874", \ "0.026418,0.028247,0.031890,0.039129,0.053472,0.081806,0.137681", \ "0.036087,0.038393,0.042698,0.050442,0.064286,0.092101,0.147628", \ "0.046674,0.049520,0.054856,0.064589,0.081539,0.109859,0.164425", \ "0.058745,0.062098,0.068341,0.079801,0.100011,0.133982,0.189578", \ "0.072451,0.076264,0.083459,0.096549,0.119687,0.159035,0.222999"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010457,0.011379,0.013201,0.016822,0.023983,0.038167,0.066270", \ "0.010454,0.011381,0.013209,0.016818,0.023985,0.038166,0.066273", \ "0.010682,0.011496,0.013161,0.016670,0.023972,0.038166,0.066269", \ "0.015512,0.016328,0.017875,0.020781,0.026140,0.038384,0.066268", \ "0.022235,0.023241,0.025142,0.028594,0.034690,0.045377,0.067677", \ "0.030610,0.031843,0.034080,0.038194,0.045415,0.057647,0.078341", \ "0.040609,0.042066,0.044769,0.049620,0.057962,0.072020,0.095226"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019574,0.021474,0.025175,0.032316,0.045943,0.072099,0.123110", \ "0.019572,0.021472,0.025178,0.032315,0.045940,0.072101,0.123106", \ "0.019796,0.021520,0.025151,0.032312,0.045943,0.072097,0.123104", \ "0.026197,0.027156,0.029457,0.034718,0.046367,0.072100,0.123100", \ "0.035051,0.036403,0.039071,0.044180,0.053324,0.074056,0.123104", \ "0.045478,0.046880,0.049677,0.055308,0.065860,0.084349,0.125464", \ "0.057746,0.059103,0.061966,0.067843,0.079406,0.100388,0.137167"); } } timing () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.011381,0.012466,0.014605,0.018819,0.027121,0.043518,0.075974", \ "0.012612,0.013714,0.015881,0.020140,0.028494,0.044941,0.077426", \ "0.017094,0.018324,0.020613,0.024763,0.033087,0.049540,0.082049", \ "0.020998,0.022773,0.026074,0.031996,0.042070,0.058769,0.091058", \ "0.022848,0.025141,0.029424,0.037113,0.050266,0.071784,0.105822", \ "0.022424,0.025269,0.030563,0.039985,0.056097,0.082594,0.124496", \ "0.019583,0.022939,0.029209,0.040393,0.059486,0.090828,0.140636"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019690,0.021587,0.025305,0.032579,0.046848,0.074934,0.130438", \ "0.020513,0.022433,0.026202,0.033578,0.047993,0.076235,0.131863", \ "0.025715,0.027532,0.031159,0.038376,0.052681,0.080934,0.136664", \ "0.035110,0.037457,0.041822,0.049656,0.063512,0.091236,0.146604", \ "0.045368,0.048277,0.053697,0.063531,0.080607,0.109014,0.163406", \ "0.057115,0.060519,0.066871,0.078448,0.098810,0.132944,0.188574", \ "0.070371,0.074302,0.081642,0.094900,0.118200,0.157724,0.221854"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.007874,0.008807,0.010637,0.014266,0.021423,0.035575,0.063599", \ "0.007844,0.008787,0.010632,0.014265,0.021415,0.035568,0.063603", \ "0.009261,0.009894,0.011277,0.014385,0.021386,0.035569,0.063600", \ "0.014321,0.015140,0.016690,0.019558,0.024811,0.036208,0.063590", \ "0.021139,0.022147,0.024032,0.027474,0.033574,0.044204,0.065625", \ "0.029682,0.030883,0.033120,0.037191,0.044318,0.056517,0.077152", \ "0.039857,0.041316,0.043985,0.048779,0.056976,0.070892,0.094039"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014371,0.016041,0.019345,0.025895,0.038841,0.064512,0.115383", \ "0.014375,0.016042,0.019342,0.025892,0.038841,0.064523,0.115385", \ "0.014659,0.016125,0.019309,0.025880,0.038858,0.064516,0.115387", \ "0.020042,0.021382,0.023752,0.028410,0.039309,0.064505,0.115377", \ "0.026451,0.028050,0.031076,0.036679,0.046378,0.066531,0.115370", \ "0.034174,0.035970,0.039359,0.045831,0.057424,0.076933,0.117773", \ "0.043493,0.045421,0.049164,0.056258,0.069282,0.091831,0.129554"); } } timing () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010100,0.011016,0.012819,0.016372,0.023376,0.037214,0.064596", \ "0.011389,0.012318,0.014144,0.017733,0.024780,0.038655,0.066066", \ "0.015712,0.016838,0.018928,0.022616,0.029611,0.043474,0.070892", \ "0.018929,0.020567,0.023617,0.029058,0.038274,0.053158,0.080337", \ "0.019938,0.022078,0.026068,0.033202,0.045321,0.065036,0.095754", \ "0.018423,0.021103,0.026078,0.034892,0.049878,0.074299,0.112632", \ "0.014270,0.017435,0.023351,0.033874,0.051737,0.080835,0.126641"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019678,0.021576,0.025294,0.032568,0.046835,0.074926,0.130433", \ "0.020416,0.022336,0.026105,0.033487,0.047903,0.076160,0.131792", \ "0.025626,0.027437,0.031050,0.038246,0.052535,0.080787,0.136522", \ "0.035177,0.037513,0.041858,0.049661,0.063475,0.091147,0.146484", \ "0.045723,0.048608,0.053985,0.063774,0.080782,0.109099,0.163418", \ "0.057928,0.061285,0.067565,0.079072,0.099321,0.133317,0.188810", \ "0.071845,0.075725,0.082957,0.096049,0.119196,0.158523,0.222426"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.006543,0.007326,0.008866,0.011924,0.017969,0.029933,0.053629", \ "0.006535,0.007317,0.008867,0.011923,0.017969,0.029932,0.053628", \ "0.008398,0.008996,0.010053,0.012425,0.017940,0.029933,0.053629", \ "0.013408,0.014144,0.015522,0.018032,0.022542,0.031374,0.053628", \ "0.020079,0.020998,0.022691,0.025775,0.031202,0.040414,0.057370", \ "0.028475,0.029575,0.031601,0.035296,0.041676,0.052525,0.070438", \ "0.038493,0.039803,0.042276,0.046662,0.054092,0.066548,0.087052"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014374,0.016042,0.019341,0.025890,0.038858,0.064514,0.115381", \ "0.014374,0.016040,0.019343,0.025888,0.038864,0.064536,0.115381", \ "0.014677,0.016141,0.019306,0.025882,0.038856,0.064537,0.115384", \ "0.020011,0.021356,0.023734,0.028408,0.039317,0.064514,0.115377", \ "0.026263,0.027878,0.030923,0.036556,0.046296,0.066507,0.115371", \ "0.033773,0.035558,0.038980,0.045496,0.057165,0.076756,0.117733", \ "0.042825,0.044751,0.048490,0.055635,0.068763,0.091449,0.129327"); } } timing () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.018827,0.019988,0.022266,0.026695,0.035300,0.052047,0.084838", \ "0.019888,0.021055,0.023335,0.027772,0.036385,0.053144,0.085943", \ "0.024471,0.025626,0.027902,0.032330,0.040925,0.057662,0.090442", \ "0.032284,0.033725,0.036467,0.041497,0.050405,0.066889,0.099473", \ "0.038171,0.040014,0.043576,0.050125,0.061723,0.081346,0.114229", \ "0.042127,0.044369,0.048653,0.056598,0.070767,0.094911,0.134335", \ "0.044160,0.046791,0.051789,0.061022,0.077619,0.106050,0.152804"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.024538,0.026412,0.030091,0.037329,0.051581,0.079706,0.135340", \ "0.026072,0.027979,0.031725,0.039062,0.053440,0.081692,0.137424", \ "0.031081,0.032969,0.036693,0.044049,0.058514,0.086931,0.142846", \ "0.038997,0.041170,0.045309,0.053026,0.067383,0.095740,0.151707", \ "0.047982,0.050573,0.055471,0.064549,0.080966,0.110098,0.165856", \ "0.058691,0.061723,0.067436,0.077872,0.096553,0.129320,0.186533", \ "0.071215,0.074717,0.081303,0.093205,0.114220,0.150635,0.213305"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012805,0.013737,0.015583,0.019234,0.026433,0.040653,0.068783", \ "0.012809,0.013743,0.015588,0.019235,0.026429,0.040651,0.068790", \ "0.012780,0.013675,0.015493,0.019219,0.026439,0.040649,0.068783", \ "0.017089,0.017884,0.019409,0.022288,0.027914,0.040741,0.068776", \ "0.023845,0.024849,0.026708,0.030116,0.036156,0.046807,0.069869", \ "0.031860,0.033083,0.035379,0.039539,0.046791,0.059006,0.079769", \ "0.041197,0.042668,0.045390,0.050359,0.058899,0.073190,0.096508"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.019574,0.021477,0.025175,0.032315,0.045942,0.072102,0.123113", \ "0.019577,0.021475,0.025178,0.032316,0.045940,0.072098,0.123115", \ "0.019616,0.021492,0.025179,0.032313,0.045947,0.072104,0.123101", \ "0.023333,0.024659,0.027509,0.033586,0.046152,0.072099,0.123110", \ "0.030041,0.031441,0.034238,0.039800,0.050490,0.073377,0.123099", \ "0.038280,0.039588,0.042260,0.047747,0.058754,0.079924,0.124822", \ "0.048006,0.049286,0.051802,0.057191,0.068249,0.090161,0.132246"); } } timing () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014739,0.015877,0.018105,0.022449,0.030919,0.047493,0.080093", \ "0.015783,0.016928,0.019164,0.023521,0.032004,0.048589,0.081195", \ "0.020493,0.021604,0.023754,0.028082,0.036548,0.053110,0.085699", \ "0.026478,0.028064,0.031062,0.036495,0.045938,0.062380,0.094746", \ "0.030511,0.032542,0.036406,0.043449,0.055747,0.076231,0.109560", \ "0.032697,0.035158,0.039828,0.048371,0.063353,0.088510,0.129036", \ "0.033013,0.035900,0.041351,0.051320,0.068879,0.098443,0.146466"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.023823,0.025690,0.029362,0.036581,0.050796,0.078838,0.134324", \ "0.025347,0.027250,0.030987,0.038308,0.052655,0.080828,0.136412", \ "0.030358,0.032241,0.035958,0.043293,0.057725,0.086061,0.141829", \ "0.038125,0.040317,0.044483,0.052257,0.066594,0.094871,0.150688", \ "0.046893,0.049517,0.054469,0.063600,0.080072,0.109231,0.164831", \ "0.057349,0.060421,0.066215,0.076742,0.095504,0.128329,0.185509", \ "0.069553,0.073117,0.079806,0.091850,0.112996,0.149491,0.212178"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.010458,0.011372,0.013189,0.016792,0.023937,0.038088,0.066142", \ "0.010465,0.011387,0.013194,0.016791,0.023933,0.038090,0.066141", \ "0.010961,0.011745,0.013353,0.016765,0.023947,0.038091,0.066139", \ "0.015926,0.016722,0.018239,0.021076,0.026413,0.038451,0.066128", \ "0.022583,0.023608,0.025494,0.028940,0.035010,0.045619,0.067739", \ "0.030533,0.031770,0.034084,0.038268,0.045585,0.057859,0.078520", \ "0.039788,0.041293,0.044068,0.049050,0.057642,0.071960,0.095318"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014376,0.016040,0.019344,0.025894,0.038852,0.064513,0.115395", \ "0.014371,0.016038,0.019343,0.025893,0.038862,0.064533,0.115384", \ "0.014413,0.016061,0.019344,0.025886,0.038847,0.064522,0.115384", \ "0.017676,0.019097,0.021740,0.027210,0.039069,0.064508,0.115378", \ "0.022592,0.024068,0.026963,0.032641,0.043478,0.065843,0.115359", \ "0.028944,0.030456,0.033430,0.039307,0.050741,0.072437,0.117108", \ "0.036548,0.038166,0.041244,0.047361,0.059189,0.081892,0.124570"); } } timing () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.012790,0.013758,0.015649,0.019329,0.026493,0.040492,0.068007", \ "0.013920,0.014892,0.016789,0.020481,0.027655,0.041662,0.069180", \ "0.018746,0.019763,0.021668,0.025291,0.032436,0.046412,0.073909", \ "0.023890,0.025355,0.028117,0.033109,0.041746,0.056111,0.083378", \ "0.026961,0.028850,0.032450,0.038966,0.050287,0.069041,0.098839", \ "0.027990,0.030285,0.034664,0.042627,0.056510,0.079680,0.116726", \ "0.026876,0.029593,0.034728,0.044071,0.060438,0.087828,0.131940"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.023812,0.025678,0.029350,0.036573,0.050782,0.078834,0.134313", \ "0.025266,0.027167,0.030905,0.038229,0.052579,0.080759,0.136342", \ "0.030276,0.032151,0.035854,0.043172,0.057589,0.085928,0.141705", \ "0.038078,0.040264,0.044419,0.052180,0.066493,0.094735,0.150538", \ "0.046970,0.049582,0.054503,0.063615,0.080055,0.109168,0.164722", \ "0.057806,0.060851,0.066588,0.077035,0.095713,0.128437,0.185531", \ "0.070629,0.074145,0.080730,0.092647,0.113610,0.149924,0.212433"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.008724,0.009493,0.011025,0.014062,0.020090,0.032046,0.055746", \ "0.008726,0.009500,0.011032,0.014067,0.020091,0.032046,0.055746", \ "0.009743,0.010335,0.011577,0.014243,0.020103,0.032046,0.055747", \ "0.014821,0.015527,0.016857,0.019303,0.023732,0.033073,0.055749", \ "0.021316,0.022240,0.023930,0.027023,0.032399,0.041565,0.058934", \ "0.029140,0.030276,0.032359,0.036137,0.042699,0.053628,0.071544", \ "0.038306,0.039686,0.042218,0.046750,0.054498,0.067344,0.088075"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.014376,0.016039,0.019343,0.025888,0.038842,0.064523,0.115383", \ "0.014370,0.016039,0.019343,0.025890,0.038864,0.064513,0.115377", \ "0.014416,0.016063,0.019342,0.025882,0.038865,0.064540,0.115386", \ "0.017691,0.019110,0.021759,0.027229,0.039088,0.064508,0.115380", \ "0.022564,0.024048,0.026950,0.032645,0.043481,0.065851,0.115359", \ "0.028785,0.030289,0.033280,0.039193,0.050666,0.072411,0.117108", \ "0.036197,0.037800,0.040916,0.047038,0.058939,0.081746,0.124510"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.553847,1.555950,1.575696,1.592340,1.614434,1.654464,1.693107", \ "1.501127,1.522694,1.535998,1.554539,1.602593,1.639393,1.687962", \ "1.439294,1.443836,1.469140,1.500747,1.550227,1.595759,1.661412", \ "1.440643,1.442861,1.461174,1.484541,1.515651,1.568198,1.627747", \ "1.685391,1.668171,1.661320,1.636134,1.611764,1.625718,1.651903", \ "2.160006,2.138279,2.102746,2.048335,1.964136,1.859300,1.800886", \ "2.930156,2.894218,2.845807,2.761768,2.594748,2.368100,2.134042"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("5.267420,5.270592,5.277135,5.296318,5.311533,5.320940,5.144781", \ "5.210549,5.216543,5.227659,5.194543,5.227310,5.302960,5.308422", \ "5.204769,5.208394,5.216234,5.226866,5.164307,5.305468,5.184000", \ "5.439613,5.429330,5.457726,5.410031,5.417005,5.382428,5.176932", \ "5.930240,5.950237,5.918466,5.947893,5.855841,5.711987,5.609621", \ "6.765495,6.751739,6.701662,6.658483,6.545503,6.349728,6.077848", \ "7.958922,7.950476,7.888919,7.767999,7.561454,7.336871,7.027761"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.027545,1.045000,1.054664,1.063862,1.091076,1.106775,1.133086", \ "0.983622,0.994518,1.009009,1.031052,1.061932,1.090873,1.122250", \ "0.912414,0.924273,0.937819,0.964751,1.002529,1.045016,1.085631", \ "0.928433,0.929873,0.934268,0.951723,0.972255,1.019962,1.065485", \ "1.218429,1.205145,1.174041,1.137067,1.085457,1.071392,1.092526", \ "1.763897,1.732599,1.679451,1.598687,1.476637,1.330839,1.236388", \ "2.566422,2.539140,2.473632,2.362052,2.157989,1.878362,1.585586"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.586809,4.583938,4.614064,4.619857,4.631577,4.686465,4.635397", \ "4.523227,4.559169,4.572913,4.566262,4.565715,4.586826,4.659055", \ "4.518557,4.521795,4.558074,4.549723,4.508513,4.651639,4.653221", \ "4.797151,4.799647,4.774471,4.778258,4.744009,4.748685,4.664353", \ "5.226070,5.198909,5.235524,5.233662,5.130627,5.038163,4.980338", \ "6.049821,6.028416,5.997827,5.896239,5.845789,5.732060,5.507276", \ "7.246968,7.234275,7.190439,7.078176,6.949016,6.650128,6.299036"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.035923,1.042289,1.053531,1.067689,1.082200,1.105413,1.120086", \ "0.991355,0.991553,1.003925,1.036160,1.060609,1.084843,1.117585", \ "0.906820,0.920920,0.935552,0.956254,1.003814,1.047228,1.082719", \ "0.941166,0.933816,0.930396,0.939521,0.976155,1.013654,1.056791", \ "1.232755,1.218236,1.191452,1.147736,1.099503,1.081272,1.090960", \ "1.788053,1.753819,1.704397,1.617117,1.497615,1.351978,1.250309", \ "2.618904,2.593173,2.521771,2.393290,2.193356,1.908557,1.620702"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.929983,4.934240,4.941766,4.973126,4.876623,5.023303,4.929192", \ "4.873968,4.880634,4.893147,4.870028,4.851844,4.973714,4.923651", \ "4.866853,4.871163,4.879755,4.886735,4.850431,4.871044,4.980680", \ "5.098812,5.090212,5.085940,5.102061,4.977365,4.939542,5.038557", \ "5.560160,5.535465,5.535742,5.574029,5.498544,5.370983,5.297265", \ "6.372787,6.355020,6.328780,6.283632,6.229987,6.043824,5.856852", \ "7.598930,7.560039,7.520269,7.455416,7.214539,7.086037,6.735327"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.027545,1.045000,1.054664,1.063862,1.091076,1.106775,1.133086", \ "0.983622,0.994518,1.009009,1.031052,1.061932,1.090873,1.122250", \ "0.912414,0.924273,0.937819,0.964751,1.002529,1.045016,1.085631", \ "0.928433,0.929873,0.934268,0.951723,0.972255,1.019962,1.065485", \ "1.218429,1.205145,1.174041,1.137067,1.085457,1.071392,1.092526", \ "1.763897,1.732599,1.679451,1.598687,1.476637,1.330839,1.236388", \ "2.566422,2.539140,2.473632,2.362052,2.157989,1.878362,1.585586"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.586809,4.583938,4.614064,4.619857,4.631577,4.686465,4.635397", \ "4.523227,4.559169,4.572913,4.566262,4.565715,4.586826,4.659055", \ "4.518557,4.521795,4.558074,4.549723,4.508513,4.651639,4.653221", \ "4.797151,4.799647,4.774471,4.778258,4.744009,4.748685,4.664353", \ "5.226070,5.198909,5.235524,5.233662,5.130627,5.038163,4.980338", \ "6.049821,6.028416,5.997827,5.896239,5.845789,5.732060,5.507276", \ "7.246968,7.234275,7.190439,7.078176,6.949016,6.650128,6.299036"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.533612,0.545906,0.545598,0.551380,0.553604,0.562967,0.562702", \ "0.474042,0.477268,0.495847,0.514836,0.526462,0.542250,0.550877", \ "0.370003,0.388232,0.407558,0.425102,0.460392,0.496024,0.520208", \ "0.444814,0.439727,0.419358,0.410293,0.434557,0.461934,0.497035", \ "0.786887,0.759610,0.718917,0.648538,0.572527,0.525658,0.524115", \ "1.399110,1.354783,1.289352,1.173468,1.000672,0.809700,0.679324", \ "2.269456,2.228326,2.137345,1.985595,1.726947,1.386310,1.050986"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.930060,3.931753,3.959318,3.972802,4.013861,3.924685,4.004312", \ "3.884797,3.876289,3.911756,3.923833,3.927749,4.011805,3.969409", \ "3.869776,3.889192,3.898403,3.915248,3.914227,3.961505,4.028124", \ "4.053509,4.098886,4.133545,4.100233,4.056014,4.023873,4.098805", \ "4.501714,4.501033,4.482776,4.501658,4.475228,4.380702,4.345332", \ "5.317806,5.319134,5.267258,5.197705,5.182240,5.104798,4.818771", \ "6.512238,6.493309,6.465873,6.384770,6.262042,5.986719,5.756992"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.534423,0.535214,0.545752,0.555006,0.559086,0.556936,0.561693", \ "0.470085,0.478595,0.495690,0.515289,0.532535,0.545364,0.550566", \ "0.381409,0.393635,0.407304,0.432561,0.462083,0.492005,0.520391", \ "0.448438,0.444323,0.426224,0.415462,0.434363,0.461595,0.493474", \ "0.788417,0.767014,0.731620,0.666441,0.587938,0.529335,0.531899", \ "1.425831,1.387138,1.310572,1.189452,1.024931,0.835370,0.692927", \ "2.327216,2.279479,2.180619,2.032273,1.776348,1.423912,1.083235"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.235494,4.280317,4.289372,4.295885,4.337018,4.319205,4.356985", \ "4.178538,4.219585,4.241822,4.272490,4.274159,4.305285,4.325023", \ "4.175111,4.189145,4.227720,4.245287,4.234334,4.277021,4.243446", \ "4.401919,4.405956,4.459679,4.420181,4.383022,4.378351,4.242201", \ "4.828341,4.832081,4.810030,4.801661,4.877473,4.771491,4.632850", \ "5.636070,5.639813,5.629974,5.582266,5.497021,5.390752,5.233251", \ "6.831845,6.845250,6.790550,6.729150,6.563771,6.389175,5.978609"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.012433,1.021075,1.035781,1.059951,1.077860,1.104371,1.123948", \ "0.968093,0.978811,0.997340,1.029560,1.055527,1.088706,1.116343", \ "0.901164,0.910309,0.933300,0.956236,0.995627,1.046250,1.088594", \ "0.965023,0.957555,0.953899,0.951552,0.978742,1.018072,1.061523", \ "1.273297,1.250273,1.229894,1.191684,1.134136,1.086487,1.100225", \ "1.831235,1.819338,1.767692,1.690059,1.556877,1.395587,1.266316", \ "2.680340,2.644283,2.578992,2.474452,2.284290,1.990159,1.680122"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.585741,4.603665,4.602382,4.599910,4.548553,4.662032,4.690607", \ "4.510815,4.502695,4.525860,4.552016,4.500940,4.632629,4.577419", \ "4.518632,4.507857,4.519049,4.564139,4.590965,4.621953,4.635264", \ "4.823118,4.807787,4.782377,4.787520,4.759742,4.677084,4.703782", \ "5.271466,5.282321,5.277381,5.244710,5.248955,5.015386,4.989231", \ "6.139547,6.115691,6.119684,6.059339,5.919064,5.792548,5.539721", \ "7.422277,7.408464,7.365366,7.273590,7.076211,6.801171,6.422349"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.531478,0.541944,0.542557,0.546991,0.559920,0.559063,0.560726", \ "0.471525,0.485101,0.493963,0.507615,0.528135,0.546726,0.555468", \ "0.386171,0.394681,0.410396,0.431630,0.463247,0.492798,0.526493", \ "0.489971,0.475657,0.459061,0.429584,0.444585,0.464381,0.497552", \ "0.847617,0.824071,0.782684,0.711762,0.626888,0.545780,0.537748", \ "1.483311,1.450303,1.382549,1.257216,1.087804,0.884612,0.714748", \ "2.354748,2.328197,2.239679,2.097176,1.866099,1.512105,1.148999"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.925660,3.939006,3.939336,3.927775,3.933616,3.902181,4.030711", \ "3.852247,3.850525,3.878650,3.904193,3.890092,3.985196,3.940851", \ "3.854959,3.854038,3.872716,3.900633,3.921479,3.935500,4.004902", \ "4.070614,4.109325,4.140911,4.091735,4.055484,4.007899,3.873560", \ "4.554877,4.545164,4.558976,4.526140,4.507605,4.387502,4.347696", \ "5.418257,5.405673,5.388667,5.317440,5.256599,5.170552,4.894840", \ "6.685161,6.665559,6.638133,6.545006,6.386551,6.098910,5.792872"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.535745,0.532464,0.548131,0.553058,0.556305,0.562958,0.561479", \ "0.474748,0.472187,0.498915,0.512024,0.528994,0.541235,0.551743", \ "0.382859,0.388730,0.408952,0.434493,0.460632,0.497623,0.525720", \ "0.497721,0.488038,0.472525,0.444600,0.447211,0.470553,0.503618", \ "0.857287,0.841005,0.790279,0.719788,0.642153,0.556628,0.548012", \ "1.512122,1.480753,1.412383,1.293541,1.119751,0.910922,0.733647", \ "2.416030,2.369658,2.302043,2.139473,1.909523,1.557205,1.191428"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.250898,4.221465,4.274898,4.275655,4.269895,4.291206,4.266856", \ "4.180686,4.195786,4.213215,4.204976,4.225560,4.274496,4.301174", \ "4.156717,4.175557,4.196766,4.201238,4.203057,4.246318,4.171854", \ "4.414783,4.418028,4.469230,4.435779,4.391787,4.367955,4.217557", \ "4.875545,4.878145,4.864417,4.888854,4.929448,4.804870,4.695409", \ "5.757848,5.763251,5.715439,5.701192,5.591751,5.457934,5.124008", \ "7.038065,7.021788,7.000868,6.928980,6.762698,6.512842,6.081253"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.079449,1.092173,1.107675,1.115824,1.137794,1.154873,1.182774", \ "1.070299,1.088074,1.108131,1.124938,1.154825,1.179210,1.213370", \ "0.990564,1.015000,1.025691,1.065581,1.106910,1.154219,1.205453", \ "0.968595,0.970195,0.975138,1.004767,1.051310,1.111713,1.171826", \ "1.152817,1.152554,1.142328,1.120796,1.097711,1.112019,1.166404", \ "1.524365,1.515987,1.488092,1.452183,1.382872,1.300087,1.254883", \ "2.087245,2.072029,2.044982,2.001454,1.900064,1.729409,1.527530"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("5.578613,5.580580,5.608227,5.627890,5.631872,5.580342,5.655996", \ "5.506536,5.532908,5.539819,5.560063,5.612413,5.520131,5.564472", \ "5.484965,5.487703,5.492827,5.517976,5.524017,5.627316,5.683090", \ "5.656984,5.652126,5.670376,5.672024,5.630957,5.687591,5.722921", \ "6.093546,6.102227,6.081018,6.035803,5.995705,5.822377,5.801886", \ "6.759443,6.738653,6.757883,6.720628,6.588481,6.462974,6.200270", \ "7.671332,7.671864,7.639996,7.580785,7.493597,7.285473,6.897653"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.580646,0.593133,0.598571,0.600165,0.608938,0.609037,0.611245", \ "0.557050,0.574753,0.579984,0.605424,0.616676,0.631380,0.647309", \ "0.453683,0.457983,0.485903,0.521479,0.561200,0.605899,0.636045", \ "0.451544,0.453361,0.450142,0.458762,0.498340,0.547018,0.598172", \ "0.668316,0.661830,0.640730,0.612701,0.567878,0.556565,0.595273", \ "1.064178,1.050248,1.026474,0.973457,0.883454,0.765986,0.690442", \ "1.651702,1.629554,1.607837,1.546311,1.417795,1.224628,0.982272"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.884788,4.888426,4.893671,4.913329,4.987468,4.949287,5.086826", \ "4.811613,4.816648,4.850850,4.878879,4.861972,4.888252,4.944377", \ "4.766616,4.796247,4.803223,4.834025,4.853614,4.858090,4.900285", \ "4.965094,4.961433,4.969746,4.952213,4.967624,4.949920,4.938174", \ "5.403637,5.388735,5.395880,5.333463,5.316750,5.222762,5.176171", \ "5.985513,5.982305,5.987406,5.987255,5.907032,5.687462,5.574082", \ "6.928434,6.922883,6.899113,6.852728,6.801225,6.647109,6.258572"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.591611,0.594884,0.595386,0.603835,0.609860,0.613938,0.610188", \ "0.553882,0.563363,0.582084,0.603553,0.620656,0.635742,0.645992", \ "0.446283,0.464390,0.490099,0.519743,0.568823,0.601264,0.637895", \ "0.461904,0.461337,0.457767,0.459257,0.500067,0.554384,0.601202", \ "0.670048,0.667733,0.651408,0.620825,0.588850,0.563228,0.596943", \ "1.081635,1.070188,1.038784,0.981737,0.895010,0.786483,0.698097", \ "1.672884,1.653447,1.620295,1.557773,1.441389,1.242502,1.010574"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("5.205125,5.232841,5.256712,5.276975,5.315973,5.346473,5.398339", \ "5.158618,5.162501,5.194425,5.191648,5.274421,5.288472,5.342960", \ "5.115911,5.122260,5.148829,5.184209,5.220857,5.277667,5.300945", \ "5.288303,5.309951,5.308602,5.323947,5.319706,5.309157,5.341898", \ "5.756374,5.734623,5.742967,5.696004,5.646941,5.610315,5.586848", \ "6.345048,6.344286,6.355127,6.347849,6.312176,6.103490,6.001177", \ "7.272496,7.270845,7.251528,7.225800,7.139475,6.920100,6.704083"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.523653,1.528154,1.531001,1.559878,1.583062,1.605822,1.646265", \ "1.462704,1.481092,1.488785,1.497832,1.526229,1.571250,1.600635", \ "1.412696,1.423525,1.430179,1.459331,1.485732,1.528830,1.572707", \ "1.377986,1.380679,1.401969,1.420733,1.454653,1.502955,1.554018", \ "1.543957,1.547765,1.542892,1.526560,1.503414,1.533714,1.572847", \ "1.886516,1.868887,1.861711,1.836115,1.791343,1.712018,1.677067", \ "2.432996,2.420538,2.398562,2.355775,2.280242,2.147375,1.960552"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("6.362693,6.364680,6.392317,6.384474,6.445557,6.395144,6.438325", \ "6.314426,6.316879,6.345293,6.352022,6.362683,6.321695,6.393704", \ "6.266825,6.270099,6.300115,6.313899,6.368208,6.409315,6.461837", \ "6.273087,6.274678,6.300358,6.310486,6.351325,6.274958,6.372415", \ "6.439624,6.445668,6.454108,6.444621,6.464597,6.475690,6.506463", \ "6.786827,6.783510,6.806083,6.844486,6.737136,6.713265,6.672590", \ "7.422394,7.417332,7.394126,7.371739,7.377900,7.272299,7.129591"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.003421,1.018028,1.018603,1.031261,1.046660,1.059583,1.085163", \ "0.953376,0.955989,0.971848,0.981163,1.003566,1.021738,1.039466", \ "0.886313,0.888634,0.909228,0.927436,0.956312,0.979279,1.008903", \ "0.875542,0.867452,0.869090,0.894029,0.924370,0.950121,0.988766", \ "1.053956,1.046854,1.040194,1.017940,0.984811,0.983124,1.005772", \ "1.410167,1.401118,1.374343,1.346723,1.279705,1.178895,1.108573", \ "1.983347,1.966182,1.948742,1.894517,1.800542,1.629588,1.414285"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("5.643829,5.672810,5.678270,5.723051,5.719338,5.765497,5.822276", \ "5.595420,5.624887,5.648207,5.657462,5.664194,5.690506,5.745684", \ "5.547927,5.578139,5.585858,5.637349,5.661732,5.623158,5.680866", \ "5.554373,5.582754,5.596124,5.612191,5.630958,5.644560,5.698100", \ "5.748902,5.750048,5.765495,5.735068,5.765925,5.751266,5.753257", \ "6.017645,6.008754,6.052121,6.105013,6.098097,6.089777,5.884015", \ "6.664055,6.656854,6.674307,6.664507,6.662449,6.593103,6.343890"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.011447,1.015531,1.013685,1.025428,1.036084,1.062140,1.077824", \ "0.945111,0.954028,0.963451,0.979258,0.991369,1.016158,1.035510", \ "0.877606,0.883372,0.908730,0.919763,0.944697,0.977544,1.005933", \ "0.878606,0.872297,0.869719,0.890637,0.918932,0.951269,0.983472", \ "1.058474,1.049783,1.045589,1.029631,0.996628,0.977535,0.999332", \ "1.418432,1.412093,1.390172,1.357476,1.293428,1.199585,1.117404", \ "2.008180,1.993100,1.966024,1.909759,1.812959,1.644572,1.441698"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("5.990306,6.017922,6.034988,6.057988,6.091963,6.029732,6.212279", \ "5.943951,5.972252,5.994131,5.986003,6.019145,6.091310,6.142901", \ "5.895440,5.924605,5.939530,5.970725,6.013867,6.025374,6.134050", \ "5.901289,5.928877,5.930724,5.940298,6.011271,6.043939,6.096926", \ "6.091192,6.091630,6.108109,6.091028,6.079467,6.096324,6.121103", \ "6.360483,6.379231,6.398236,6.433310,6.470468,6.392955,6.292798", \ "7.014268,7.009030,7.006755,7.020464,6.994534,6.906883,6.763062"); } } internal_power () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.083936,1.085541,1.101901,1.110546,1.129381,1.153721,1.179919", \ "1.069765,1.089330,1.106850,1.128095,1.157781,1.178987,1.213395", \ "1.063684,1.068349,1.085901,1.098667,1.135890,1.172870,1.214850", \ "1.189062,1.171365,1.162908,1.161123,1.178831,1.193553,1.220945", \ "1.578236,1.555083,1.525645,1.466968,1.379009,1.329975,1.313314", \ "2.200992,2.177480,2.122007,2.033636,1.876637,1.683065,1.545347", \ "3.060560,3.027978,2.984952,2.872235,2.659280,2.339055,1.984994"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.479865,3.484578,3.490017,3.521966,3.488413,3.418991,3.430483", \ "3.409122,3.421158,3.451066,3.475551,3.449157,3.547210,3.576299", \ "3.408517,3.409123,3.411087,3.437084,3.442473,3.495596,3.536337", \ "3.611622,3.600202,3.604612,3.564837,3.470051,3.540352,3.560802", \ "4.094075,4.076503,4.037473,3.969393,3.802183,3.662434,3.620125", \ "4.683460,4.664854,4.616836,4.603267,4.447975,4.278758,4.062749", \ "5.659281,5.621959,5.544656,5.442822,5.296999,5.096936,4.727528"); } } internal_power () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.573543,0.586810,0.589933,0.597673,0.607408,0.609603,0.611066", \ "0.560981,0.564123,0.581647,0.604590,0.618885,0.630775,0.643185", \ "0.534039,0.545076,0.549522,0.569350,0.600616,0.620206,0.644198", \ "0.733040,0.712979,0.679017,0.644957,0.634974,0.643813,0.657481", \ "1.176892,1.151103,1.091707,1.003515,0.881936,0.792074,0.752414", \ "1.845828,1.821689,1.752698,1.619596,1.421079,1.172921,0.993001", \ "2.720502,2.696855,2.630522,2.490729,2.241003,1.857891,1.452354"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.813110,2.814722,2.842212,2.858819,2.883778,2.821149,2.810519", \ "2.740660,2.769481,2.776573,2.824381,2.858509,2.829777,2.886094", \ "2.742351,2.751248,2.767237,2.742741,2.779317,2.781259,2.755938", \ "2.966876,2.954088,2.939474,2.904217,2.910704,2.821611,2.780429", \ "3.307345,3.312398,3.337653,3.331265,3.203496,3.073435,3.067490", \ "3.957680,3.948485,3.907981,3.874013,3.854711,3.565681,3.375137", \ "4.944447,4.932004,4.857372,4.745216,4.609828,4.414385,4.020705"); } } internal_power () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.572903,0.583618,0.591730,0.596132,0.602873,0.607253,0.612088", \ "0.558923,0.575489,0.587187,0.601653,0.617350,0.635084,0.647112", \ "0.546105,0.551503,0.562657,0.579777,0.603846,0.625571,0.644575", \ "0.769965,0.743477,0.714620,0.668170,0.646110,0.657525,0.663523", \ "1.228289,1.195762,1.143014,1.047217,0.929500,0.811708,0.762673", \ "1.896702,1.872614,1.811541,1.684301,1.487997,1.241052,1.026763", \ "2.774591,2.740798,2.700953,2.583508,2.342597,1.966540,1.542256"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("2.811657,2.836687,2.838973,2.850313,2.837715,2.820410,2.817454", \ "2.729772,2.758636,2.766076,2.814716,2.812248,2.800396,2.811849", \ "2.731313,2.741579,2.755733,2.755256,2.763043,2.816464,2.742171", \ "2.971576,2.958135,2.934181,2.921269,2.908825,2.810619,2.768059", \ "3.330080,3.360648,3.372210,3.345075,3.233128,3.081265,3.068482", \ "4.022977,3.991395,3.967304,3.929062,3.887163,3.701543,3.398563", \ "5.035418,5.001725,4.947557,4.833046,4.699744,4.525485,4.179558"); } } internal_power () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.515198,1.524826,1.541699,1.556580,1.583812,1.609155,1.648916", \ "1.461594,1.473806,1.489460,1.506008,1.536677,1.559490,1.603777", \ "1.427306,1.442022,1.449311,1.474542,1.498834,1.531898,1.577644", \ "1.526797,1.529686,1.523649,1.537121,1.553897,1.570000,1.600126", \ "1.918130,1.901749,1.873014,1.824872,1.756221,1.731141,1.701230", \ "2.539377,2.521988,2.478242,2.404918,2.274088,2.086292,1.953027", \ "3.414135,3.401409,3.348356,3.254966,3.065957,2.771862,2.431540"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("4.286719,4.288618,4.290013,4.292012,4.274296,4.238348,4.249562", \ "4.233874,4.239783,4.248420,4.259094,4.307165,4.203301,4.303855", \ "4.125507,4.129219,4.164229,4.192372,4.193913,4.267240,4.310839", \ "4.138251,4.135996,4.154930,4.135917,4.162733,4.101694,4.143577", \ "4.355905,4.358962,4.340274,4.312107,4.217417,4.295240,4.149120", \ "4.685922,4.684714,4.671492,4.671183,4.661146,4.534148,4.464847", \ "5.444050,5.395687,5.351607,5.237662,5.154700,5.088667,4.784858"); } } internal_power () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("1.007925,1.015561,1.014258,1.031911,1.047491,1.059361,1.084581", \ "0.952292,0.952518,0.967436,0.973378,0.994503,1.012644,1.031019", \ "0.914091,0.926740,0.931864,0.943871,0.963996,0.991901,1.014528", \ "1.059881,1.047286,1.023960,1.019576,1.026575,1.026399,1.026884", \ "1.495334,1.474675,1.427952,1.362264,1.251829,1.193955,1.143645", \ "2.154970,2.129565,2.079197,1.975349,1.811573,1.583100,1.408610", \ "3.075194,3.038550,2.984949,2.865865,2.642835,2.301244,1.899238"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.620192,3.643203,3.640500,3.624621,3.660174,3.623644,3.630581", \ "3.566342,3.593326,3.607197,3.587930,3.602401,3.675658,3.625214", \ "3.480038,3.481650,3.509766,3.514503,3.527215,3.562575,3.590832", \ "3.490862,3.487332,3.505288,3.500242,3.539466,3.495483,3.567651", \ "3.590672,3.593705,3.637619,3.680332,3.565665,3.631243,3.528697", \ "3.982845,3.975434,3.948252,3.923310,3.941002,3.826733,3.754165", \ "4.724234,4.692328,4.662064,4.559459,4.473568,4.378091,4.131271"); } } internal_power () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("0.989186,0.991497,1.007950,1.021597,1.035524,1.053276,1.074473", \ "0.938763,0.945789,0.956319,0.975004,0.986885,1.011634,1.031070", \ "0.895813,0.911304,0.916940,0.940210,0.957677,0.978028,1.003121", \ "1.087286,1.067818,1.047300,1.020145,1.020954,1.021985,1.032760", \ "1.534553,1.511484,1.465476,1.399796,1.294730,1.205936,1.145491", \ "2.221797,2.195380,2.136618,2.042790,1.867327,1.639931,1.437339", \ "3.140312,3.112074,3.067404,2.948984,2.728291,2.396310,1.981079"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.724648,1.436250,2.846630,5.641990,11.182400,22.163400"); values ("3.618780,3.641798,3.639162,3.662487,3.634422,3.622517,3.629565", \ "3.557353,3.580409,3.596744,3.609300,3.607463,3.603941,3.593478", \ "3.470767,3.471753,3.498710,3.520187,3.527762,3.481837,3.564827", \ "3.488821,3.482358,3.499593,3.501666,3.480707,3.477062,3.545374", \ "3.595435,3.598091,3.640471,3.683713,3.564837,3.620924,3.580840", \ "4.007332,3.998437,3.995210,3.978752,4.030054,3.834089,3.759142", \ "4.795182,4.762192,4.707472,4.602851,4.542911,4.479735,4.159355"); } } } } /****************************************************************************************** Module : OAI221_X2 Cell Description : Combinational cell (OAI221_X2) with drive strength X2 *******************************************************************************************/ cell (OAI221_X2) { drive_strength : 2; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 67.875298; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 12.788490; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 10.311764; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 10.311775; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 3.554356; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 42.386630; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 44.492075; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 44.492076; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 38.166998; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 42.386740; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 44.492185; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 44.492186; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 38.167009; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 71.627490; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 73.733045; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 73.733156; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 67.408023; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 15.975399; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 20.969346; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 20.969347; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 14.448180; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 99.322080; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 102.522090; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 107.221180; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 129.011740; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 99.322300; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 107.221400; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 111.920490; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 133.710390; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 128.571960; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 129.028790; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 133.728650; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 155.522202; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.536380; fall_capacitance : 3.364119; rise_capacitance : 3.536380; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.477443; fall_capacitance : 2.972908; rise_capacitance : 3.477443; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.124702; fall_capacitance : 2.984026; rise_capacitance : 3.124702; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.996374; fall_capacitance : 2.696664; rise_capacitance : 2.996374; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.245202; fall_capacitance : 3.245202; rise_capacitance : 3.192793; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 43.869000; function : "!(((C1 | C2) & A) & (B1 | B2))"; timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.023300,0.024942,0.027145,0.031473,0.039944,0.056568,0.089393", \ "0.024639,0.026291,0.028510,0.032857,0.041359,0.058014,0.090867", \ "0.028827,0.030484,0.032705,0.037074,0.045619,0.062336,0.095251", \ "0.035745,0.037606,0.040068,0.044789,0.053606,0.070357,0.103363", \ "0.042514,0.044838,0.047870,0.053565,0.063936,0.082447,0.116054", \ "0.047862,0.050715,0.054453,0.061411,0.073972,0.095767,0.132961", \ "0.051744,0.055124,0.059569,0.067784,0.082621,0.108297,0.151034"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013923,0.015188,0.016901,0.020294,0.027013,0.040350,0.066878", \ "0.015483,0.016763,0.018490,0.021906,0.028656,0.042024,0.068577", \ "0.021865,0.023092,0.024772,0.028132,0.034828,0.048159,0.074705", \ "0.031076,0.032929,0.035308,0.039690,0.047387,0.060623,0.086883", \ "0.040493,0.042912,0.046003,0.051744,0.061974,0.079178,0.106702", \ "0.050496,0.053439,0.057176,0.064161,0.076697,0.098110,0.132652", \ "0.061222,0.064669,0.069075,0.077247,0.091951,0.117241,0.158684"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.012893,0.014208,0.015989,0.019538,0.026613,0.040719,0.068875", \ "0.012892,0.014205,0.015985,0.019541,0.026613,0.040720,0.068874", \ "0.012820,0.014143,0.015946,0.019524,0.026612,0.040717,0.068873", \ "0.015035,0.016215,0.017811,0.020927,0.027268,0.040750,0.068868", \ "0.019862,0.021058,0.022640,0.025719,0.031723,0.043684,0.069431", \ "0.026465,0.027805,0.029581,0.032954,0.039185,0.050924,0.074266", \ "0.034354,0.035907,0.037913,0.041737,0.048685,0.061070,0.084067"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013008,0.014389,0.016255,0.019928,0.027037,0.040553,0.066365", \ "0.013011,0.014390,0.016253,0.019928,0.027035,0.040553,0.066368", \ "0.013833,0.014984,0.016608,0.019989,0.027037,0.040557,0.066361", \ "0.021701,0.022448,0.023470,0.025404,0.030141,0.041252,0.066369", \ "0.032162,0.033055,0.034296,0.036735,0.041295,0.049382,0.068760", \ "0.043455,0.044490,0.045967,0.048882,0.054419,0.064239,0.080605", \ "0.055894,0.057039,0.058685,0.062005,0.068437,0.080133,0.099697"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019190,0.020797,0.022955,0.027201,0.035544,0.051999,0.084638", \ "0.020486,0.022109,0.024287,0.028564,0.036947,0.053440,0.086110", \ "0.024641,0.026262,0.028441,0.032738,0.041173,0.057741,0.090486", \ "0.030556,0.032536,0.035117,0.039989,0.049014,0.065738,0.098576", \ "0.035639,0.038188,0.041485,0.047588,0.058486,0.077440,0.111245", \ "0.039249,0.042389,0.046446,0.053938,0.067259,0.089920,0.127779", \ "0.041456,0.045183,0.049992,0.058848,0.074588,0.101376,0.145148"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013569,0.014837,0.016549,0.019940,0.026653,0.039969,0.066464", \ "0.015128,0.016408,0.018136,0.021551,0.028295,0.041644,0.068161", \ "0.021522,0.022748,0.024425,0.027782,0.034468,0.047778,0.074282", \ "0.030512,0.032392,0.034801,0.039231,0.046992,0.060249,0.086468", \ "0.039717,0.042165,0.045293,0.051097,0.061408,0.078708,0.106287", \ "0.049475,0.052458,0.056255,0.063318,0.075952,0.097471,0.132125", \ "0.059917,0.063422,0.067894,0.076176,0.091010,0.116419,0.157992"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010502,0.011798,0.013558,0.017075,0.024103,0.038148,0.066221", \ "0.010502,0.011793,0.013555,0.017076,0.024104,0.038148,0.066223", \ "0.010640,0.011852,0.013536,0.017051,0.024096,0.038146,0.066226", \ "0.013452,0.014567,0.016088,0.019143,0.025197,0.038319,0.066213", \ "0.018603,0.019791,0.021355,0.024360,0.030174,0.041873,0.067000", \ "0.025279,0.026640,0.028407,0.031759,0.037926,0.049441,0.072403", \ "0.033266,0.034796,0.036804,0.040613,0.047511,0.059793,0.082454"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010388,0.011646,0.013353,0.016765,0.023539,0.036797,0.062496", \ "0.010390,0.011644,0.013353,0.016766,0.023538,0.036793,0.062494", \ "0.011285,0.012296,0.013748,0.016843,0.023537,0.036801,0.062497", \ "0.017793,0.018759,0.020006,0.022298,0.026730,0.037524,0.062502", \ "0.025923,0.027161,0.028787,0.031809,0.037080,0.045749,0.064939", \ "0.035410,0.036828,0.038745,0.042368,0.048853,0.059693,0.076853", \ "0.046222,0.047782,0.049922,0.054031,0.061565,0.074513,0.095278"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.016917,0.018290,0.020135,0.023761,0.030877,0.044897,0.072685", \ "0.018259,0.019647,0.021508,0.025160,0.032311,0.046363,0.074177", \ "0.023162,0.024541,0.026400,0.030066,0.037262,0.051383,0.079262", \ "0.029790,0.031666,0.034092,0.038586,0.046664,0.061047,0.089014", \ "0.034855,0.037332,0.040537,0.046459,0.056941,0.074671,0.104291", \ "0.038288,0.041355,0.045317,0.052636,0.065638,0.087653,0.123256", \ "0.040191,0.043859,0.048573,0.057241,0.072666,0.098883,0.141413"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014677,0.015934,0.017637,0.021014,0.027710,0.041008,0.067495", \ "0.016245,0.017515,0.019233,0.022636,0.029368,0.042706,0.069232", \ "0.022592,0.023820,0.025499,0.028847,0.035523,0.048824,0.075335", \ "0.032166,0.033965,0.036285,0.040573,0.048136,0.061291,0.087513", \ "0.041900,0.044264,0.047286,0.052921,0.062997,0.080007,0.107349", \ "0.052222,0.055097,0.058758,0.065630,0.077998,0.099198,0.133472", \ "0.063266,0.066635,0.070955,0.079007,0.093532,0.118586,0.159745"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.009521,0.010602,0.012075,0.015027,0.020928,0.032715,0.056300", \ "0.009516,0.010601,0.012076,0.015031,0.020930,0.032720,0.056298", \ "0.009718,0.010705,0.012084,0.014988,0.020916,0.032715,0.056291", \ "0.013521,0.014431,0.015640,0.018017,0.022664,0.033048,0.056287", \ "0.019247,0.020310,0.021709,0.024361,0.029271,0.038474,0.057771", \ "0.026282,0.027520,0.029137,0.032227,0.037891,0.047926,0.066119", \ "0.034628,0.036010,0.037856,0.041392,0.047866,0.059241,0.078859"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010892,0.012166,0.013892,0.017329,0.024127,0.037402,0.063108", \ "0.010894,0.012165,0.013892,0.017329,0.024127,0.037397,0.063111", \ "0.011580,0.012644,0.014163,0.017348,0.024126,0.037404,0.063110", \ "0.017920,0.018892,0.020139,0.022422,0.027014,0.038018,0.063113", \ "0.026026,0.027277,0.028918,0.031943,0.037228,0.045921,0.065368", \ "0.035422,0.036873,0.038817,0.042470,0.048976,0.059824,0.077002", \ "0.046151,0.047738,0.049907,0.054064,0.061626,0.074585,0.095339"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019190,0.020797,0.022955,0.027201,0.035544,0.051999,0.084638", \ "0.020486,0.022109,0.024287,0.028564,0.036947,0.053440,0.086110", \ "0.024641,0.026262,0.028441,0.032738,0.041173,0.057741,0.090486", \ "0.030556,0.032536,0.035117,0.039989,0.049014,0.065738,0.098576", \ "0.035639,0.038188,0.041485,0.047588,0.058486,0.077440,0.111245", \ "0.039249,0.042389,0.046446,0.053938,0.067259,0.089920,0.127779", \ "0.041456,0.045183,0.049992,0.058848,0.074588,0.101376,0.145148"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013569,0.014837,0.016549,0.019940,0.026653,0.039969,0.066464", \ "0.015128,0.016408,0.018136,0.021551,0.028295,0.041644,0.068161", \ "0.021522,0.022748,0.024425,0.027782,0.034468,0.047778,0.074282", \ "0.030512,0.032392,0.034801,0.039231,0.046992,0.060249,0.086468", \ "0.039717,0.042165,0.045293,0.051097,0.061408,0.078708,0.106287", \ "0.049475,0.052458,0.056255,0.063318,0.075952,0.097471,0.132125", \ "0.059917,0.063422,0.067894,0.076176,0.091010,0.116419,0.157992"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010502,0.011798,0.013558,0.017075,0.024103,0.038148,0.066221", \ "0.010502,0.011793,0.013555,0.017076,0.024104,0.038148,0.066223", \ "0.010640,0.011852,0.013536,0.017051,0.024096,0.038146,0.066226", \ "0.013452,0.014567,0.016088,0.019143,0.025197,0.038319,0.066213", \ "0.018603,0.019791,0.021355,0.024360,0.030174,0.041873,0.067000", \ "0.025279,0.026640,0.028407,0.031759,0.037926,0.049441,0.072403", \ "0.033266,0.034796,0.036804,0.040613,0.047511,0.059793,0.082454"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010388,0.011646,0.013353,0.016765,0.023539,0.036797,0.062496", \ "0.010390,0.011644,0.013353,0.016766,0.023538,0.036793,0.062494", \ "0.011285,0.012296,0.013748,0.016843,0.023537,0.036801,0.062497", \ "0.017793,0.018759,0.020006,0.022298,0.026730,0.037524,0.062502", \ "0.025923,0.027161,0.028787,0.031809,0.037080,0.045749,0.064939", \ "0.035410,0.036828,0.038745,0.042368,0.048853,0.059693,0.076853", \ "0.046222,0.047782,0.049922,0.054031,0.061565,0.074513,0.095278"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.015517,0.017033,0.019089,0.023181,0.031326,0.047573,0.080025", \ "0.016743,0.018287,0.020377,0.024516,0.032715,0.049009,0.081494", \ "0.020631,0.022285,0.024429,0.028621,0.036896,0.053285,0.085859", \ "0.025011,0.027190,0.029981,0.035115,0.044397,0.061245,0.093934", \ "0.028045,0.030920,0.034586,0.041248,0.052836,0.072431,0.106572", \ "0.029691,0.033244,0.037763,0.045969,0.060240,0.083939,0.122654", \ "0.030025,0.034273,0.039621,0.049317,0.066190,0.094246,0.139288"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013222,0.014490,0.016203,0.019592,0.026297,0.039593,0.066057", \ "0.014778,0.016060,0.017788,0.021202,0.027939,0.041268,0.067758", \ "0.021160,0.022410,0.024084,0.027437,0.034114,0.047402,0.073879", \ "0.029948,0.031853,0.034292,0.038767,0.046594,0.059879,0.086059", \ "0.038932,0.041420,0.044587,0.050443,0.060831,0.078235,0.105881", \ "0.048456,0.051483,0.055328,0.062464,0.075192,0.096830,0.131604", \ "0.058615,0.062174,0.066709,0.075085,0.090034,0.115592,0.157311"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.007957,0.009252,0.011013,0.014537,0.021570,0.035604,0.063642", \ "0.007956,0.009249,0.011014,0.014538,0.021574,0.035606,0.063643", \ "0.008624,0.009741,0.011323,0.014604,0.021577,0.035607,0.063646", \ "0.012015,0.013085,0.014528,0.017432,0.023306,0.035991,0.063648", \ "0.017490,0.018656,0.020190,0.023135,0.028770,0.040139,0.064722", \ "0.024438,0.025738,0.027451,0.030730,0.036804,0.048082,0.070645", \ "0.032662,0.034086,0.036010,0.039723,0.046507,0.058628,0.080999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.008855,0.010003,0.011575,0.014717,0.021008,0.033602,0.058787", \ "0.008854,0.010003,0.011575,0.014718,0.021006,0.033594,0.058782", \ "0.009817,0.010705,0.012005,0.014810,0.021009,0.033601,0.058786", \ "0.015316,0.016342,0.017661,0.020044,0.024276,0.034357,0.058778", \ "0.021523,0.022916,0.024714,0.027979,0.033569,0.042597,0.061252", \ "0.028553,0.030282,0.032540,0.036656,0.043781,0.055356,0.073211", \ "0.036664,0.038728,0.041409,0.046318,0.054858,0.068940,0.090847"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013881,0.015168,0.016917,0.020394,0.027316,0.041120,0.068690", \ "0.015162,0.016475,0.018251,0.021769,0.028737,0.042582,0.070180", \ "0.019717,0.021175,0.023036,0.026611,0.033651,0.047582,0.075260", \ "0.024463,0.026555,0.029225,0.034087,0.042580,0.057214,0.085002", \ "0.027449,0.030239,0.033798,0.040273,0.051499,0.070033,0.100251", \ "0.028927,0.032388,0.036794,0.044805,0.058743,0.081817,0.118476", \ "0.029007,0.033138,0.038362,0.047845,0.064370,0.091849,0.135666"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014327,0.015585,0.017287,0.020662,0.027351,0.040629,0.067067", \ "0.015893,0.017163,0.018881,0.022282,0.029008,0.042327,0.068801", \ "0.022253,0.023477,0.025152,0.028497,0.035164,0.048445,0.074914", \ "0.031621,0.033445,0.035793,0.040122,0.047746,0.060919,0.087089", \ "0.041153,0.043546,0.046599,0.052290,0.062436,0.079533,0.106937", \ "0.051250,0.054168,0.057860,0.064793,0.077252,0.098552,0.132958", \ "0.062024,0.065443,0.069812,0.077950,0.092576,0.117766,0.159074"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.007298,0.008391,0.009878,0.012838,0.018746,0.030525,0.054046", \ "0.007298,0.008389,0.009876,0.012838,0.018748,0.030528,0.054046", \ "0.008161,0.009030,0.010284,0.012959,0.018747,0.030527,0.054044", \ "0.012396,0.013303,0.014506,0.016839,0.021359,0.031183,0.054046", \ "0.018284,0.019331,0.020715,0.023357,0.028247,0.037302,0.055997", \ "0.025604,0.026759,0.028314,0.031327,0.036923,0.046905,0.064907", \ "0.034211,0.035469,0.037223,0.040612,0.046964,0.058245,0.077762"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.009328,0.010491,0.012075,0.015241,0.021562,0.034180,0.059366", \ "0.009330,0.010493,0.012074,0.015240,0.021562,0.034181,0.059359", \ "0.010076,0.011019,0.012371,0.015278,0.021562,0.034181,0.059380", \ "0.015536,0.016556,0.017854,0.020214,0.024525,0.034823,0.059365", \ "0.021818,0.023195,0.024968,0.028212,0.033760,0.042747,0.061664", \ "0.028875,0.030593,0.032813,0.036896,0.043973,0.055514,0.073361", \ "0.037004,0.039030,0.041671,0.046538,0.055024,0.069054,0.090933"); } } timing () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.016107,0.017473,0.019305,0.022904,0.029964,0.043871,0.071432", \ "0.017502,0.018881,0.020729,0.024354,0.031447,0.045386,0.072972", \ "0.021692,0.023078,0.024922,0.028551,0.035683,0.049685,0.077334", \ "0.026947,0.028715,0.031005,0.035300,0.043183,0.057594,0.085336", \ "0.031115,0.033416,0.036389,0.041871,0.051603,0.068362,0.097774", \ "0.033582,0.036448,0.040145,0.046939,0.058947,0.079264,0.112772", \ "0.034332,0.037765,0.042185,0.050299,0.064621,0.088799,0.127990"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013507,0.014774,0.016486,0.019877,0.026589,0.039907,0.066398", \ "0.015024,0.016303,0.018030,0.021444,0.028187,0.041536,0.068057", \ "0.021465,0.022686,0.024357,0.027704,0.034378,0.047674,0.074170", \ "0.030595,0.032456,0.034847,0.039255,0.046988,0.060212,0.086401", \ "0.040095,0.042510,0.045604,0.051359,0.061598,0.078813,0.106318", \ "0.050375,0.053297,0.057039,0.064008,0.076510,0.097871,0.132354", \ "0.061611,0.065034,0.069412,0.077544,0.092155,0.117302,0.158584"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.008757,0.009847,0.011334,0.014305,0.020239,0.032108,0.055848", \ "0.008755,0.009844,0.011333,0.014302,0.020239,0.032108,0.055848", \ "0.009066,0.010063,0.011449,0.014286,0.020226,0.032105,0.055844", \ "0.011933,0.012873,0.014151,0.016707,0.021824,0.032563,0.055837", \ "0.016862,0.017895,0.019252,0.021841,0.026799,0.036652,0.057326", \ "0.023225,0.024419,0.025970,0.028899,0.034248,0.044120,0.063518", \ "0.030830,0.032200,0.033987,0.037347,0.043406,0.054036,0.073433"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010393,0.011647,0.013353,0.016766,0.023538,0.036802,0.062506", \ "0.010388,0.011647,0.013354,0.016764,0.023535,0.036796,0.062493", \ "0.011310,0.012314,0.013765,0.016851,0.023537,0.036793,0.062498", \ "0.017736,0.018721,0.019979,0.022283,0.026734,0.037530,0.062504", \ "0.025668,0.026927,0.028583,0.031637,0.036961,0.045693,0.064932", \ "0.034826,0.036288,0.038229,0.041919,0.048493,0.059456,0.076725", \ "0.045253,0.046843,0.049013,0.053190,0.060832,0.073959,0.094929"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013158,0.014434,0.016169,0.019621,0.026495,0.040209,0.067603", \ "0.014492,0.015795,0.017557,0.021047,0.027967,0.041720,0.069139", \ "0.018250,0.019698,0.021605,0.025176,0.032161,0.045995,0.073489", \ "0.022079,0.024031,0.026525,0.031087,0.039236,0.053857,0.081475", \ "0.024328,0.026938,0.030253,0.036257,0.046637,0.064013,0.093800", \ "0.024910,0.028176,0.032313,0.039791,0.052703,0.073971,0.108310", \ "0.023865,0.027784,0.032719,0.041640,0.057052,0.082449,0.122806"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.013160,0.014427,0.016140,0.019529,0.026233,0.039530,0.065996", \ "0.014674,0.015954,0.017682,0.021095,0.027830,0.041159,0.067648", \ "0.021102,0.022351,0.024018,0.027358,0.034023,0.047300,0.073764", \ "0.030032,0.031918,0.034340,0.038796,0.046590,0.059844,0.085992", \ "0.039321,0.041767,0.044901,0.050713,0.061021,0.078341,0.105913", \ "0.049375,0.052337,0.056122,0.063161,0.075750,0.097233,0.131835", \ "0.060342,0.063819,0.068252,0.076469,0.091201,0.116484,0.157905"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.006603,0.007692,0.009185,0.012160,0.018099,0.029969,0.053691", \ "0.006606,0.007696,0.009185,0.012160,0.018102,0.029969,0.053692", \ "0.007485,0.008393,0.009675,0.012375,0.018110,0.029971,0.053696", \ "0.010773,0.011688,0.012909,0.015348,0.020319,0.030704,0.053692", \ "0.015972,0.016992,0.018327,0.020867,0.025699,0.035272,0.055533", \ "0.022593,0.023736,0.025248,0.028116,0.033363,0.043043,0.062117", \ "0.030419,0.031705,0.033428,0.036696,0.042636,0.053139,0.072273"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.008857,0.010003,0.011576,0.014716,0.021010,0.033604,0.058793", \ "0.008854,0.010002,0.011575,0.014717,0.021010,0.033599,0.058785", \ "0.009840,0.010728,0.012022,0.014817,0.021009,0.033595,0.058786", \ "0.015268,0.016307,0.017632,0.020029,0.024273,0.034372,0.058783", \ "0.021311,0.022721,0.024535,0.027830,0.033463,0.042544,0.061243", \ "0.028071,0.029828,0.032099,0.036257,0.043447,0.055128,0.073088", \ "0.035879,0.037947,0.040635,0.045566,0.054179,0.068410,0.090504"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.011469,0.012507,0.013916,0.016719,0.022298,0.033425,0.055648", \ "0.012887,0.013945,0.015376,0.018211,0.023827,0.034986,0.057232", \ "0.017392,0.018650,0.020275,0.023277,0.028963,0.040197,0.062509", \ "0.021458,0.023326,0.025704,0.030019,0.037491,0.050070,0.072565", \ "0.023627,0.026152,0.029362,0.035173,0.045192,0.061613,0.087832", \ "0.024010,0.027182,0.031205,0.038484,0.051051,0.071687,0.104161", \ "0.022644,0.026465,0.031275,0.039985,0.055037,0.079834,0.118956"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014264,0.015522,0.017223,0.020598,0.027286,0.040564,0.067004", \ "0.015783,0.017054,0.018770,0.022171,0.028896,0.042215,0.068694", \ "0.022195,0.023415,0.025082,0.028417,0.035070,0.048337,0.074796", \ "0.031706,0.033514,0.035847,0.040152,0.047747,0.060885,0.087021", \ "0.041534,0.043888,0.046918,0.052564,0.062640,0.079658,0.106984", \ "0.052142,0.055005,0.058650,0.065498,0.077833,0.098983,0.133219", \ "0.063719,0.067063,0.071328,0.079325,0.093760,0.118693,0.159721"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.005741,0.006624,0.007822,0.010214,0.014987,0.024501,0.043507", \ "0.005741,0.006624,0.007822,0.010212,0.014986,0.024501,0.043509", \ "0.007007,0.007672,0.008591,0.010594,0.015008,0.024500,0.043509", \ "0.011068,0.011846,0.012862,0.014804,0.018478,0.025889,0.043520", \ "0.016637,0.017541,0.018736,0.020999,0.025163,0.032666,0.047087", \ "0.023599,0.024608,0.025960,0.028566,0.033389,0.041900,0.056848", \ "0.031809,0.032918,0.034450,0.037426,0.042939,0.052642,0.069239"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.009329,0.010492,0.012076,0.015241,0.021561,0.034176,0.059366", \ "0.009328,0.010493,0.012074,0.015239,0.021562,0.034183,0.059372", \ "0.010096,0.011034,0.012385,0.015282,0.021562,0.034174,0.059380", \ "0.015492,0.016517,0.017824,0.020205,0.024526,0.034827,0.059366", \ "0.021608,0.022997,0.024792,0.028056,0.033651,0.042682,0.061659", \ "0.028402,0.030142,0.032375,0.036496,0.043644,0.055261,0.073218", \ "0.036209,0.038254,0.040898,0.045788,0.054343,0.068504,0.090556"); } } timing () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.021204,0.022811,0.024969,0.029215,0.037559,0.054014,0.086655", \ "0.022712,0.024335,0.026515,0.030792,0.039176,0.055672,0.088344", \ "0.025916,0.027553,0.029751,0.034070,0.042522,0.059101,0.091850", \ "0.029586,0.031404,0.033812,0.038447,0.047302,0.064046,0.096876", \ "0.032637,0.034796,0.037607,0.042894,0.052654,0.070713,0.104390", \ "0.033525,0.036251,0.039756,0.046197,0.057681,0.077727,0.113695", \ "0.031421,0.034803,0.039141,0.047025,0.060859,0.084175,0.123425"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.034298,0.037010,0.040671,0.047912,0.062200,0.090458,0.146506", \ "0.035112,0.037843,0.041525,0.048795,0.063119,0.091405,0.147466", \ "0.039963,0.042672,0.046334,0.053582,0.067895,0.096184,0.152261", \ "0.051588,0.054130,0.057641,0.064667,0.078664,0.106592,0.162347", \ "0.067109,0.070381,0.074625,0.082625,0.097190,0.124357,0.179280", \ "0.084025,0.087904,0.092893,0.102397,0.119832,0.150432,0.204555", \ "0.102805,0.107177,0.112947,0.123784,0.143816,0.179302,0.239233"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010503,0.011798,0.013557,0.017075,0.024101,0.038145,0.066223", \ "0.010504,0.011799,0.013556,0.017073,0.024103,0.038147,0.066223", \ "0.010525,0.011780,0.013539,0.017065,0.024101,0.038146,0.066223", \ "0.012044,0.013275,0.014961,0.018301,0.024861,0.038314,0.066215", \ "0.015389,0.016532,0.018085,0.021225,0.027599,0.040620,0.066979", \ "0.021095,0.022262,0.023801,0.026800,0.032714,0.044916,0.070519", \ "0.028573,0.029842,0.031520,0.034720,0.040642,0.052122,0.076320"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.028734,0.031270,0.034695,0.041456,0.054693,0.080626,0.131867", \ "0.028735,0.031271,0.034694,0.041455,0.054695,0.080623,0.131858", \ "0.028730,0.031266,0.034692,0.041453,0.054694,0.080619,0.131851", \ "0.030259,0.032436,0.035453,0.041680,0.054688,0.080616,0.131842", \ "0.038313,0.040299,0.042913,0.047746,0.058174,0.081111,0.131818", \ "0.047707,0.050018,0.053063,0.058839,0.069330,0.088624,0.132833", \ "0.057689,0.060341,0.063817,0.070452,0.082563,0.103662,0.142186"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.017527,0.019043,0.021100,0.025193,0.033341,0.049590,0.082041", \ "0.018954,0.020504,0.022598,0.026740,0.034943,0.051240,0.083727", \ "0.021965,0.023570,0.025716,0.029934,0.038238,0.054646,0.087224", \ "0.024849,0.026719,0.029173,0.033871,0.042779,0.059559,0.092240", \ "0.026428,0.028827,0.031890,0.037509,0.047601,0.065881,0.099731", \ "0.025214,0.028343,0.032308,0.039420,0.051691,0.072389,0.108722", \ "0.020799,0.024734,0.029693,0.038525,0.053548,0.077993,0.118063"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.033471,0.036184,0.039846,0.047079,0.061337,0.089501,0.145379", \ "0.034279,0.037013,0.040696,0.047959,0.062253,0.090452,0.146354", \ "0.039136,0.041846,0.045508,0.052748,0.067030,0.095234,0.151155", \ "0.050780,0.053343,0.056841,0.063847,0.077809,0.105651,0.161269", \ "0.066003,0.069316,0.073602,0.081664,0.096314,0.123439,0.178204", \ "0.082620,0.086535,0.091587,0.101162,0.118690,0.149404,0.203491", \ "0.101088,0.105512,0.111339,0.122255,0.142380,0.177972,0.238137"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.007954,0.009252,0.011018,0.014537,0.021573,0.035602,0.063644", \ "0.007954,0.009253,0.011015,0.014539,0.021573,0.035606,0.063649", \ "0.008261,0.009463,0.011131,0.014563,0.021568,0.035603,0.063643", \ "0.010070,0.011239,0.012853,0.016129,0.022672,0.035925,0.063648", \ "0.014063,0.015153,0.016620,0.019577,0.025673,0.038467,0.064610", \ "0.020234,0.021375,0.022870,0.025753,0.031362,0.043112,0.068361", \ "0.028146,0.029363,0.030967,0.034046,0.039770,0.050798,0.074413"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.022221,0.024583,0.027813,0.034268,0.047133,0.072796,0.124014", \ "0.022214,0.024582,0.027815,0.034268,0.047137,0.072795,0.123992", \ "0.022211,0.024580,0.027813,0.034265,0.047138,0.072785,0.123998", \ "0.023836,0.025837,0.028637,0.034546,0.047124,0.072782,0.124005", \ "0.030606,0.032730,0.035525,0.040702,0.050722,0.073312,0.123970", \ "0.037851,0.040421,0.043795,0.050082,0.061281,0.080920,0.125007", \ "0.045550,0.048572,0.052508,0.059880,0.073020,0.095357,0.134501"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.015881,0.017169,0.018917,0.022396,0.029319,0.043124,0.070694", \ "0.017329,0.018647,0.020427,0.023947,0.030917,0.044764,0.072364", \ "0.020566,0.021973,0.023815,0.027408,0.034467,0.048410,0.076090", \ "0.023924,0.025639,0.027872,0.032078,0.039903,0.054413,0.082190", \ "0.025641,0.027948,0.030884,0.036241,0.045650,0.062058,0.091516", \ "0.024406,0.027442,0.031296,0.038208,0.050079,0.069632,0.102335", \ "0.019893,0.023729,0.028567,0.037206,0.051879,0.075611,0.113216"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.036114,0.038788,0.042400,0.049562,0.063729,0.091799,0.147576", \ "0.036941,0.039629,0.043265,0.050456,0.064665,0.092778,0.148586", \ "0.041812,0.044483,0.048093,0.055262,0.069452,0.097564,0.153406", \ "0.053362,0.055925,0.059419,0.066382,0.080264,0.108019,0.163541", \ "0.069335,0.072516,0.076667,0.084510,0.098826,0.125874,0.180549", \ "0.086548,0.090343,0.095254,0.104605,0.121806,0.152069,0.205959", \ "0.105610,0.109902,0.115576,0.126265,0.146053,0.181208,0.240794"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.007299,0.008391,0.009875,0.012841,0.018749,0.030529,0.054045", \ "0.007299,0.008392,0.009878,0.012840,0.018749,0.030531,0.054045", \ "0.007699,0.008694,0.010073,0.012890,0.018749,0.030532,0.054046", \ "0.009986,0.010931,0.012241,0.014886,0.020245,0.031056,0.054050", \ "0.014577,0.015498,0.016744,0.019187,0.024101,0.034354,0.055565", \ "0.021137,0.022127,0.023434,0.025958,0.030774,0.040308,0.060491", \ "0.029394,0.030438,0.031847,0.034585,0.039719,0.049232,0.068280"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.023224,0.025623,0.028890,0.035397,0.048342,0.074078,0.125338", \ "0.023224,0.025623,0.028886,0.035395,0.048344,0.074074,0.125354", \ "0.023215,0.025615,0.028885,0.035398,0.048333,0.074079,0.125344", \ "0.024531,0.026600,0.029494,0.035553,0.048336,0.074070,0.125330", \ "0.031296,0.033392,0.036168,0.041257,0.051528,0.074480,0.125316", \ "0.038701,0.041241,0.044575,0.050809,0.061930,0.081663,0.126198", \ "0.046511,0.049498,0.053392,0.060699,0.073745,0.095971,0.135255"); } } timing () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.024996,0.026628,0.028820,0.033130,0.041585,0.058194,0.091007", \ "0.026295,0.027928,0.030126,0.034444,0.042906,0.059523,0.092341", \ "0.029579,0.031219,0.033425,0.037758,0.046246,0.062893,0.095740", \ "0.033759,0.035531,0.037887,0.042448,0.051212,0.067945,0.100830", \ "0.037713,0.039741,0.042407,0.047503,0.057041,0.074894,0.108431", \ "0.040119,0.042601,0.045834,0.051855,0.062803,0.082397,0.118035", \ "0.040021,0.043079,0.047033,0.054297,0.067255,0.089663,0.128216"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.038237,0.040948,0.044606,0.051843,0.066128,0.094379,0.150398", \ "0.039809,0.042525,0.046191,0.053438,0.067734,0.095993,0.152015", \ "0.045144,0.047869,0.051548,0.058815,0.073147,0.101447,0.157508", \ "0.054400,0.057095,0.060733,0.067966,0.082280,0.110568,0.166665", \ "0.066627,0.069785,0.073903,0.081869,0.096867,0.125043,0.181015", \ "0.080949,0.084546,0.089265,0.098197,0.114975,0.145673,0.201814", \ "0.097817,0.101848,0.107131,0.117127,0.135630,0.169340,0.229668"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.012892,0.014204,0.015986,0.019538,0.026612,0.040718,0.068871", \ "0.012897,0.014203,0.015986,0.019537,0.026616,0.040718,0.068874", \ "0.012900,0.014202,0.015982,0.019534,0.026612,0.040717,0.068876", \ "0.014199,0.015457,0.017171,0.020552,0.027226,0.040854,0.068873", \ "0.017041,0.018255,0.019899,0.023186,0.029748,0.042910,0.069547", \ "0.022263,0.023459,0.025054,0.028182,0.034393,0.046986,0.072854", \ "0.029300,0.030603,0.032308,0.035584,0.041719,0.053723,0.078459"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.028736,0.031271,0.034696,0.041454,0.054695,0.080627,0.131843", \ "0.028732,0.031270,0.034695,0.041454,0.054694,0.080622,0.131840", \ "0.028728,0.031265,0.034695,0.041455,0.054694,0.080622,0.131846", \ "0.029544,0.031887,0.035098,0.041559,0.054687,0.080615,0.131842", \ "0.034920,0.037057,0.039913,0.045449,0.056932,0.081017,0.131845", \ "0.041735,0.043972,0.046976,0.052856,0.064144,0.085854,0.132702", \ "0.049301,0.051660,0.054819,0.061029,0.072943,0.095451,0.138758"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.020938,0.022532,0.024680,0.028912,0.037248,0.053710,0.086385", \ "0.022226,0.023825,0.025979,0.030221,0.038567,0.055038,0.087719", \ "0.025454,0.027072,0.029242,0.033511,0.041892,0.058400,0.091113", \ "0.029066,0.030878,0.033275,0.037887,0.046694,0.063429,0.096189", \ "0.031962,0.034148,0.036980,0.042292,0.052064,0.070093,0.103757", \ "0.032676,0.035449,0.039013,0.045520,0.057060,0.077141,0.113089", \ "0.030725,0.034159,0.038546,0.046490,0.060373,0.083694,0.122911"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.037410,0.040120,0.043780,0.051008,0.065261,0.093422,0.149292", \ "0.038980,0.041697,0.045365,0.052603,0.066869,0.095041,0.150918", \ "0.044314,0.047040,0.050719,0.057980,0.072281,0.100498,0.156423", \ "0.053572,0.056277,0.059911,0.067132,0.081415,0.109623,0.165562", \ "0.065618,0.068790,0.072932,0.080929,0.095965,0.124114,0.179896", \ "0.079744,0.083368,0.088120,0.097093,0.113922,0.144681,0.200739", \ "0.096394,0.100460,0.105783,0.115830,0.134421,0.168208,0.228534"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010526,0.011818,0.013582,0.017107,0.024147,0.038210,0.066329", \ "0.010528,0.011820,0.013583,0.017110,0.024149,0.038214,0.066332", \ "0.010694,0.011936,0.013642,0.017123,0.024149,0.038210,0.066332", \ "0.012186,0.013413,0.015078,0.018414,0.025016,0.038461,0.066326", \ "0.015574,0.016717,0.018270,0.021404,0.027754,0.040749,0.067160", \ "0.021232,0.022405,0.023945,0.026955,0.032884,0.045090,0.070682", \ "0.028555,0.029818,0.031496,0.034703,0.040663,0.052230,0.076497"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.022219,0.024583,0.027814,0.034272,0.047135,0.072793,0.123998", \ "0.022211,0.024582,0.027814,0.034270,0.047133,0.072796,0.124005", \ "0.022204,0.024579,0.027814,0.034267,0.047135,0.072787,0.124006", \ "0.023074,0.025248,0.028255,0.034391,0.047133,0.072790,0.124010", \ "0.027587,0.029768,0.032674,0.038323,0.049425,0.073212,0.123972", \ "0.032963,0.035332,0.038473,0.044580,0.056208,0.078128,0.124850", \ "0.039059,0.041611,0.045012,0.051604,0.064063,0.087287,0.130971"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.018660,0.020022,0.021855,0.025465,0.032565,0.046570,0.074349", \ "0.019986,0.021353,0.023191,0.026808,0.033917,0.047931,0.075715", \ "0.023461,0.024851,0.026707,0.030349,0.037490,0.051538,0.079346", \ "0.027701,0.029328,0.031470,0.035548,0.043231,0.057632,0.085498", \ "0.030923,0.033008,0.035699,0.040684,0.049673,0.065709,0.094931", \ "0.031635,0.034334,0.037793,0.044103,0.055187,0.073971,0.106141", \ "0.029565,0.032922,0.037207,0.044988,0.058522,0.081041,0.117604"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.040063,0.042732,0.046343,0.053499,0.067660,0.095721,0.151500", \ "0.041654,0.044332,0.047951,0.055120,0.069301,0.097383,0.153179", \ "0.046986,0.049671,0.053298,0.060489,0.074702,0.102828,0.158688", \ "0.056247,0.058901,0.062489,0.069648,0.083837,0.111948,0.167810", \ "0.068781,0.071864,0.075873,0.083694,0.098485,0.126473,0.182145", \ "0.083422,0.086920,0.091516,0.100293,0.116834,0.147248,0.203071", \ "0.100608,0.104532,0.109723,0.119514,0.137770,0.171179,0.231098"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.009519,0.010602,0.012077,0.015031,0.020932,0.032716,0.056294", \ "0.009518,0.010601,0.012079,0.015028,0.020930,0.032720,0.056301", \ "0.009750,0.010775,0.012191,0.015058,0.020933,0.032717,0.056292", \ "0.011685,0.012669,0.014019,0.016722,0.022117,0.033139,0.056289", \ "0.015830,0.016774,0.018049,0.020592,0.025702,0.036160,0.057623", \ "0.021953,0.022974,0.024323,0.026931,0.031924,0.041786,0.062314", \ "0.029619,0.030735,0.032224,0.035090,0.040410,0.050258,0.069823"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.023226,0.025621,0.028891,0.035396,0.048342,0.074075,0.125346", \ "0.023221,0.025625,0.028889,0.035397,0.048345,0.074077,0.125350", \ "0.023219,0.025619,0.028885,0.035396,0.048337,0.074085,0.125370", \ "0.023923,0.026146,0.029213,0.035454,0.048337,0.074072,0.125350", \ "0.028376,0.030570,0.033485,0.039124,0.050409,0.074427,0.125319", \ "0.033783,0.036145,0.039316,0.045428,0.057083,0.079106,0.126125", \ "0.039844,0.042411,0.045828,0.052434,0.064935,0.088182,0.132044"); } } timing () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.015103,0.016717,0.018885,0.023144,0.031508,0.047993,0.080681", \ "0.016407,0.018034,0.020218,0.024504,0.032902,0.049423,0.082143", \ "0.021286,0.022788,0.024888,0.029129,0.037511,0.054037,0.086774", \ "0.027486,0.029677,0.032507,0.037722,0.046917,0.063223,0.095769", \ "0.031500,0.034331,0.038016,0.044796,0.056812,0.077115,0.110469", \ "0.033261,0.036743,0.041240,0.049533,0.064273,0.089306,0.129956", \ "0.032593,0.036694,0.042020,0.051834,0.069267,0.098868,0.147233"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.020453,0.023113,0.026690,0.033774,0.047802,0.075679,0.131277", \ "0.021295,0.023985,0.027618,0.034794,0.048963,0.076992,0.132706", \ "0.026482,0.029048,0.032555,0.039592,0.053667,0.081712,0.137524", \ "0.036154,0.039360,0.043438,0.050909,0.064478,0.092011,0.147470", \ "0.046716,0.050691,0.055766,0.065161,0.081738,0.109768,0.164275", \ "0.058791,0.063437,0.069383,0.080454,0.100233,0.133862,0.189433", \ "0.072480,0.077836,0.084637,0.097285,0.119926,0.158874,0.222815"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010537,0.011831,0.013589,0.017111,0.024145,0.038212,0.066331", \ "0.010536,0.011827,0.013589,0.017113,0.024146,0.038209,0.066330", \ "0.010729,0.011876,0.013510,0.016949,0.024136,0.038210,0.066330", \ "0.015549,0.016681,0.018154,0.020968,0.026251,0.038418,0.066325", \ "0.022270,0.023669,0.025457,0.028800,0.034779,0.045379,0.067721", \ "0.030643,0.032310,0.034449,0.038426,0.045491,0.057621,0.078348", \ "0.040644,0.042672,0.045214,0.049877,0.058048,0.071965,0.095202"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019624,0.022278,0.025834,0.032757,0.046116,0.072013,0.122972", \ "0.019621,0.022279,0.025834,0.032755,0.046121,0.072009,0.122972", \ "0.019840,0.022263,0.025814,0.032755,0.046116,0.072008,0.122971", \ "0.026230,0.027617,0.029904,0.035063,0.046530,0.072010,0.122970", \ "0.035096,0.036983,0.039553,0.044490,0.053452,0.073976,0.122968", \ "0.045558,0.047470,0.050202,0.055666,0.065998,0.084289,0.125337", \ "0.057851,0.059736,0.062493,0.068226,0.079559,0.100327,0.137060"); } } timing () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.011394,0.012916,0.014979,0.019079,0.027234,0.043487,0.075943", \ "0.012632,0.014175,0.016265,0.020406,0.028611,0.044912,0.077398", \ "0.017145,0.018850,0.021014,0.025033,0.033209,0.049517,0.082029", \ "0.021098,0.023564,0.026700,0.032390,0.042227,0.058751,0.091046", \ "0.022999,0.026190,0.030262,0.037650,0.050498,0.071792,0.105813", \ "0.022612,0.026593,0.031595,0.040651,0.056396,0.082624,0.124513", \ "0.019813,0.024503,0.030451,0.041195,0.059861,0.090879,0.140683"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019731,0.022383,0.025957,0.033026,0.047015,0.074818,0.130258", \ "0.020567,0.023251,0.026876,0.034042,0.048170,0.076128,0.131691", \ "0.025780,0.028331,0.031829,0.038844,0.052878,0.080846,0.136506", \ "0.035177,0.038440,0.042579,0.050128,0.063703,0.091152,0.146453", \ "0.045433,0.049478,0.054619,0.064112,0.080811,0.108916,0.163259", \ "0.057161,0.061909,0.067941,0.079126,0.099040,0.132822,0.188421", \ "0.070460,0.075943,0.082854,0.095651,0.118454,0.157568,0.221673"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.007936,0.009240,0.011008,0.014536,0.021569,0.035606,0.063645", \ "0.007902,0.009219,0.011000,0.014536,0.021573,0.035602,0.063641", \ "0.009280,0.010178,0.011554,0.014616,0.021536,0.035603,0.063647", \ "0.014343,0.015472,0.016949,0.019740,0.024891,0.036221,0.063646", \ "0.021158,0.022552,0.024344,0.027668,0.033643,0.044194,0.065652", \ "0.029717,0.031345,0.033485,0.037393,0.044385,0.056481,0.077158", \ "0.039897,0.041886,0.044396,0.048967,0.057036,0.070830,0.094011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014417,0.016747,0.019926,0.026289,0.038998,0.064406,0.115213", \ "0.014418,0.016746,0.019928,0.026287,0.039009,0.064414,0.115204", \ "0.014702,0.016777,0.019903,0.026287,0.038996,0.064420,0.115207", \ "0.020088,0.021935,0.024143,0.028719,0.039454,0.064417,0.115205", \ "0.026511,0.028686,0.031592,0.037000,0.046483,0.066459,0.115211", \ "0.034224,0.036653,0.039935,0.046203,0.057540,0.076851,0.117634", \ "0.043591,0.046218,0.049768,0.056664,0.069419,0.091737,0.129446"); } } timing () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010132,0.011417,0.013157,0.016616,0.023499,0.037219,0.064613", \ "0.011425,0.012728,0.014489,0.017983,0.024906,0.038663,0.066085", \ "0.015775,0.017340,0.019317,0.022865,0.029739,0.043485,0.070917", \ "0.019040,0.021317,0.024214,0.029444,0.038446,0.053172,0.080366", \ "0.020091,0.023076,0.026868,0.033715,0.045559,0.065074,0.095787", \ "0.018620,0.022379,0.027073,0.035536,0.050178,0.074358,0.112692", \ "0.014510,0.018948,0.024544,0.034636,0.052111,0.080916,0.126728"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019720,0.022370,0.025945,0.033015,0.047007,0.074806,0.130253", \ "0.020474,0.023156,0.026781,0.033948,0.048087,0.076045,0.131621", \ "0.025691,0.028232,0.031716,0.038713,0.052733,0.080697,0.136363", \ "0.035244,0.038490,0.042609,0.050130,0.063665,0.091066,0.146332", \ "0.045785,0.049801,0.054912,0.064355,0.080984,0.109005,0.163271", \ "0.057966,0.062658,0.068635,0.079739,0.099549,0.133193,0.188656", \ "0.071941,0.077324,0.084138,0.096800,0.119439,0.158362,0.222239"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.006599,0.007691,0.009185,0.012160,0.018101,0.029971,0.053694", \ "0.006584,0.007686,0.009180,0.012159,0.018100,0.029970,0.053691", \ "0.008419,0.009235,0.010260,0.012609,0.018070,0.029971,0.053691", \ "0.013430,0.014445,0.015754,0.018192,0.022603,0.031398,0.053691", \ "0.020108,0.021366,0.022977,0.025951,0.031259,0.040411,0.057414", \ "0.028512,0.029989,0.031934,0.035481,0.041746,0.052501,0.070449", \ "0.038537,0.040344,0.042655,0.046846,0.054157,0.066502,0.087041"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014419,0.016746,0.019924,0.026292,0.039004,0.064411,0.115214", \ "0.014420,0.016743,0.019928,0.026288,0.038999,0.064416,0.115207", \ "0.014722,0.016789,0.019900,0.026292,0.038997,0.064423,0.115211", \ "0.020056,0.021909,0.024129,0.028720,0.039463,0.064417,0.115205", \ "0.026323,0.028522,0.031443,0.036888,0.046404,0.066441,0.115213", \ "0.033814,0.036252,0.039564,0.045880,0.057288,0.076679,0.117589", \ "0.042930,0.045516,0.049106,0.056048,0.068908,0.091355,0.129215"); } } timing () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.018814,0.020445,0.022637,0.026949,0.035404,0.052010,0.084820", \ "0.019882,0.021515,0.023713,0.028033,0.036496,0.053111,0.085927", \ "0.024467,0.026090,0.028285,0.032594,0.041039,0.057636,0.090438", \ "0.032327,0.034338,0.036957,0.041819,0.050523,0.066869,0.099474", \ "0.038275,0.040865,0.044276,0.050592,0.061924,0.081347,0.114232", \ "0.042263,0.045395,0.049521,0.057192,0.071051,0.094947,0.134371", \ "0.044349,0.048001,0.052792,0.061761,0.077988,0.106116,0.152884"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.024554,0.027174,0.030714,0.037747,0.051726,0.079565,0.135137", \ "0.026102,0.028770,0.032370,0.039496,0.053600,0.081565,0.137232", \ "0.031118,0.033758,0.037345,0.044493,0.058680,0.086809,0.142664", \ "0.039038,0.042049,0.046004,0.053466,0.067551,0.095621,0.151526", \ "0.048021,0.051613,0.056275,0.065056,0.081138,0.109979,0.165675", \ "0.058725,0.062953,0.068365,0.078450,0.096735,0.129174,0.186356", \ "0.071220,0.076122,0.082360,0.093851,0.114417,0.150452,0.213087"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.012898,0.014210,0.015986,0.019540,0.026616,0.040718,0.068876", \ "0.012908,0.014219,0.015992,0.019542,0.026614,0.040718,0.068874", \ "0.012855,0.014121,0.015884,0.019530,0.026620,0.040718,0.068875", \ "0.017133,0.018236,0.019694,0.022490,0.028052,0.040798,0.068873", \ "0.023890,0.025263,0.027023,0.030312,0.036246,0.046821,0.069948", \ "0.031907,0.033602,0.035756,0.039768,0.046881,0.058999,0.079800", \ "0.041249,0.043286,0.045854,0.050616,0.059003,0.073158,0.096513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.019622,0.022277,0.025834,0.032755,0.046116,0.072011,0.122962", \ "0.019623,0.022278,0.025834,0.032755,0.046120,0.072009,0.122973", \ "0.019664,0.022293,0.025837,0.032756,0.046119,0.072008,0.122965", \ "0.023369,0.025251,0.028044,0.033978,0.046321,0.072010,0.122967", \ "0.030082,0.032035,0.034735,0.040148,0.050631,0.073297,0.122965", \ "0.038320,0.040151,0.042733,0.048088,0.058896,0.079851,0.124694", \ "0.048094,0.049773,0.052273,0.057533,0.068383,0.090081,0.132120"); } } timing () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014722,0.016317,0.018463,0.022690,0.031012,0.047447,0.080071", \ "0.015772,0.017375,0.019530,0.023769,0.032103,0.048548,0.081178", \ "0.020496,0.022033,0.024120,0.028336,0.036652,0.053076,0.085686", \ "0.026532,0.028745,0.031600,0.036840,0.046064,0.062352,0.094740", \ "0.030603,0.033463,0.037146,0.043941,0.055948,0.076232,0.109557", \ "0.032830,0.036279,0.040754,0.048996,0.063637,0.088541,0.129071", \ "0.033187,0.037220,0.042444,0.052082,0.069245,0.098495,0.146530"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.023841,0.026450,0.029984,0.037001,0.050943,0.078700,0.134114", \ "0.025379,0.028038,0.031631,0.038744,0.052811,0.080696,0.136214", \ "0.030396,0.033029,0.036608,0.043736,0.057887,0.085936,0.141638", \ "0.038169,0.041203,0.045183,0.052700,0.066758,0.094749,0.150504", \ "0.046940,0.050573,0.055279,0.064113,0.080248,0.109110,0.164656", \ "0.057393,0.061678,0.067158,0.077323,0.095689,0.128183,0.185330", \ "0.069578,0.074568,0.080894,0.092501,0.113191,0.149309,0.211960"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.010540,0.011821,0.013570,0.017081,0.024106,0.038144,0.066220", \ "0.010547,0.011830,0.013584,0.017087,0.024104,0.038145,0.066224", \ "0.011003,0.012115,0.013694,0.017036,0.024118,0.038147,0.066219", \ "0.015959,0.017065,0.018507,0.021274,0.026516,0.038490,0.066211", \ "0.022623,0.024015,0.025812,0.029148,0.035086,0.045631,0.067797", \ "0.030558,0.032273,0.034456,0.038515,0.045669,0.057838,0.078542", \ "0.039821,0.041888,0.044502,0.049301,0.057722,0.071921,0.095312"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014420,0.016744,0.019928,0.026291,0.039003,0.064406,0.115209", \ "0.014417,0.016749,0.019928,0.026290,0.038994,0.064414,0.115209", \ "0.014460,0.016766,0.019929,0.026291,0.039005,0.064420,0.115213", \ "0.017723,0.019683,0.022214,0.027569,0.039227,0.064407,0.115208", \ "0.022644,0.024675,0.027466,0.032990,0.043608,0.065726,0.115197", \ "0.028985,0.031067,0.033928,0.039645,0.050865,0.072353,0.116963", \ "0.036614,0.038757,0.041764,0.047704,0.059313,0.081792,0.124446"); } } timing () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.012792,0.014148,0.015970,0.019553,0.026596,0.040485,0.068031", \ "0.013926,0.015288,0.017117,0.020710,0.027761,0.041658,0.069209", \ "0.018767,0.020181,0.021988,0.025522,0.032546,0.046414,0.073941", \ "0.023958,0.025998,0.028635,0.033446,0.041884,0.056113,0.083416", \ "0.027060,0.029729,0.033155,0.039437,0.050506,0.069077,0.098874", \ "0.028135,0.031369,0.035550,0.043229,0.056809,0.079748,0.116807", \ "0.027067,0.030862,0.035773,0.044808,0.060810,0.087921,0.132059"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.023828,0.026439,0.029973,0.036993,0.050931,0.078691,0.134109", \ "0.025300,0.027958,0.031551,0.038663,0.052735,0.080627,0.136149", \ "0.030312,0.032934,0.036503,0.043616,0.057754,0.085799,0.141510", \ "0.038119,0.041147,0.045119,0.052623,0.066654,0.094613,0.150355", \ "0.047012,0.050631,0.055318,0.064128,0.080229,0.109048,0.164543", \ "0.057847,0.062085,0.067514,0.077615,0.095890,0.128288,0.185347", \ "0.070660,0.075571,0.081801,0.093282,0.113805,0.149741,0.212216"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.008798,0.009876,0.011352,0.014312,0.020241,0.032106,0.055842", \ "0.008799,0.009882,0.011357,0.014314,0.020240,0.032106,0.055845", \ "0.009782,0.010626,0.011839,0.014462,0.020252,0.032108,0.055847", \ "0.014852,0.015832,0.017098,0.019473,0.023825,0.033122,0.055846", \ "0.021359,0.022608,0.024220,0.027204,0.032481,0.041582,0.059008", \ "0.029161,0.030718,0.032698,0.036352,0.042787,0.053623,0.071583", \ "0.038352,0.040233,0.042622,0.046985,0.054585,0.067322,0.088092"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("0.014415,0.016748,0.019927,0.026284,0.038994,0.064407,0.115221", \ "0.014416,0.016747,0.019928,0.026288,0.038993,0.064422,0.115211", \ "0.014463,0.016768,0.019928,0.026293,0.039004,0.064418,0.115212", \ "0.017736,0.019699,0.022232,0.027581,0.039232,0.064412,0.115211", \ "0.022614,0.024654,0.027453,0.032980,0.043614,0.065736,0.115198", \ "0.028811,0.030897,0.033788,0.039539,0.050789,0.072324,0.116961", \ "0.036224,0.038435,0.041408,0.047394,0.059072,0.081645,0.124385"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("3.269491,3.282814,3.326633,3.377183,3.431856,3.486234,3.570987", \ "3.200798,3.219804,3.265821,3.321589,3.374491,3.458940,3.550757", \ "3.074413,3.092861,3.143199,3.185700,3.270082,3.376968,3.498491", \ "3.042662,3.076897,3.099900,3.150111,3.222713,3.312353,3.443615", \ "3.507411,3.489247,3.460255,3.429578,3.380616,3.410065,3.489693", \ "4.464274,4.418936,4.341356,4.219740,4.062405,3.859136,3.760678", \ "5.993852,5.921086,5.803219,5.639893,5.316502,4.871402,4.408398"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("10.897230,10.920870,10.943300,10.977880,10.925440,10.962450,11.125620", \ "10.842670,10.818490,10.843250,10.923100,10.979580,10.913540,10.960210", \ "10.765050,10.790340,10.815550,10.878120,10.776530,10.856780,10.785040", \ "11.304350,11.306190,11.286060,11.222340,11.235690,11.059200,11.208130", \ "12.281480,12.247810,12.288970,12.266050,12.107470,11.858730,11.559510", \ "13.864600,13.821210,13.732860,13.684320,13.401450,13.094360,12.775420", \ "16.300040,16.254210,16.150490,15.958980,15.637960,15.144100,14.399040"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.252753,2.265033,2.296391,2.324407,2.356461,2.403638,2.437089", \ "2.168864,2.186729,2.213076,2.258035,2.307211,2.372453,2.412836", \ "1.990126,2.033530,2.051295,2.119259,2.198130,2.269553,2.360143", \ "2.030727,2.022929,2.034503,2.060686,2.130676,2.210369,2.303867", \ "2.566766,2.530010,2.500748,2.432420,2.327749,2.314839,2.348856", \ "3.636536,3.576765,3.489888,3.315408,3.081885,2.810280,2.633700", \ "5.262597,5.166325,5.050120,4.816862,4.436510,3.894363,3.324088"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("9.599297,9.626970,9.654475,9.574562,9.713117,9.632985,9.721471", \ "9.482152,9.517354,9.553351,9.547980,9.676112,9.637443,9.672475", \ "9.467989,9.502290,9.526687,9.511081,9.616371,9.569902,9.785150", \ "9.941420,9.931190,9.924117,9.885581,9.912457,9.831904,9.918135", \ "10.789290,10.812100,10.822260,10.739930,10.733190,10.588570,10.241090", \ "12.473650,12.430310,12.388610,12.289220,12.063180,11.869920,11.235820", \ "14.871750,14.810650,14.744630,14.522110,14.196310,13.637090,13.116780"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.237178,2.266954,2.285445,2.313012,2.352133,2.392615,2.432596", \ "2.146811,2.180058,2.211577,2.252843,2.292094,2.353158,2.413326", \ "1.991660,2.019071,2.054993,2.117521,2.180649,2.266225,2.358435", \ "2.044609,2.029402,2.030895,2.075066,2.134071,2.204912,2.292782", \ "2.615158,2.559059,2.517861,2.448578,2.348409,2.322872,2.352309", \ "3.704176,3.621785,3.537456,3.377240,3.138240,2.856947,2.654684", \ "5.368644,5.276388,5.135604,4.906712,4.494922,3.953847,3.384926"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("10.236780,10.250530,10.296430,10.320900,10.384590,10.357400,10.318070", \ "10.154280,10.144680,10.196970,10.270900,10.317870,10.331720,10.483590", \ "10.102240,10.149150,10.148440,10.181750,10.176460,10.245690,10.410080", \ "10.630840,10.628880,10.620360,10.523630,10.512520,10.572910,10.377900", \ "11.465550,11.500050,11.522560,11.488370,11.436940,11.171920,11.085110", \ "13.146360,13.092790,13.059700,12.962560,12.771930,12.648390,12.192960", \ "15.528790,15.472020,15.413730,15.205660,14.951470,14.455120,13.877850"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.252753,2.265033,2.296391,2.324407,2.356461,2.403638,2.437089", \ "2.168864,2.186729,2.213076,2.258035,2.307211,2.372453,2.412836", \ "1.990126,2.033530,2.051295,2.119259,2.198130,2.269553,2.360143", \ "2.030727,2.022929,2.034503,2.060686,2.130676,2.210369,2.303867", \ "2.566766,2.530010,2.500748,2.432420,2.327749,2.314839,2.348856", \ "3.636536,3.576765,3.489888,3.315408,3.081885,2.810280,2.633700", \ "5.262597,5.166325,5.050120,4.816862,4.436510,3.894363,3.324088"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("9.599297,9.626970,9.654475,9.574562,9.713117,9.632985,9.721471", \ "9.482152,9.517354,9.553351,9.547980,9.676112,9.637443,9.672475", \ "9.467989,9.502290,9.526687,9.511081,9.616371,9.569902,9.785150", \ "9.941420,9.931190,9.924117,9.885581,9.912457,9.831904,9.918135", \ "10.789290,10.812100,10.822260,10.739930,10.733190,10.588570,10.241090", \ "12.473650,12.430310,12.388610,12.289220,12.063180,11.869920,11.235820", \ "14.871750,14.810650,14.744630,14.522110,14.196310,13.637090,13.116780"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.262693,1.271727,1.268342,1.284514,1.299301,1.301522,1.305135", \ "1.113360,1.155714,1.170240,1.197185,1.239563,1.271137,1.284330", \ "0.932561,0.957292,0.993366,1.031630,1.102984,1.169053,1.229405", \ "1.058272,1.034927,0.999633,0.996672,1.039672,1.094659,1.170450", \ "1.692907,1.646636,1.564645,1.448913,1.299890,1.217741,1.225329", \ "2.929788,2.804654,2.690393,2.467456,2.140740,1.767419,1.528089", \ "4.663013,4.528169,4.367673,4.073892,3.583810,2.908426,2.248319"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.266090,8.255244,8.326359,8.343171,8.418020,8.332927,8.297245", \ "8.147756,8.169401,8.227513,8.209503,8.272002,8.431261,8.510919", \ "8.120947,8.151513,8.131111,8.241097,8.273093,8.220952,8.424363", \ "8.529486,8.571245,8.611340,8.608490,8.563525,8.588126,8.239961", \ "9.355422,9.324786,9.373994,9.407551,9.474090,9.281180,9.015885", \ "11.022570,10.998470,10.917360,10.882860,10.748590,10.422740,10.022820", \ "13.342260,13.319440,13.286970,13.155730,12.815360,12.308310,11.714440"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.254047,1.268903,1.282153,1.278368,1.299359,1.303697,1.301325", \ "1.135712,1.136640,1.165868,1.212767,1.239586,1.270773,1.290576", \ "0.934368,0.964676,0.998575,1.044306,1.108811,1.178105,1.228545", \ "1.065883,1.042926,1.016665,1.012007,1.048810,1.106674,1.171169", \ "1.725279,1.664335,1.588753,1.483583,1.330880,1.239061,1.231268", \ "2.984825,2.868935,2.736138,2.513453,2.178584,1.817693,1.549048", \ "4.757518,4.640271,4.447531,4.153326,3.656591,2.983073,2.321319"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.919324,8.943421,8.963114,8.975112,8.995049,8.972822,9.149973", \ "8.808121,8.835829,8.864483,8.943677,8.917311,9.067821,9.075499", \ "8.788309,8.813048,8.836214,8.863268,8.957457,8.884765,9.067216", \ "9.193087,9.296831,9.301849,9.235454,9.152749,9.085585,9.242232", \ "9.993241,9.992175,10.057820,10.057040,10.117110,9.800196,9.656214", \ "11.663940,11.647850,11.571520,11.549390,11.426300,11.222850,10.863760", \ "14.046870,14.031130,13.942530,13.825240,13.559330,13.117020,12.672180"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.237468,2.235549,2.271215,2.292313,2.340237,2.391949,2.432912", \ "2.143387,2.156320,2.189331,2.233364,2.285619,2.359970,2.412681", \ "1.971260,2.015290,2.041245,2.090146,2.183191,2.266573,2.355994", \ "2.083748,2.066158,2.062210,2.082116,2.135355,2.201943,2.304239", \ "2.674847,2.651391,2.586115,2.516832,2.415054,2.351092,2.372892", \ "3.808580,3.752718,3.638831,3.503316,3.248280,2.954228,2.704015", \ "5.485546,5.388453,5.276875,5.073629,4.682521,4.116232,3.503879"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("9.568155,9.595869,9.623529,9.657570,9.677609,9.651460,9.680458", \ "9.427826,9.463467,9.499930,9.544647,9.464219,9.530312,9.606464", \ "9.435559,9.461382,9.400589,9.419461,9.533752,9.500126,9.733731", \ "10.012000,9.992125,9.930255,9.913274,9.873840,9.720481,9.719008", \ "10.956650,10.935710,10.904410,10.867240,10.818880,10.658380,10.328900", \ "12.672690,12.604720,12.592640,12.399180,12.149670,11.981420,11.541980", \ "15.223220,15.169700,15.090320,14.822870,14.447560,14.099780,13.429660"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.266066,1.273867,1.276986,1.286108,1.298507,1.307672,1.306220", \ "1.107654,1.140256,1.182176,1.204426,1.237557,1.273175,1.287278", \ "0.933859,0.966304,1.002800,1.049743,1.101248,1.178950,1.229011", \ "1.139094,1.116294,1.082581,1.025783,1.063926,1.109103,1.176277", \ "1.816539,1.762244,1.697917,1.574654,1.409003,1.268576,1.247852", \ "3.065006,2.998434,2.863579,2.644322,2.306345,1.923305,1.596308", \ "4.840875,4.747285,4.596623,4.325246,3.833101,3.166238,2.443615"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.201737,8.241866,8.290726,8.324966,8.382974,8.381960,8.425383", \ "8.087214,8.103020,8.167303,8.207669,8.273203,8.311633,8.237947", \ "8.092357,8.111744,8.128039,8.194216,8.252313,8.248978,8.410261", \ "8.552853,8.634295,8.626719,8.619272,8.591228,8.568768,8.443707", \ "9.422831,9.489621,9.454376,9.506608,9.554560,9.189394,8.771737", \ "11.200810,11.169650,11.157380,11.035120,10.805030,10.729030,10.241820", \ "13.713990,13.664290,13.629150,13.467600,13.061970,12.531970,11.903000"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.255643,1.272798,1.278771,1.274478,1.288540,1.304950,1.307992", \ "1.117593,1.148466,1.183366,1.208389,1.246292,1.265653,1.290693", \ "0.948364,0.965031,0.993863,1.044338,1.111190,1.176395,1.235135", \ "1.143006,1.125438,1.105653,1.054614,1.068674,1.119401,1.183930", \ "1.868661,1.799926,1.706566,1.607691,1.440473,1.278611,1.264690", \ "3.151742,3.058961,2.918626,2.686405,2.376353,1.982390,1.628049", \ "4.966066,4.827263,4.693657,4.405044,3.938320,3.248507,2.528547"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.888495,8.912619,8.946342,8.990194,9.015159,8.932655,9.121901", \ "8.749457,8.781373,8.810427,8.872947,8.853449,8.993248,9.109249", \ "8.755101,8.778175,8.798771,8.810117,8.901273,8.900347,8.985041", \ "9.172028,9.318725,9.319209,9.280950,9.154664,9.210463,9.209633", \ "10.086710,10.165910,10.143320,10.161250,10.235350,10.019370,9.622847", \ "11.910140,11.893730,11.819940,11.764690,11.625640,11.407810,10.966020", \ "14.445630,14.385240,14.292810,14.159470,13.818400,13.482130,12.817630"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.351868,2.361338,2.395700,2.423957,2.464057,2.496262,2.546568", \ "2.339791,2.364146,2.398620,2.435609,2.495701,2.554171,2.601491", \ "2.186460,2.209538,2.263035,2.320185,2.405116,2.503255,2.588504", \ "2.107131,2.120845,2.126584,2.184548,2.289810,2.394327,2.520953", \ "2.470260,2.466863,2.452938,2.417629,2.374379,2.400905,2.504390", \ "3.207390,3.198921,3.157175,3.067299,2.948167,2.772253,2.684924", \ "4.326719,4.312342,4.267152,4.149966,3.980687,3.633499,3.230459"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("11.471900,11.489470,11.508830,11.523970,11.581310,11.695750,11.824370", \ "11.323750,11.351260,11.374840,11.376250,11.351020,11.606210,11.709040", \ "11.263180,11.261290,11.282300,11.362690,11.347520,11.517450,11.626540", \ "11.622690,11.585350,11.585870,11.588380,11.628760,11.646450,11.706010", \ "12.491380,12.476640,12.444180,12.329330,12.190460,12.159810,11.863180", \ "13.776770,13.794220,13.793030,13.714760,13.455660,13.183930,12.657790", \ "15.639010,15.584540,15.542750,15.446100,15.352990,14.901490,14.136870"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.361719,1.369475,1.379503,1.388568,1.390199,1.396880,1.411562", \ "1.315540,1.334217,1.354295,1.392489,1.417394,1.446324,1.472703", \ "1.070826,1.127676,1.164008,1.224275,1.315103,1.390685,1.446881", \ "1.091756,1.076883,1.074276,1.087284,1.182643,1.277914,1.382212", \ "1.496620,1.478886,1.447423,1.391102,1.321170,1.288404,1.372457", \ "2.317740,2.271231,2.204648,2.112839,1.932909,1.701230,1.557712", \ "3.453360,3.426343,3.356115,3.250861,3.027416,2.616092,2.136552"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("10.032470,10.060330,10.118180,10.129590,10.252840,10.161290,10.457150", \ "9.888260,9.920830,10.002260,10.050100,10.091080,10.068370,10.189320", \ "9.848576,9.879136,9.905740,9.961054,10.050790,10.015380,10.319780", \ "10.195200,10.205670,10.211810,10.192400,10.208990,10.143850,10.411350", \ "11.111060,11.052490,11.061600,11.024400,10.944790,10.695690,10.766730", \ "12.238430,12.272720,12.247050,12.305950,12.192420,11.712490,11.404780", \ "14.110930,14.106950,14.054400,14.014400,13.824960,13.552590,12.773800"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.353539,1.368242,1.381463,1.389565,1.391119,1.400495,1.412678", \ "1.308831,1.337087,1.358344,1.389958,1.422651,1.454075,1.470278", \ "1.088074,1.130262,1.160363,1.237805,1.318987,1.395916,1.453539", \ "1.094873,1.094021,1.095235,1.099094,1.182333,1.289389,1.386833", \ "1.529739,1.499123,1.461974,1.411112,1.350698,1.305090,1.380552", \ "2.328879,2.286424,2.231226,2.143088,1.969369,1.746394,1.569791", \ "3.496640,3.472468,3.415820,3.267412,3.058170,2.665181,2.196326"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("10.727580,10.751350,10.770540,10.816290,10.917730,11.007850,11.042400", \ "10.586150,10.637080,10.689870,10.727200,10.821970,10.871160,10.935060", \ "10.550800,10.578700,10.600100,10.674870,10.636740,10.882950,10.856180", \ "10.893580,10.906740,10.911860,10.958810,10.914060,10.888240,10.944810", \ "11.807040,11.773950,11.720880,11.718150,11.542140,11.490240,11.436410", \ "12.950320,12.951810,12.988810,13.024510,12.777900,12.514820,12.262140", \ "14.848000,14.821010,14.812090,14.750020,14.654450,14.330900,13.659930"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("3.222301,3.230464,3.268061,3.305596,3.343644,3.412465,3.479187", \ "3.128636,3.137586,3.146892,3.189467,3.260999,3.314046,3.390238", \ "2.993647,3.028565,3.040777,3.104639,3.154637,3.233864,3.327518", \ "2.936861,2.967746,2.982428,3.046892,3.100992,3.194537,3.289965", \ "3.278058,3.256604,3.258662,3.239908,3.195647,3.245066,3.322245", \ "3.930549,3.913046,3.882807,3.844360,3.740871,3.602367,3.526744", \ "5.017295,5.007244,4.948647,4.889745,4.727992,4.465583,4.091407"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("13.030430,13.053930,13.073460,13.058640,13.164490,13.228690,13.132410", \ "12.935820,12.961040,12.982020,12.986970,13.096240,13.141440,12.992390", \ "12.841070,12.823080,12.893130,12.883540,13.005590,13.085530,13.178700", \ "12.853370,12.828730,12.891580,12.906400,12.871820,13.062200,13.220290", \ "13.184940,13.193860,13.187380,13.133990,13.196700,13.212860,13.271700", \ "13.855920,13.895100,13.905880,13.932800,13.877950,13.775630,13.600990", \ "15.142590,15.093130,15.112290,15.082710,14.979150,14.853690,14.510040"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.207480,2.209704,2.218899,2.243245,2.281129,2.306828,2.348358", \ "2.099435,2.112630,2.114927,2.139273,2.175601,2.222729,2.264664", \ "1.942340,1.985320,1.999314,2.043886,2.094890,2.147325,2.200041", \ "1.920520,1.909038,1.920138,1.966886,2.020626,2.084541,2.160419", \ "2.283217,2.258496,2.237839,2.207970,2.138871,2.143330,2.182990", \ "2.977244,2.966814,2.928303,2.846323,2.725149,2.541919,2.411571", \ "4.147854,4.093842,4.041973,3.959259,3.757110,3.434257,2.999917"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("11.597530,11.625260,11.648390,11.713340,11.736780,11.879630,12.021980", \ "11.502670,11.531920,11.560240,11.635320,11.642190,11.640530,11.933090", \ "11.408050,11.439730,11.467610,11.536770,11.645220,11.592720,11.603110", \ "11.420320,11.446810,11.466730,11.501930,11.616720,11.728560,11.859530", \ "11.785360,11.800820,11.759060,11.811000,11.750380,11.819110,11.692080", \ "12.294970,12.346230,12.394840,12.419750,12.450830,12.438700,12.351030", \ "13.629310,13.614230,13.620400,13.628350,13.613370,13.516790,12.938640"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.190154,2.209419,2.227829,2.246259,2.267658,2.307214,2.341050", \ "2.090990,2.111547,2.104840,2.144932,2.176271,2.211477,2.252038", \ "1.949903,1.977145,1.977231,2.020581,2.082300,2.140306,2.193069", \ "1.942940,1.914771,1.924404,1.961501,2.008783,2.079192,2.147524", \ "2.280378,2.285430,2.263338,2.221897,2.172549,2.138400,2.180854", \ "3.021188,2.991129,2.938021,2.879746,2.747794,2.570515,2.408362", \ "4.168579,4.150344,4.079343,3.984618,3.777076,3.464871,3.054068"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("12.293930,12.317160,12.336570,12.358510,12.348810,12.585290,12.671160", \ "12.203240,12.236530,12.249510,12.337850,12.422320,12.474820,12.533390", \ "12.107190,12.140550,12.158330,12.196060,12.285210,12.314710,12.420850", \ "12.116790,12.139530,12.155780,12.160290,12.158330,12.410030,12.451410", \ "12.467710,12.459070,12.460860,12.447510,12.486730,12.458450,12.497820", \ "13.034950,13.037180,13.097290,13.171350,13.186120,12.996310,12.843530", \ "14.333400,14.323310,14.341800,14.330160,14.340280,14.177580,13.779000"); } } internal_power () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.353419,2.361422,2.388961,2.403511,2.457303,2.489306,2.546332", \ "2.348286,2.366770,2.394479,2.422541,2.492309,2.549006,2.605201", \ "2.302414,2.327917,2.358358,2.397474,2.467494,2.538945,2.608517", \ "2.553893,2.523584,2.502945,2.502689,2.528644,2.575784,2.627781", \ "3.331681,3.288835,3.210978,3.098704,2.930566,2.845489,2.803549", \ "4.604657,4.518131,4.424171,4.229651,3.916347,3.534996,3.261672", \ "6.287306,6.210456,6.108548,5.896647,5.481432,4.847643,4.141816"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.185675,7.219302,7.237684,7.271835,7.236621,7.162429,7.110506", \ "7.047479,7.084734,7.118929,7.161223,7.094114,7.337389,7.161661", \ "7.052741,7.067407,7.084438,7.119297,7.124302,7.236973,7.324871", \ "7.458093,7.440554,7.438453,7.385768,7.373296,7.327571,7.373955", \ "8.421867,8.354503,8.274213,8.103649,7.953002,7.655990,7.673365", \ "9.594222,9.542879,9.469948,9.436840,9.112957,8.802689,8.242181", \ "11.559680,11.437480,11.325180,11.135120,10.759960,10.381150,9.657704"); } } internal_power () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.352407,1.353879,1.359953,1.373166,1.394436,1.405321,1.412295", \ "1.315536,1.338502,1.354876,1.390335,1.419977,1.444410,1.465626", \ "1.243782,1.272533,1.299562,1.337073,1.379450,1.425364,1.474090", \ "1.648978,1.583248,1.527370,1.465819,1.453105,1.469539,1.501110", \ "2.547462,2.446599,2.350445,2.172223,1.934982,1.763036,1.684922", \ "3.884515,3.788849,3.657376,3.410220,3.005491,2.521055,2.164567", \ "5.606875,5.541958,5.416330,5.136411,4.639102,3.893472,3.081206"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("5.857790,5.874471,5.938680,5.958246,5.918318,5.848240,5.867080", \ "5.755889,5.788761,5.816688,5.886957,5.941090,5.962012,5.929958", \ "5.732209,5.728126,5.751327,5.802216,5.767894,5.813181,6.011985", \ "6.163822,6.154028,6.120032,6.037562,5.970360,5.949957,5.813007", \ "6.843727,6.909253,6.932003,6.874675,6.705525,6.407648,6.254049", \ "8.166749,8.097538,8.026414,7.925777,7.898637,7.508083,7.001809", \ "10.155180,10.047340,9.922606,9.699172,9.477906,9.115429,8.291963"); } } internal_power () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("1.353884,1.340804,1.369195,1.375599,1.395863,1.408004,1.406303", \ "1.291290,1.322011,1.352244,1.386355,1.420946,1.455894,1.467672", \ "1.270578,1.289305,1.306762,1.332850,1.381966,1.440267,1.480529", \ "1.714571,1.660632,1.594553,1.502602,1.480155,1.484809,1.506277", \ "2.635186,2.554523,2.441178,2.267698,2.030532,1.803963,1.709971", \ "3.959995,3.872137,3.766711,3.524749,3.155739,2.660990,2.228503", \ "5.725462,5.630451,5.537663,5.309320,4.829842,4.102835,3.260811"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("5.849001,5.892788,5.938359,5.965207,5.958866,5.846423,6.048257", \ "5.716037,5.767642,5.796056,5.857996,5.848267,5.812942,5.827831", \ "5.712359,5.704090,5.722716,5.770667,5.730463,5.761883,5.735231", \ "6.173293,6.154187,6.124039,6.031195,6.047147,5.928477,5.788042", \ "6.937743,6.953336,6.974423,6.912285,6.752896,6.421171,6.254743", \ "8.270613,8.227660,8.157147,8.073210,8.033405,7.547190,7.048706", \ "10.337110,10.227460,10.101580,9.892817,9.620657,9.292070,8.399969"); } } internal_power () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("3.223757,3.242494,3.269333,3.297011,3.340662,3.395453,3.475260", \ "3.097961,3.113213,3.151253,3.204271,3.255538,3.304888,3.386810", \ "3.041373,3.075797,3.085134,3.125115,3.182900,3.268861,3.338171", \ "3.232243,3.236299,3.237906,3.258401,3.290288,3.317379,3.377947", \ "4.007973,3.954766,3.920733,3.819943,3.696521,3.635234,3.583795", \ "5.250363,5.181551,5.114526,4.969722,4.700841,4.347549,4.096840", \ "7.026579,6.957553,6.857509,6.663185,6.279270,5.719414,5.033428"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("8.800900,8.822481,8.838057,8.828248,8.754117,8.729741,8.749154", \ "8.698286,8.730894,8.759680,8.790964,8.881289,8.717094,8.950470", \ "8.484299,8.511899,8.537956,8.587587,8.718711,8.812377,8.874796", \ "8.509484,8.519377,8.532101,8.554465,8.474886,8.453805,8.543332", \ "8.944459,8.969967,8.935077,8.864435,8.744969,8.683259,8.564987", \ "9.646259,9.627415,9.594790,9.592327,9.568075,9.315864,9.185253", \ "11.117100,11.041520,10.933200,10.765800,10.567990,10.421960,9.770612"); } } internal_power () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.187359,2.212298,2.213116,2.252613,2.272190,2.302372,2.340068", \ "2.080879,2.087164,2.125226,2.147046,2.171242,2.213864,2.259490", \ "2.003765,2.018902,2.058804,2.077843,2.122382,2.157306,2.198930", \ "2.294563,2.256023,2.220543,2.227334,2.227268,2.226144,2.243532", \ "3.169774,3.095880,3.017808,2.891642,2.685216,2.560164,2.457718", \ "4.508892,4.414236,4.318093,4.104383,3.782704,3.338122,2.990137", \ "6.316525,6.225881,6.107537,5.883247,5.448651,4.767162,3.967893"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.467619,7.491153,7.539640,7.543842,7.546061,7.539274,7.508237", \ "7.383989,7.388966,7.459092,7.466144,7.450937,7.420365,7.449175", \ "7.192283,7.215359,7.245985,7.310100,7.385336,7.299378,7.311829", \ "7.214329,7.246701,7.222682,7.222919,7.235526,7.219969,7.299608", \ "7.413122,7.444092,7.563969,7.574671,7.493748,7.318047,7.313470", \ "8.195001,8.175165,8.143627,8.156586,8.263736,7.856134,7.624036", \ "9.701672,9.631296,9.547232,9.362524,9.151945,8.988178,8.509765"); } } internal_power () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("2.146529,2.190580,2.195173,2.231778,2.259141,2.288398,2.331725", \ "2.049520,2.076579,2.103693,2.118333,2.156860,2.196120,2.250741", \ "1.981507,2.016526,2.025174,2.063481,2.105473,2.146567,2.202295", \ "2.348523,2.311026,2.266560,2.225147,2.232029,2.224069,2.244807", \ "3.236535,3.169574,3.107607,2.973719,2.763494,2.588055,2.478167", \ "4.598917,4.525607,4.437286,4.237793,3.917097,3.455404,3.047868", \ "6.469021,6.380206,6.291367,6.056533,5.616950,4.959101,4.140427"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.370910,2.741810,5.483620,10.967200,21.934500,43.869000"); values ("7.471614,7.508666,7.537195,7.531943,7.561643,7.488829,7.594194", \ "7.366242,7.371542,7.441674,7.432768,7.431774,7.434476,7.435770", \ "7.173701,7.194909,7.223465,7.281161,7.225225,7.263710,7.286222", \ "7.205661,7.236166,7.210615,7.219069,7.256499,7.193405,7.269893", \ "7.422771,7.452217,7.557478,7.587918,7.459931,7.317295,7.290066", \ "8.244596,8.221155,8.184939,8.152250,8.296441,7.878138,7.625337", \ "9.817547,9.727272,9.657409,9.515238,9.287118,9.148443,8.551995"); } } } } /****************************************************************************************** Module : OAI221_X4 Cell Description : Combinational cell (OAI221_X4) with drive strength X4 *******************************************************************************************/ cell (OAI221_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 116.346072; leakage_power () { when : "!A & !B1 & !B2 & !C1 & !C2"; value : 84.017120; } leakage_power () { when : "!A & !B1 & !B2 & !C1 & C2"; value : 82.779026; } leakage_power () { when : "!A & !B1 & !B2 & C1 & !C2"; value : 82.779136; } leakage_power () { when : "!A & !B1 & !B2 & C1 & C2"; value : 79.400762; } leakage_power () { when : "!A & !B1 & B2 & !C1 & !C2"; value : 98.816080; } leakage_power () { when : "!A & !B1 & B2 & !C1 & C2"; value : 99.869462; } leakage_power () { when : "!A & !B1 & B2 & C1 & !C2"; value : 99.869462; } leakage_power () { when : "!A & !B1 & B2 & C1 & C2"; value : 96.707413; } leakage_power () { when : "!A & B1 & !B2 & !C1 & !C2"; value : 98.816080; } leakage_power () { when : "!A & B1 & !B2 & !C1 & C2"; value : 99.869462; } leakage_power () { when : "!A & B1 & !B2 & C1 & !C2"; value : 99.869462; } leakage_power () { when : "!A & B1 & !B2 & C1 & C2"; value : 96.707413; } leakage_power () { when : "!A & B1 & B2 & !C1 & !C2"; value : 113.436510; } leakage_power () { when : "!A & B1 & B2 & !C1 & C2"; value : 114.489894; } leakage_power () { when : "!A & B1 & B2 & C1 & !C2"; value : 114.489893; } leakage_power () { when : "!A & B1 & B2 & C1 & C2"; value : 111.327843; } leakage_power () { when : "A & !B1 & !B2 & !C1 & !C2"; value : 85.610459; } leakage_power () { when : "A & !B1 & !B2 & !C1 & C2"; value : 88.108713; } leakage_power () { when : "A & !B1 & !B2 & C1 & !C2"; value : 88.108821; } leakage_power () { when : "A & !B1 & !B2 & C1 & C2"; value : 84.849386; } leakage_power () { when : "A & !B1 & B2 & !C1 & !C2"; value : 127.281440; } leakage_power () { when : "A & !B1 & B2 & !C1 & C2"; value : 145.898500; } leakage_power () { when : "A & !B1 & B2 & C1 & !C2"; value : 148.249200; } leakage_power () { when : "A & !B1 & B2 & C1 & C2"; value : 159.146130; } leakage_power () { when : "A & B1 & !B2 & !C1 & !C2"; value : 127.281550; } leakage_power () { when : "A & B1 & !B2 & !C1 & C2"; value : 148.249090; } leakage_power () { when : "A & B1 & !B2 & C1 & !C2"; value : 150.599680; } leakage_power () { when : "A & B1 & !B2 & C1 & C2"; value : 161.496390; } leakage_power () { when : "A & B1 & B2 & !C1 & !C2"; value : 141.906600; } leakage_power () { when : "A & B1 & B2 & !C1 & C2"; value : 159.147890; } leakage_power () { when : "A & B1 & B2 & C1 & !C2"; value : 161.498810; } leakage_power () { when : "A & B1 & B2 & C1 & C2"; value : 172.396620; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.643414; fall_capacitance : 1.555228; rise_capacitance : 1.643414; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.672754; fall_capacitance : 1.417488; rise_capacitance : 1.672754; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.653010; fall_capacitance : 1.572588; rise_capacitance : 1.653010; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.548184; fall_capacitance : 1.396085; rise_capacitance : 1.548184; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.584488; fall_capacitance : 1.584488; rise_capacitance : 1.560630; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "!(!(!(((C1 | C2) & A) & (B1 | B2))))"; timing () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.061248,0.065412,0.068811,0.074517,0.084476,0.103157,0.139988", \ "0.062630,0.066793,0.070192,0.075898,0.085858,0.104539,0.141371", \ "0.066846,0.071008,0.074408,0.080114,0.090073,0.108755,0.145585", \ "0.074716,0.078885,0.082283,0.087987,0.097947,0.116629,0.153457", \ "0.084964,0.089153,0.092573,0.098294,0.108277,0.126965,0.163795", \ "0.095249,0.099489,0.102942,0.108696,0.118680,0.137376,0.174202", \ "0.104780,0.109118,0.112635,0.118425,0.128415,0.147104,0.183923"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.043691,0.048962,0.053709,0.062925,0.081251,0.117759,0.190626", \ "0.045312,0.050583,0.055329,0.064544,0.082868,0.119378,0.192249", \ "0.051508,0.056780,0.061525,0.070740,0.089065,0.125572,0.198436", \ "0.063658,0.068953,0.073691,0.082891,0.101196,0.137708,0.210576", \ "0.078276,0.083822,0.088583,0.097730,0.115980,0.152457,0.225318", \ "0.093079,0.098979,0.103841,0.112953,0.131092,0.167525,0.240365", \ "0.108333,0.114590,0.119636,0.128778,0.146822,0.183170,0.255976"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004782,0.006500,0.008208,0.011634,0.018843,0.034206,0.065991", \ "0.004780,0.006499,0.008209,0.011634,0.018843,0.034206,0.065991", \ "0.004780,0.006500,0.008209,0.011634,0.018843,0.034206,0.065991", \ "0.004784,0.006502,0.008210,0.011635,0.018844,0.034206,0.065991", \ "0.004901,0.006607,0.008303,0.011706,0.018887,0.034222,0.065993", \ "0.005106,0.006786,0.008456,0.011821,0.018950,0.034246,0.066000", \ "0.005450,0.007098,0.008731,0.012030,0.019073,0.034296,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004952,0.008120,0.011983,0.020360,0.037591,0.072169,0.141421", \ "0.004952,0.008121,0.011982,0.020364,0.037582,0.072164,0.141427", \ "0.004953,0.008121,0.011983,0.020364,0.037589,0.072172,0.141434", \ "0.005025,0.008168,0.012004,0.020370,0.037588,0.072171,0.141423", \ "0.005554,0.008562,0.012205,0.020436,0.037614,0.072177,0.141427", \ "0.006160,0.009164,0.012562,0.020556,0.037653,0.072211,0.141429", \ "0.006772,0.009886,0.013079,0.020756,0.037713,0.072236,0.141443"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.056196,0.060337,0.063722,0.069408,0.079354,0.098030,0.134862", \ "0.057555,0.061697,0.065081,0.070767,0.080714,0.099389,0.136222", \ "0.061726,0.065867,0.069251,0.074938,0.084884,0.103560,0.140388", \ "0.069397,0.073542,0.076927,0.082615,0.092563,0.111238,0.148069", \ "0.078745,0.082920,0.086331,0.092044,0.102014,0.120696,0.157524", \ "0.087856,0.092095,0.095545,0.101300,0.111284,0.129977,0.166798", \ "0.096132,0.100485,0.104009,0.109810,0.119847,0.138554,0.175371"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042379,0.047524,0.052264,0.061498,0.079836,0.116350,0.189217", \ "0.043998,0.049144,0.053883,0.063116,0.081455,0.117969,0.190840", \ "0.050199,0.055345,0.060083,0.069316,0.087652,0.124165,0.197038", \ "0.062353,0.067514,0.072242,0.081456,0.099779,0.136289,0.209160", \ "0.076725,0.082076,0.086806,0.095972,0.114244,0.150731,0.223599", \ "0.091290,0.096935,0.101712,0.110826,0.128997,0.165450,0.238303", \ "0.106304,0.112283,0.117172,0.126270,0.144361,0.180728,0.253555"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065985", \ "0.004698,0.006425,0.008143,0.011583,0.018811,0.034191,0.065985", \ "0.004699,0.006425,0.008142,0.011582,0.018811,0.034192,0.065985", \ "0.004715,0.006439,0.008153,0.011590,0.018815,0.034192,0.065984", \ "0.004858,0.006565,0.008264,0.011674,0.018867,0.034214,0.065988", \ "0.005100,0.006777,0.008447,0.011810,0.018942,0.034241,0.065996", \ "0.005495,0.007136,0.008765,0.012054,0.019085,0.034298,0.066009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004659,0.007944,0.011894,0.020328,0.037567,0.072171,0.141430", \ "0.004659,0.007944,0.011894,0.020327,0.037564,0.072158,0.141418", \ "0.004659,0.007943,0.011894,0.020330,0.037565,0.072160,0.141429", \ "0.004733,0.007983,0.011913,0.020334,0.037562,0.072171,0.141431", \ "0.005216,0.008282,0.012059,0.020384,0.037584,0.072165,0.141418", \ "0.005770,0.008743,0.012304,0.020465,0.037621,0.072178,0.141433", \ "0.006338,0.009334,0.012669,0.020595,0.037669,0.072204,0.141432"); } } timing () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051735,0.055840,0.059199,0.064856,0.074780,0.093444,0.130275", \ "0.053134,0.057240,0.060599,0.066256,0.076179,0.094843,0.131674", \ "0.058040,0.062147,0.065507,0.071164,0.081087,0.099752,0.136582", \ "0.067199,0.071309,0.074671,0.080330,0.090255,0.108919,0.145751", \ "0.077464,0.081611,0.085002,0.090690,0.100638,0.119316,0.156143", \ "0.086770,0.091000,0.094440,0.100190,0.110162,0.128845,0.165664", \ "0.094934,0.099299,0.102829,0.108634,0.118668,0.137364,0.174172"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.043484,0.048635,0.053372,0.062604,0.080940,0.117453,0.190320", \ "0.045116,0.050265,0.055002,0.064234,0.082570,0.119088,0.191954", \ "0.051300,0.056450,0.061187,0.070418,0.088753,0.125266,0.198134", \ "0.063577,0.068740,0.073469,0.082684,0.101008,0.137519,0.210390", \ "0.078382,0.083725,0.088453,0.097621,0.115892,0.152382,0.225249", \ "0.093392,0.099024,0.103798,0.112921,0.131094,0.167545,0.240400", \ "0.108874,0.114836,0.119716,0.128816,0.146905,0.183283,0.256104"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004587,0.006321,0.008047,0.011505,0.018761,0.034168,0.065979", \ "0.004588,0.006321,0.008047,0.011505,0.018761,0.034167,0.065979", \ "0.004587,0.006321,0.008046,0.011505,0.018761,0.034168,0.065979", \ "0.004611,0.006340,0.008064,0.011517,0.018766,0.034170,0.065977", \ "0.004792,0.006497,0.008199,0.011618,0.018826,0.034194,0.065982", \ "0.005095,0.006761,0.008425,0.011784,0.018918,0.034225,0.065990", \ "0.005557,0.007183,0.008799,0.012070,0.019086,0.034290,0.066004"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004674,0.007951,0.011899,0.020328,0.037563,0.072174,0.141424", \ "0.004674,0.007951,0.011897,0.020329,0.037561,0.072153,0.141417", \ "0.004673,0.007951,0.011898,0.020333,0.037562,0.072172,0.141428", \ "0.004737,0.007984,0.011914,0.020334,0.037567,0.072155,0.141424", \ "0.005200,0.008272,0.012053,0.020382,0.037584,0.072157,0.141422", \ "0.005750,0.008722,0.012291,0.020462,0.037624,0.072193,0.141426", \ "0.006309,0.009304,0.012647,0.020587,0.037667,0.072203,0.141437"); } } timing () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.056196,0.060337,0.063722,0.069408,0.079354,0.098030,0.134862", \ "0.057555,0.061697,0.065081,0.070767,0.080714,0.099389,0.136222", \ "0.061726,0.065867,0.069251,0.074938,0.084884,0.103560,0.140388", \ "0.069397,0.073542,0.076927,0.082615,0.092563,0.111238,0.148069", \ "0.078745,0.082920,0.086331,0.092044,0.102014,0.120696,0.157524", \ "0.087856,0.092095,0.095545,0.101300,0.111284,0.129977,0.166798", \ "0.096132,0.100485,0.104009,0.109810,0.119847,0.138554,0.175371"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042379,0.047524,0.052264,0.061498,0.079836,0.116350,0.189217", \ "0.043998,0.049144,0.053883,0.063116,0.081455,0.117969,0.190840", \ "0.050199,0.055345,0.060083,0.069316,0.087652,0.124165,0.197038", \ "0.062353,0.067514,0.072242,0.081456,0.099779,0.136289,0.209160", \ "0.076725,0.082076,0.086806,0.095972,0.114244,0.150731,0.223599", \ "0.091290,0.096935,0.101712,0.110826,0.128997,0.165450,0.238303", \ "0.106304,0.112283,0.117172,0.126270,0.144361,0.180728,0.253555"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065985", \ "0.004698,0.006425,0.008143,0.011583,0.018811,0.034191,0.065985", \ "0.004699,0.006425,0.008142,0.011582,0.018811,0.034192,0.065985", \ "0.004715,0.006439,0.008153,0.011590,0.018815,0.034192,0.065984", \ "0.004858,0.006565,0.008264,0.011674,0.018867,0.034214,0.065988", \ "0.005100,0.006777,0.008447,0.011810,0.018942,0.034241,0.065996", \ "0.005495,0.007136,0.008765,0.012054,0.019085,0.034298,0.066009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004659,0.007944,0.011894,0.020328,0.037567,0.072171,0.141430", \ "0.004659,0.007944,0.011894,0.020327,0.037564,0.072158,0.141418", \ "0.004659,0.007943,0.011894,0.020330,0.037565,0.072160,0.141429", \ "0.004733,0.007983,0.011913,0.020334,0.037562,0.072171,0.141431", \ "0.005216,0.008282,0.012059,0.020384,0.037584,0.072165,0.141418", \ "0.005770,0.008743,0.012304,0.020465,0.037621,0.072178,0.141433", \ "0.006338,0.009334,0.012669,0.020595,0.037669,0.072204,0.141432"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051293,0.055410,0.058777,0.064444,0.074376,0.093045,0.129877", \ "0.052623,0.056740,0.060108,0.065774,0.075706,0.094375,0.131204", \ "0.056727,0.060843,0.064211,0.069877,0.079809,0.098479,0.135309", \ "0.064009,0.068132,0.071506,0.077179,0.087116,0.105786,0.142617", \ "0.072263,0.076427,0.079829,0.085531,0.095495,0.114176,0.151005", \ "0.080118,0.084361,0.087811,0.093562,0.103539,0.122229,0.159051", \ "0.087081,0.091459,0.094999,0.100836,0.110890,0.129596,0.166409"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040998,0.046042,0.050781,0.060030,0.078375,0.114895,0.187762", \ "0.042616,0.047660,0.052398,0.061648,0.079994,0.116514,0.189380", \ "0.048822,0.053865,0.058603,0.067852,0.086196,0.122713,0.195583", \ "0.060972,0.066022,0.070746,0.079975,0.098308,0.134822,0.207700", \ "0.075082,0.080252,0.084964,0.094153,0.112445,0.148943,0.221816", \ "0.089405,0.094787,0.099507,0.108635,0.126839,0.163307,0.236171", \ "0.104189,0.109851,0.114619,0.123702,0.141833,0.178223,0.251067"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004613,0.006346,0.008072,0.011529,0.018778,0.034177,0.065979", \ "0.004611,0.006346,0.008072,0.011528,0.018778,0.034177,0.065980", \ "0.004612,0.006346,0.008073,0.011529,0.018778,0.034176,0.065979", \ "0.004657,0.006383,0.008103,0.011550,0.018789,0.034180,0.065980", \ "0.004824,0.006532,0.008234,0.011649,0.018848,0.034208,0.065985", \ "0.005115,0.006789,0.008454,0.011814,0.018942,0.034238,0.065993", \ "0.005575,0.007208,0.008828,0.012102,0.019111,0.034307,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004362,0.007791,0.011819,0.020295,0.037537,0.072149,0.141425", \ "0.004366,0.007791,0.011817,0.020295,0.037537,0.072156,0.141432", \ "0.004366,0.007791,0.011817,0.020293,0.037539,0.072147,0.141421", \ "0.004438,0.007825,0.011835,0.020297,0.037539,0.072142,0.141425", \ "0.004848,0.008034,0.011935,0.020340,0.037561,0.072151,0.141433", \ "0.005338,0.008350,0.012090,0.020395,0.037587,0.072162,0.141424", \ "0.005859,0.008790,0.012324,0.020471,0.037622,0.072178,0.141428"); } } timing () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047585,0.051670,0.055015,0.060654,0.070565,0.089223,0.126056", \ "0.048960,0.053045,0.056389,0.062028,0.071940,0.090598,0.127429", \ "0.053805,0.057890,0.061234,0.066874,0.076785,0.095444,0.132276", \ "0.062416,0.066509,0.069861,0.075510,0.085427,0.104088,0.140918", \ "0.071308,0.075451,0.078837,0.084523,0.094467,0.113140,0.149967", \ "0.079275,0.083513,0.086958,0.092699,0.102679,0.121360,0.158177", \ "0.086078,0.090475,0.094026,0.099876,0.109931,0.128642,0.165448"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042113,0.047159,0.051896,0.061145,0.079490,0.116010,0.188876", \ "0.043743,0.048789,0.053526,0.062774,0.081120,0.117637,0.190506", \ "0.049933,0.054979,0.059715,0.068964,0.087308,0.123822,0.196696", \ "0.062219,0.067271,0.071996,0.081224,0.099556,0.136071,0.208947", \ "0.076776,0.081941,0.086652,0.095841,0.114130,0.150624,0.223504", \ "0.091552,0.096924,0.101644,0.110781,0.128985,0.165450,0.238322", \ "0.106810,0.112457,0.117222,0.126315,0.144444,0.180852,0.253695"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004510,0.006250,0.007985,0.011457,0.018732,0.034154,0.065973", \ "0.004510,0.006250,0.007985,0.011458,0.018732,0.034155,0.065975", \ "0.004508,0.006251,0.007985,0.011458,0.018732,0.034155,0.065973", \ "0.004568,0.006299,0.008025,0.011485,0.018747,0.034160,0.065976", \ "0.004777,0.006481,0.008183,0.011603,0.018814,0.034189,0.065980", \ "0.005133,0.006790,0.008448,0.011799,0.018924,0.034224,0.065987", \ "0.005653,0.007270,0.008877,0.012130,0.019120,0.034302,0.066006"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004377,0.007797,0.011820,0.020294,0.037539,0.072150,0.141431", \ "0.004377,0.007797,0.011821,0.020297,0.037544,0.072166,0.141426", \ "0.004380,0.007797,0.011822,0.020294,0.037543,0.072141,0.141421", \ "0.004440,0.007825,0.011835,0.020299,0.037544,0.072144,0.141427", \ "0.004837,0.008028,0.011933,0.020340,0.037562,0.072151,0.141420", \ "0.005323,0.008339,0.012085,0.020391,0.037583,0.072173,0.141425", \ "0.005839,0.008771,0.012310,0.020467,0.037618,0.072177,0.141424"); } } timing () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050235,0.054304,0.057641,0.063272,0.073176,0.091832,0.128662", \ "0.051678,0.055748,0.059085,0.064716,0.074620,0.093276,0.130108", \ "0.055866,0.059936,0.063273,0.068904,0.078808,0.097464,0.134296", \ "0.063231,0.067305,0.070645,0.076281,0.086189,0.104845,0.141679", \ "0.071629,0.075735,0.079098,0.084761,0.094688,0.113353,0.150183", \ "0.079464,0.083633,0.087037,0.092746,0.102692,0.121364,0.158187", \ "0.086143,0.090429,0.093904,0.099664,0.109653,0.128361,0.165177"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042318,0.047464,0.052203,0.061437,0.079776,0.116289,0.189156", \ "0.043891,0.049037,0.053776,0.063009,0.081347,0.117860,0.190733", \ "0.050119,0.055266,0.060004,0.069236,0.087574,0.124085,0.196957", \ "0.062375,0.067536,0.072264,0.081480,0.099802,0.136311,0.209184", \ "0.076965,0.082314,0.087043,0.096206,0.114480,0.150966,0.223837", \ "0.091908,0.097545,0.102320,0.111437,0.129617,0.166072,0.238923", \ "0.107545,0.113505,0.118386,0.127495,0.145593,0.181997,0.254818"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004471,0.006217,0.007954,0.011434,0.018717,0.034147,0.065970", \ "0.004472,0.006217,0.007955,0.011434,0.018716,0.034147,0.065971", \ "0.004470,0.006217,0.007955,0.011434,0.018716,0.034147,0.065971", \ "0.004502,0.006241,0.007975,0.011447,0.018723,0.034149,0.065971", \ "0.004644,0.006365,0.008083,0.011528,0.018769,0.034169,0.065976", \ "0.004891,0.006579,0.008265,0.011662,0.018845,0.034193,0.065980", \ "0.005288,0.006932,0.008575,0.011897,0.018983,0.034249,0.065993"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004658,0.007943,0.011894,0.020330,0.037567,0.072158,0.141424", \ "0.004659,0.007943,0.011894,0.020327,0.037563,0.072161,0.141422", \ "0.004661,0.007944,0.011894,0.020330,0.037565,0.072154,0.141429", \ "0.004734,0.007983,0.011913,0.020333,0.037570,0.072160,0.141422", \ "0.005210,0.008278,0.012057,0.020383,0.037586,0.072170,0.141421", \ "0.005755,0.008728,0.012296,0.020464,0.037621,0.072179,0.141431", \ "0.006305,0.009299,0.012646,0.020585,0.037661,0.072201,0.141426"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046142,0.050193,0.053516,0.059130,0.069022,0.087674,0.124505", \ "0.047564,0.051613,0.054935,0.060550,0.070443,0.089094,0.125927", \ "0.051685,0.055735,0.059057,0.064673,0.074565,0.093217,0.130051", \ "0.058578,0.062637,0.065968,0.071593,0.081491,0.100143,0.136973", \ "0.065934,0.070033,0.073388,0.079041,0.088962,0.107625,0.144455", \ "0.072593,0.076769,0.080173,0.085875,0.095819,0.114489,0.151310", \ "0.078007,0.082319,0.085810,0.091612,0.101619,0.120303,0.157114"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.040937,0.045981,0.050719,0.059970,0.078314,0.114831,0.187700", \ "0.042509,0.047553,0.052291,0.061541,0.079886,0.116406,0.189273", \ "0.048743,0.053787,0.058523,0.067772,0.086118,0.122633,0.195510", \ "0.060997,0.066047,0.070771,0.080002,0.098332,0.134847,0.207726", \ "0.075323,0.080490,0.085201,0.094393,0.112682,0.149179,0.222052", \ "0.090032,0.095406,0.100126,0.109264,0.127471,0.163937,0.236803", \ "0.105444,0.111088,0.115853,0.124943,0.143090,0.179510,0.252355"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004396,0.006150,0.007896,0.011389,0.018689,0.034135,0.065968", \ "0.004394,0.006150,0.007896,0.011389,0.018689,0.034135,0.065968", \ "0.004396,0.006150,0.007896,0.011389,0.018690,0.034135,0.065969", \ "0.004459,0.006202,0.007938,0.011418,0.018704,0.034139,0.065971", \ "0.004620,0.006343,0.008060,0.011509,0.018756,0.034161,0.065975", \ "0.004914,0.006595,0.008278,0.011670,0.018848,0.034192,0.065981", \ "0.005370,0.007007,0.008640,0.011946,0.019011,0.034258,0.065992"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004364,0.007791,0.011818,0.020292,0.037538,0.072164,0.141425", \ "0.004365,0.007791,0.011819,0.020292,0.037538,0.072148,0.141424", \ "0.004364,0.007791,0.011817,0.020295,0.037542,0.072162,0.141420", \ "0.004439,0.007824,0.011834,0.020298,0.037542,0.072149,0.141432", \ "0.004844,0.008031,0.011935,0.020340,0.037555,0.072156,0.141426", \ "0.005320,0.008339,0.012086,0.020390,0.037588,0.072157,0.141429", \ "0.005829,0.008761,0.012308,0.020464,0.037618,0.072174,0.141435"); } } timing () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; sdf_cond : "(B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042086,0.046100,0.049396,0.054981,0.064850,0.083490,0.120326", \ "0.043576,0.047590,0.050887,0.056472,0.066340,0.084982,0.121817", \ "0.048637,0.052650,0.055947,0.061531,0.071401,0.090041,0.126876", \ "0.056899,0.060926,0.064234,0.069830,0.079706,0.098349,0.135181", \ "0.064821,0.068894,0.072231,0.077863,0.087763,0.106414,0.143246", \ "0.071547,0.075715,0.079110,0.084806,0.094735,0.113400,0.150217", \ "0.076770,0.081095,0.084594,0.090401,0.100418,0.119093,0.155900"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042052,0.047098,0.051834,0.061084,0.079430,0.115944,0.188816", \ "0.043632,0.048677,0.053414,0.062663,0.081008,0.117526,0.190397", \ "0.049850,0.054896,0.059631,0.068879,0.087223,0.123740,0.196612", \ "0.062244,0.067295,0.072028,0.081259,0.099589,0.136097,0.208974", \ "0.077040,0.082203,0.086915,0.096091,0.114392,0.150883,0.223761", \ "0.092190,0.097555,0.102274,0.111404,0.129626,0.166091,0.238960", \ "0.108061,0.113694,0.118454,0.127560,0.145712,0.182134,0.254984"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004273,0.006038,0.007796,0.011309,0.018639,0.034113,0.065965", \ "0.004273,0.006038,0.007795,0.011310,0.018638,0.034114,0.065963", \ "0.004273,0.006039,0.007797,0.011311,0.018639,0.034113,0.065962", \ "0.004358,0.006106,0.007851,0.011348,0.018658,0.034119,0.065965", \ "0.004558,0.006280,0.007999,0.011455,0.018717,0.034142,0.065968", \ "0.004917,0.006585,0.008259,0.011645,0.018824,0.034175,0.065974", \ "0.005436,0.007059,0.008677,0.011964,0.019009,0.034247,0.065989"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004377,0.007797,0.011820,0.020294,0.037547,0.072154,0.141420", \ "0.004379,0.007797,0.011821,0.020296,0.037541,0.072147,0.141423", \ "0.004380,0.007798,0.011821,0.020297,0.037541,0.072149,0.141420", \ "0.004439,0.007825,0.011835,0.020299,0.037541,0.072144,0.141426", \ "0.004832,0.008024,0.011930,0.020335,0.037563,0.072149,0.141422", \ "0.005307,0.008328,0.012079,0.020391,0.037587,0.072170,0.141425", \ "0.005811,0.008743,0.012297,0.020460,0.037620,0.072172,0.141427"); } } timing () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.058330,0.062470,0.065854,0.071540,0.081487,0.100162,0.136991", \ "0.059925,0.064064,0.067448,0.073134,0.083080,0.101756,0.138586", \ "0.063237,0.067376,0.070760,0.076447,0.086393,0.105068,0.141901", \ "0.067965,0.072110,0.075495,0.081182,0.091129,0.109805,0.146638", \ "0.073446,0.077618,0.081023,0.086739,0.096702,0.115385,0.152212", \ "0.078697,0.082917,0.086358,0.092101,0.102080,0.120771,0.157597", \ "0.082453,0.086768,0.090269,0.096079,0.106083,0.124781,0.161604"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.076776,0.082588,0.087431,0.096576,0.114790,0.151257,0.224115", \ "0.077657,0.083469,0.088313,0.097457,0.115670,0.152137,0.224997", \ "0.082441,0.088251,0.093096,0.102239,0.120454,0.156925,0.229780", \ "0.093437,0.099249,0.104094,0.113241,0.131449,0.167915,0.240774", \ "0.111767,0.117605,0.122453,0.131595,0.149761,0.186211,0.259064", \ "0.133739,0.139836,0.144788,0.153900,0.171942,0.208336,0.281150", \ "0.157162,0.163556,0.168685,0.177840,0.195752,0.232071,0.304857"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065985", \ "0.004698,0.006426,0.008142,0.011582,0.018811,0.034192,0.065985", \ "0.004699,0.006426,0.008142,0.011583,0.018811,0.034192,0.065982", \ "0.004713,0.006436,0.008152,0.011589,0.018814,0.034193,0.065985", \ "0.004826,0.006538,0.008240,0.011657,0.018856,0.034211,0.065989", \ "0.005012,0.006705,0.008389,0.011770,0.018922,0.034236,0.065994", \ "0.005334,0.007000,0.008651,0.011975,0.019047,0.034291,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005975,0.009002,0.012478,0.020536,0.037653,0.072227,0.141439", \ "0.005976,0.009001,0.012478,0.020535,0.037654,0.072228,0.141444", \ "0.005975,0.009002,0.012477,0.020537,0.037659,0.072216,0.141440", \ "0.005977,0.009002,0.012477,0.020536,0.037657,0.072217,0.141436", \ "0.006044,0.009062,0.012512,0.020548,0.037659,0.072225,0.141444", \ "0.006527,0.009571,0.012844,0.020670,0.037691,0.072238,0.141444", \ "0.007072,0.010215,0.013333,0.020872,0.037751,0.072264,0.141458"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.053425,0.057541,0.060908,0.066575,0.076508,0.095176,0.132009", \ "0.054987,0.059103,0.062471,0.068137,0.078069,0.096739,0.133568", \ "0.058218,0.062336,0.065703,0.071370,0.081302,0.099972,0.136803", \ "0.062723,0.066846,0.070218,0.075890,0.085825,0.104496,0.141326", \ "0.067622,0.071779,0.075173,0.080866,0.090828,0.109507,0.146335", \ "0.071943,0.076163,0.079600,0.085341,0.095316,0.114006,0.150833", \ "0.074384,0.078717,0.082228,0.088048,0.098044,0.116754,0.153577"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.074769,0.080275,0.085027,0.094171,0.112423,0.148908,0.221772", \ "0.075647,0.081153,0.085907,0.095051,0.113301,0.149786,0.222651", \ "0.080432,0.085936,0.090690,0.099835,0.118086,0.154574,0.227435", \ "0.091447,0.096952,0.101705,0.110850,0.129095,0.165578,0.238444", \ "0.109771,0.115306,0.120058,0.129198,0.147397,0.183869,0.256734", \ "0.131457,0.137228,0.142030,0.151117,0.169214,0.205627,0.278471", \ "0.154606,0.160652,0.165555,0.174636,0.192575,0.228930,0.301736"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004611,0.006346,0.008072,0.011528,0.018778,0.034177,0.065977", \ "0.004611,0.006347,0.008072,0.011529,0.018778,0.034177,0.065981", \ "0.004613,0.006347,0.008072,0.011529,0.018778,0.034177,0.065981", \ "0.004646,0.006375,0.008096,0.011544,0.018786,0.034180,0.065981", \ "0.004774,0.006489,0.008198,0.011623,0.018833,0.034201,0.065986", \ "0.005004,0.006697,0.008379,0.011761,0.018916,0.034232,0.065992", \ "0.005391,0.007049,0.008693,0.012006,0.019063,0.034297,0.066014"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005508,0.008521,0.012186,0.020428,0.037622,0.072191,0.141429", \ "0.005508,0.008522,0.012187,0.020428,0.037619,0.072195,0.141431", \ "0.005507,0.008520,0.012188,0.020431,0.037622,0.072196,0.141433", \ "0.005507,0.008520,0.012188,0.020428,0.037615,0.072186,0.141441", \ "0.005586,0.008579,0.012218,0.020437,0.037616,0.072192,0.141437", \ "0.006031,0.008974,0.012436,0.020507,0.037642,0.072206,0.141436", \ "0.006521,0.009487,0.012760,0.020619,0.037670,0.072230,0.141451"); } } timing () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049707,0.053792,0.057137,0.062776,0.072687,0.091347,0.128175", \ "0.051274,0.055359,0.058703,0.064343,0.074254,0.092912,0.129743", \ "0.054768,0.058854,0.062198,0.067838,0.077749,0.096408,0.133238", \ "0.060154,0.064248,0.067599,0.073245,0.083161,0.101820,0.138650", \ "0.065992,0.070123,0.073501,0.079174,0.089115,0.107784,0.144616", \ "0.070797,0.075004,0.078430,0.084159,0.094117,0.112799,0.149620", \ "0.073363,0.077696,0.081206,0.087015,0.097009,0.115712,0.152528"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.077251,0.082770,0.087523,0.096664,0.114911,0.151395,0.224259", \ "0.078150,0.083667,0.088419,0.097560,0.115807,0.152292,0.225158", \ "0.082949,0.088465,0.093220,0.102361,0.120607,0.157091,0.229961", \ "0.093991,0.099509,0.104264,0.113403,0.131649,0.168129,0.240997", \ "0.112449,0.117990,0.122744,0.131877,0.150089,0.186557,0.259423", \ "0.134690,0.140457,0.145258,0.154331,0.172437,0.208872,0.281702", \ "0.158368,0.164410,0.169310,0.178381,0.196315,0.232699,0.305506"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004510,0.006250,0.007985,0.011457,0.018731,0.034155,0.065975", \ "0.004510,0.006250,0.007985,0.011458,0.018731,0.034155,0.065972", \ "0.004509,0.006251,0.007985,0.011458,0.018732,0.034154,0.065972", \ "0.004555,0.006290,0.008016,0.011480,0.018744,0.034158,0.065971", \ "0.004708,0.006425,0.008135,0.011570,0.018795,0.034181,0.065978", \ "0.004983,0.006668,0.008348,0.011729,0.018890,0.034216,0.065986", \ "0.005424,0.007070,0.008702,0.012004,0.019055,0.034286,0.066005"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005535,0.008541,0.012199,0.020433,0.037624,0.072195,0.141438", \ "0.005534,0.008541,0.012198,0.020433,0.037616,0.072197,0.141435", \ "0.005535,0.008542,0.012198,0.020432,0.037617,0.072201,0.141431", \ "0.005536,0.008541,0.012198,0.020434,0.037621,0.072205,0.141427", \ "0.005596,0.008587,0.012221,0.020441,0.037619,0.072200,0.141428", \ "0.006031,0.008970,0.012433,0.020506,0.037641,0.072204,0.141443", \ "0.006521,0.009480,0.012755,0.020619,0.037668,0.072229,0.141447"); } } timing () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062979,0.067143,0.070542,0.076248,0.086209,0.104889,0.141718", \ "0.064310,0.068472,0.071871,0.077577,0.087537,0.106217,0.143047", \ "0.067658,0.071818,0.075218,0.080923,0.090884,0.109565,0.146394", \ "0.072576,0.076744,0.080143,0.085848,0.095810,0.114490,0.151322", \ "0.078524,0.082712,0.086130,0.091848,0.101826,0.120512,0.157340", \ "0.084450,0.088678,0.092124,0.097874,0.107856,0.126551,0.163376", \ "0.089355,0.093657,0.097150,0.102947,0.112952,0.131636,0.168462"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.080649,0.086460,0.091304,0.100448,0.118662,0.155129,0.227985", \ "0.082243,0.088054,0.092897,0.102042,0.120255,0.156720,0.229579", \ "0.087626,0.093438,0.098281,0.107426,0.125640,0.162107,0.234965", \ "0.096827,0.102638,0.107483,0.116628,0.134839,0.171302,0.244158", \ "0.111173,0.117009,0.121858,0.130993,0.149183,0.185632,0.258484", \ "0.128681,0.134691,0.139606,0.148751,0.166926,0.203343,0.276160", \ "0.148808,0.155004,0.160015,0.169124,0.187260,0.223637,0.296446"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004781,0.006500,0.008208,0.011634,0.018843,0.034206,0.065989", \ "0.004781,0.006499,0.008208,0.011634,0.018843,0.034206,0.065990", \ "0.004781,0.006499,0.008209,0.011634,0.018843,0.034205,0.065990", \ "0.004791,0.006507,0.008216,0.011639,0.018846,0.034207,0.065991", \ "0.004884,0.006591,0.008289,0.011695,0.018879,0.034221,0.065994", \ "0.005038,0.006729,0.008410,0.011789,0.018935,0.034243,0.065997", \ "0.005295,0.006964,0.008617,0.011949,0.019031,0.034284,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005973,0.009001,0.012478,0.020535,0.037656,0.072222,0.141440", \ "0.005974,0.009002,0.012478,0.020536,0.037658,0.072226,0.141448", \ "0.005973,0.009002,0.012478,0.020537,0.037654,0.072220,0.141444", \ "0.005973,0.009002,0.012478,0.020538,0.037655,0.072222,0.141438", \ "0.006034,0.009054,0.012507,0.020547,0.037661,0.072221,0.141439", \ "0.006343,0.009384,0.012722,0.020624,0.037678,0.072247,0.141455", \ "0.006678,0.009769,0.012995,0.020739,0.037718,0.072256,0.141464"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.057986,0.062127,0.065512,0.071198,0.081146,0.099822,0.136650", \ "0.059310,0.063451,0.066836,0.072523,0.082469,0.101144,0.137973", \ "0.062633,0.066775,0.070160,0.075846,0.085793,0.104468,0.141298", \ "0.067389,0.071536,0.074923,0.080613,0.090562,0.109238,0.146068", \ "0.072832,0.077006,0.080413,0.086123,0.096088,0.114770,0.151598", \ "0.077981,0.082202,0.085642,0.091386,0.101362,0.120053,0.156878", \ "0.081786,0.086094,0.089590,0.095395,0.105394,0.124084,0.160908"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.078640,0.084146,0.088899,0.098044,0.116293,0.152781,0.225647", \ "0.080234,0.085738,0.090491,0.099637,0.117886,0.154374,0.227244", \ "0.085616,0.091121,0.095875,0.105019,0.123271,0.159754,0.232624", \ "0.094818,0.100323,0.105076,0.114221,0.132468,0.168956,0.241815", \ "0.109142,0.114674,0.119428,0.128564,0.146789,0.183258,0.256123", \ "0.126497,0.132186,0.136972,0.146098,0.164311,0.200755,0.273584", \ "0.146466,0.152328,0.157166,0.166228,0.184397,0.220811,0.293644"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004700,0.006428,0.008144,0.011584,0.018812,0.034192,0.065985", \ "0.004702,0.006427,0.008144,0.011584,0.018812,0.034192,0.065983", \ "0.004701,0.006428,0.008144,0.011584,0.018812,0.034192,0.065985", \ "0.004722,0.006446,0.008159,0.011595,0.018818,0.034194,0.065986", \ "0.004830,0.006542,0.008244,0.011659,0.018856,0.034211,0.065989", \ "0.005010,0.006704,0.008386,0.011768,0.018922,0.034236,0.065994", \ "0.005317,0.006980,0.008632,0.011958,0.019035,0.034283,0.066009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005508,0.008521,0.012186,0.020430,0.037614,0.072188,0.141429", \ "0.005508,0.008521,0.012187,0.020428,0.037615,0.072185,0.141432", \ "0.005508,0.008521,0.012186,0.020428,0.037616,0.072193,0.141438", \ "0.005508,0.008522,0.012187,0.020428,0.037614,0.072187,0.141428", \ "0.005576,0.008569,0.012212,0.020439,0.037623,0.072191,0.141433", \ "0.005866,0.008829,0.012356,0.020483,0.037633,0.072200,0.141436", \ "0.006173,0.009133,0.012539,0.020547,0.037652,0.072210,0.141439"); } } timing () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.053515,0.057620,0.060979,0.066636,0.076560,0.095223,0.132054", \ "0.054873,0.058980,0.062339,0.067995,0.077919,0.096582,0.133412", \ "0.058446,0.062551,0.065910,0.071567,0.081491,0.100156,0.136987", \ "0.064136,0.068250,0.071614,0.077276,0.087202,0.105868,0.142696", \ "0.070669,0.074813,0.078199,0.083885,0.093830,0.112503,0.149332", \ "0.076483,0.080683,0.084108,0.089840,0.099800,0.118483,0.155306", \ "0.080482,0.084787,0.088279,0.094091,0.104077,0.122753,0.159570"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081129,0.086646,0.091402,0.100542,0.118788,0.155275,0.228138", \ "0.082750,0.088266,0.093021,0.102163,0.120410,0.156893,0.229758", \ "0.088126,0.093643,0.098396,0.107538,0.125784,0.162267,0.235137", \ "0.097336,0.102854,0.107608,0.116749,0.134996,0.171476,0.244343", \ "0.111839,0.117378,0.122133,0.131234,0.149473,0.185937,0.258796", \ "0.129568,0.135261,0.140047,0.149183,0.167419,0.203863,0.276664", \ "0.149980,0.155843,0.160680,0.169742,0.187923,0.224344,0.297159"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004589,0.006321,0.008047,0.011506,0.018761,0.034167,0.065979", \ "0.004589,0.006322,0.008047,0.011505,0.018761,0.034167,0.065979", \ "0.004587,0.006321,0.008047,0.011505,0.018761,0.034167,0.065976", \ "0.004617,0.006347,0.008069,0.011520,0.018769,0.034171,0.065979", \ "0.004749,0.006461,0.008169,0.011596,0.018813,0.034189,0.065983", \ "0.004972,0.006659,0.008341,0.011726,0.018889,0.034217,0.065987", \ "0.005332,0.006985,0.008627,0.011945,0.019019,0.034270,0.066001"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005536,0.008541,0.012199,0.020432,0.037616,0.072197,0.141441", \ "0.005532,0.008541,0.012199,0.020434,0.037620,0.072203,0.141439", \ "0.005534,0.008541,0.012199,0.020433,0.037619,0.072189,0.141431", \ "0.005535,0.008542,0.012198,0.020433,0.037621,0.072205,0.141434", \ "0.005589,0.008582,0.012219,0.020440,0.037620,0.072191,0.141432", \ "0.005876,0.008836,0.012358,0.020484,0.037635,0.072199,0.141443", \ "0.006179,0.009139,0.012542,0.020547,0.037658,0.072219,0.141448"); } } timing () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.052267,0.056408,0.059793,0.065479,0.075427,0.094102,0.130931", \ "0.053626,0.057767,0.061152,0.066839,0.076785,0.095461,0.132293", \ "0.058246,0.062386,0.065770,0.071458,0.081405,0.100079,0.136911", \ "0.067446,0.071594,0.074980,0.080667,0.090615,0.109291,0.146124", \ "0.077301,0.081482,0.084896,0.090591,0.100568,0.119259,0.156089", \ "0.085739,0.090009,0.093477,0.099210,0.109174,0.127870,0.164688", \ "0.092376,0.096813,0.100393,0.106260,0.116131,0.134851,0.171659"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.061728,0.067411,0.072221,0.081382,0.099631,0.136120,0.208979", \ "0.062782,0.068463,0.073273,0.082435,0.100685,0.137173,0.210033", \ "0.067575,0.073256,0.078066,0.087227,0.105476,0.141960,0.214824", \ "0.078689,0.084374,0.089186,0.098344,0.116588,0.153070,0.225933", \ "0.095100,0.100946,0.105794,0.114919,0.133110,0.169561,0.242411", \ "0.112861,0.119020,0.124008,0.133174,0.151264,0.187655,0.260469", \ "0.132041,0.138526,0.143729,0.152911,0.170932,0.207280,0.280062"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004702,0.006428,0.008144,0.011584,0.018812,0.034192,0.065985", \ "0.004700,0.006428,0.008144,0.011584,0.018812,0.034192,0.065983", \ "0.004700,0.006428,0.008144,0.011584,0.018812,0.034192,0.065986", \ "0.004720,0.006444,0.008157,0.011593,0.018817,0.034194,0.065984", \ "0.004897,0.006599,0.008292,0.011696,0.018879,0.034222,0.065990", \ "0.005237,0.006892,0.008543,0.011878,0.018976,0.034252,0.065999", \ "0.005798,0.007410,0.009001,0.012229,0.019180,0.034328,0.066014"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005726,0.008764,0.012334,0.020484,0.037637,0.072203,0.141433", \ "0.005726,0.008763,0.012335,0.020487,0.037640,0.072210,0.141434", \ "0.005725,0.008764,0.012334,0.020485,0.037637,0.072212,0.141443", \ "0.005736,0.008773,0.012339,0.020489,0.037644,0.072210,0.141434", \ "0.006058,0.009070,0.012511,0.020540,0.037653,0.072215,0.141450", \ "0.006613,0.009682,0.012927,0.020699,0.037697,0.072236,0.141443", \ "0.007215,0.010411,0.013501,0.020949,0.037770,0.072258,0.141461"); } } timing () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047316,0.051431,0.054799,0.060466,0.070398,0.089067,0.125899", \ "0.048642,0.052758,0.056125,0.061792,0.071723,0.090392,0.127225", \ "0.053249,0.057367,0.060734,0.066401,0.076333,0.095003,0.131834", \ "0.061902,0.066027,0.069401,0.075079,0.085018,0.103689,0.140520", \ "0.070250,0.074426,0.077833,0.083520,0.093483,0.112168,0.149001", \ "0.077275,0.081557,0.085032,0.090768,0.100689,0.119385,0.156200", \ "0.082448,0.086925,0.090533,0.096428,0.106312,0.125024,0.161830"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.059695,0.065085,0.069827,0.078999,0.097285,0.133785,0.206657", \ "0.060742,0.066131,0.070874,0.080046,0.098331,0.134833,0.207703", \ "0.065542,0.070929,0.075672,0.084842,0.103129,0.139630,0.212499", \ "0.076683,0.082075,0.086817,0.095984,0.114265,0.150761,0.223628", \ "0.092911,0.098447,0.103201,0.112333,0.130556,0.167026,0.239890", \ "0.110370,0.116179,0.120997,0.130114,0.148249,0.184669,0.257500", \ "0.129238,0.135359,0.140304,0.149389,0.167448,0.203826,0.276630"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004610,0.006347,0.008072,0.011529,0.018778,0.034177,0.065981", \ "0.004610,0.006346,0.008072,0.011528,0.018778,0.034177,0.065977", \ "0.004613,0.006347,0.008072,0.011528,0.018778,0.034177,0.065978", \ "0.004672,0.006396,0.008114,0.011558,0.018793,0.034182,0.065981", \ "0.004876,0.006576,0.008271,0.011676,0.018862,0.034214,0.065989", \ "0.005285,0.006932,0.008573,0.011897,0.018985,0.034251,0.065997", \ "0.005912,0.007521,0.009104,0.012309,0.019228,0.034345,0.066016"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005255,0.008328,0.012088,0.020397,0.037604,0.072175,0.141429", \ "0.005253,0.008328,0.012087,0.020396,0.037605,0.072182,0.141432", \ "0.005255,0.008329,0.012089,0.020400,0.037610,0.072189,0.141434", \ "0.005266,0.008336,0.012093,0.020397,0.037601,0.072185,0.141437", \ "0.005587,0.008576,0.012214,0.020437,0.037613,0.072184,0.141422", \ "0.006081,0.009032,0.012472,0.020520,0.037643,0.072205,0.141435", \ "0.006626,0.009622,0.012856,0.020659,0.037681,0.072222,0.141440"); } } timing () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.043228,0.047277,0.050599,0.056213,0.066106,0.084758,0.121592", \ "0.044592,0.048641,0.051964,0.057578,0.067471,0.086122,0.122955", \ "0.049440,0.053490,0.056812,0.062427,0.072320,0.090971,0.127802", \ "0.057808,0.061869,0.065203,0.070832,0.080735,0.099389,0.136221", \ "0.065291,0.069404,0.072769,0.078421,0.088344,0.107007,0.143838", \ "0.071193,0.075425,0.078862,0.084569,0.094470,0.113145,0.149958", \ "0.074933,0.079363,0.082936,0.088788,0.098682,0.117362,0.154160"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.059685,0.065073,0.069816,0.078987,0.097272,0.133774,0.206644", \ "0.060651,0.066039,0.070782,0.079954,0.098240,0.134741,0.207612", \ "0.065409,0.070798,0.075540,0.084712,0.102997,0.139497,0.212368", \ "0.076684,0.082077,0.086819,0.095986,0.114266,0.150764,0.223630", \ "0.093130,0.098664,0.103418,0.112545,0.130774,0.167244,0.240105", \ "0.110931,0.116733,0.121550,0.130661,0.148791,0.185215,0.258058", \ "0.130284,0.136392,0.141330,0.150428,0.168520,0.204867,0.277685"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004395,0.006150,0.007895,0.011389,0.018689,0.034135,0.065966", \ "0.004395,0.006150,0.007896,0.011389,0.018689,0.034135,0.065967", \ "0.004397,0.006150,0.007896,0.011389,0.018690,0.034135,0.065967", \ "0.004487,0.006224,0.007957,0.011431,0.018711,0.034142,0.065968", \ "0.004701,0.006409,0.008116,0.011549,0.018777,0.034171,0.065975", \ "0.005137,0.006783,0.008432,0.011778,0.018903,0.034208,0.065983", \ "0.005775,0.007382,0.008970,0.012192,0.019146,0.034299,0.065999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005255,0.008327,0.012088,0.020400,0.037601,0.072193,0.141426", \ "0.005253,0.008327,0.012087,0.020397,0.037606,0.072189,0.141433", \ "0.005256,0.008328,0.012088,0.020397,0.037606,0.072181,0.141435", \ "0.005267,0.008336,0.012093,0.020399,0.037607,0.072177,0.141437", \ "0.005582,0.008574,0.012213,0.020434,0.037621,0.072198,0.141424", \ "0.006071,0.009020,0.012465,0.020518,0.037645,0.072213,0.141435", \ "0.006602,0.009594,0.012837,0.020651,0.037677,0.072214,0.141436"); } } timing () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.056894,0.061055,0.064456,0.070160,0.080120,0.098802,0.135632", \ "0.057973,0.062135,0.065534,0.071240,0.081200,0.099881,0.136712", \ "0.062523,0.066685,0.070085,0.075790,0.085750,0.104431,0.141261", \ "0.071952,0.076121,0.079521,0.085223,0.095184,0.113864,0.150698", \ "0.083225,0.087416,0.090838,0.096554,0.106539,0.125232,0.162061", \ "0.093281,0.097547,0.101013,0.106746,0.116681,0.135380,0.172201", \ "0.101753,0.106154,0.109711,0.115550,0.125386,0.144075,0.180893"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.065730,0.071412,0.076223,0.085384,0.103633,0.140119,0.212978", \ "0.067499,0.073182,0.077992,0.087154,0.105402,0.141889,0.214749", \ "0.072520,0.078201,0.083011,0.092172,0.110421,0.146908,0.219772", \ "0.081467,0.087152,0.091962,0.101120,0.119365,0.155847,0.228705", \ "0.094458,0.100252,0.105090,0.114220,0.132435,0.168897,0.241736", \ "0.109397,0.115391,0.120304,0.129449,0.147603,0.184033,0.256876", \ "0.126443,0.132659,0.137687,0.146876,0.164980,0.201389,0.274213"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004781,0.006500,0.008209,0.011634,0.018843,0.034206,0.065991", \ "0.004781,0.006500,0.008208,0.011634,0.018843,0.034206,0.065991", \ "0.004781,0.006499,0.008209,0.011634,0.018843,0.034205,0.065991", \ "0.004790,0.006506,0.008214,0.011638,0.018845,0.034206,0.065989", \ "0.004930,0.006633,0.008324,0.011722,0.018896,0.034227,0.065993", \ "0.005217,0.006878,0.008533,0.011874,0.018977,0.034255,0.066003", \ "0.005691,0.007308,0.008911,0.012158,0.019139,0.034313,0.066013"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005724,0.008764,0.012334,0.020486,0.037639,0.072206,0.141434", \ "0.005727,0.008764,0.012333,0.020486,0.037637,0.072210,0.141445", \ "0.005725,0.008764,0.012333,0.020488,0.037642,0.072203,0.141431", \ "0.005734,0.008769,0.012339,0.020486,0.037642,0.072214,0.141434", \ "0.005948,0.008970,0.012454,0.020524,0.037649,0.072214,0.141438", \ "0.006293,0.009342,0.012694,0.020616,0.037675,0.072243,0.141449", \ "0.006694,0.009800,0.013025,0.020748,0.037720,0.072247,0.141446"); } } timing () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051855,0.055994,0.059379,0.065065,0.075011,0.093686,0.130515", \ "0.052928,0.057068,0.060451,0.066138,0.076084,0.094760,0.131592", \ "0.057486,0.061625,0.065009,0.070695,0.080642,0.099317,0.136147", \ "0.066695,0.070843,0.074231,0.079919,0.089869,0.108546,0.145377", \ "0.076571,0.080754,0.084168,0.089907,0.099855,0.118544,0.155374", \ "0.085288,0.089556,0.093022,0.098774,0.108731,0.127429,0.164246", \ "0.092453,0.096877,0.100447,0.106300,0.116194,0.134895,0.171705"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.063698,0.069087,0.073830,0.083002,0.101287,0.137789,0.210658", \ "0.065463,0.070853,0.075596,0.084767,0.103053,0.139554,0.212423", \ "0.070481,0.075870,0.080612,0.089783,0.108070,0.144572,0.217441", \ "0.079433,0.084824,0.089566,0.098733,0.117014,0.153513,0.226387", \ "0.092308,0.097797,0.102548,0.111696,0.129939,0.166416,0.239275", \ "0.107086,0.112747,0.117531,0.126661,0.144852,0.181307,0.254163", \ "0.123932,0.129806,0.134651,0.143768,0.161939,0.198378,0.271214"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004698,0.006425,0.008143,0.011583,0.018811,0.034192,0.065984", \ "0.004700,0.006426,0.008142,0.011583,0.018811,0.034192,0.065982", \ "0.004700,0.006426,0.008143,0.011583,0.018811,0.034192,0.065985", \ "0.004729,0.006451,0.008164,0.011598,0.018819,0.034195,0.065985", \ "0.004899,0.006601,0.008295,0.011697,0.018878,0.034221,0.065990", \ "0.005234,0.006889,0.008539,0.011874,0.018974,0.034251,0.066000", \ "0.005756,0.007368,0.008962,0.012197,0.019160,0.034319,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005255,0.008328,0.012088,0.020398,0.037602,0.072186,0.141425", \ "0.005254,0.008327,0.012087,0.020396,0.037607,0.072183,0.141428", \ "0.005254,0.008328,0.012088,0.020396,0.037609,0.072185,0.141437", \ "0.005260,0.008332,0.012090,0.020400,0.037603,0.072192,0.141422", \ "0.005480,0.008495,0.012172,0.020424,0.037612,0.072199,0.141427", \ "0.005798,0.008774,0.012327,0.020474,0.037631,0.072208,0.141433", \ "0.006171,0.009143,0.012547,0.020550,0.037655,0.072209,0.141443"); } } timing () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; sdf_cond : "(A == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047014,0.051085,0.054422,0.060052,0.069957,0.088613,0.125447", \ "0.048166,0.052237,0.055572,0.061203,0.071108,0.089764,0.126598", \ "0.052961,0.057032,0.060369,0.066000,0.075905,0.094561,0.131393", \ "0.062074,0.066152,0.069496,0.075134,0.085044,0.103701,0.140534", \ "0.070997,0.075116,0.078487,0.084165,0.094079,0.112747,0.149581", \ "0.078492,0.082703,0.086129,0.091839,0.101762,0.120438,0.157254", \ "0.084161,0.088538,0.092071,0.097878,0.107775,0.126465,0.163269"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.063690,0.069078,0.073820,0.082992,0.101276,0.137778,0.210652", \ "0.065383,0.070772,0.075516,0.084687,0.102972,0.139475,0.212342", \ "0.070358,0.075746,0.080488,0.089660,0.107945,0.144447,0.217316", \ "0.079354,0.084747,0.089489,0.098657,0.116936,0.153439,0.226303", \ "0.092329,0.097818,0.102569,0.111707,0.129949,0.166432,0.239293", \ "0.107341,0.113000,0.117784,0.126915,0.145126,0.181570,0.254424", \ "0.124654,0.130519,0.135363,0.144494,0.162660,0.199073,0.271915"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004472,0.006217,0.007955,0.011434,0.018717,0.034148,0.065971", \ "0.004471,0.006217,0.007955,0.011434,0.018717,0.034148,0.065970", \ "0.004472,0.006218,0.007955,0.011435,0.018716,0.034147,0.065972", \ "0.004522,0.006259,0.007990,0.011459,0.018729,0.034151,0.065974", \ "0.004713,0.006423,0.008130,0.011561,0.018787,0.034177,0.065979", \ "0.005073,0.006728,0.008388,0.011747,0.018888,0.034206,0.065984", \ "0.005609,0.007217,0.008819,0.012073,0.019075,0.034271,0.065993"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005255,0.008328,0.012088,0.020397,0.037601,0.072178,0.141424", \ "0.005256,0.008328,0.012088,0.020398,0.037603,0.072193,0.141427", \ "0.005252,0.008328,0.012088,0.020398,0.037605,0.072186,0.141436", \ "0.005261,0.008333,0.012089,0.020396,0.037605,0.072191,0.141421", \ "0.005480,0.008495,0.012172,0.020427,0.037612,0.072186,0.141426", \ "0.005795,0.008772,0.012323,0.020473,0.037628,0.072207,0.141432", \ "0.006159,0.009132,0.012540,0.020550,0.037652,0.072210,0.141440"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.250410,11.969930,12.560820,13.140680,13.536400,13.749350,13.853730", \ "11.231210,11.956560,12.516940,13.107080,13.506850,13.722520,13.825650", \ "11.178870,11.910330,12.463920,13.047430,13.448700,13.660900,13.767060", \ "11.122790,11.926060,12.492130,13.075820,13.465510,13.678430,13.783240", \ "11.485920,12.156260,12.732240,13.306210,13.719380,13.935270,14.046560", \ "12.080190,12.774570,13.340730,13.937500,14.332300,14.541630,14.647700", \ "13.132050,13.787130,14.352780,14.956870,15.413030,15.613890,15.692530"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.414240,16.351220,16.904370,17.243670,17.449780,17.910260,17.543290", \ "15.386130,16.311970,16.761430,17.221470,17.639950,17.946180,17.231750", \ "15.378140,16.276260,16.798370,17.208350,17.712040,17.164840,17.763980", \ "15.655650,16.547840,17.033130,17.612080,17.889150,17.338030,17.526010", \ "16.046040,17.265450,17.825820,18.395080,18.399550,18.528980,18.438830", \ "16.979340,17.997290,18.705260,19.461760,19.882330,20.008960,19.337640", \ "18.230660,19.555140,20.126370,20.972020,21.784620,21.933120,22.202920"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.658260,11.372870,11.975810,12.534490,12.910600,13.096840,13.185600", \ "10.623840,11.368860,11.917080,12.496990,12.874230,13.057200,13.147610", \ "10.563130,11.253850,11.857200,12.434500,12.805090,12.994850,13.082760", \ "10.570480,11.354120,11.898740,12.459650,12.844190,13.034720,13.119000", \ "10.867950,11.614470,12.149210,12.746820,13.133160,13.332210,13.415690", \ "11.586710,12.270760,12.858840,13.430800,13.810750,13.976710,14.082050", \ "12.723300,13.343580,13.908410,14.529160,14.958560,15.156920,15.208480"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.080920,15.932410,16.331340,16.704320,16.892330,16.963920,16.814900", \ "15.059340,15.919670,16.276560,16.590880,16.864190,17.007980,16.872950", \ "15.015690,15.798080,16.206610,16.588700,16.775950,16.836270,16.894370", \ "15.312430,16.152070,16.442980,16.767430,17.077640,17.167480,17.072740", \ "15.804530,16.700600,17.215100,17.606470,17.803900,17.994410,18.089710", \ "16.663780,17.654940,18.115790,18.702120,19.084540,19.195820,18.938130", \ "18.054970,18.993610,19.575470,20.278880,20.804690,20.738440,20.464260"); } } internal_power () { related_pin : "A"; when : "!B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.618390,11.350750,11.955110,12.497200,12.868150,13.036950,13.120470", \ "10.590540,11.317840,11.900910,12.464070,12.825250,13.003810,13.087530", \ "10.534780,11.292830,11.858590,12.397620,12.772160,12.935340,13.018520", \ "10.598660,11.323220,11.884700,12.447590,12.826020,12.993370,13.079730", \ "10.890700,11.636820,12.215740,12.763370,13.139360,13.328890,13.415240", \ "11.627250,12.367540,12.922280,13.480420,13.848560,14.012110,14.107220", \ "12.757300,13.403110,13.960090,14.607820,15.042500,15.222850,15.264180"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.390590,16.286000,16.632700,17.047210,17.189480,17.085680,16.856530", \ "15.355210,16.249140,16.585960,16.956890,17.243230,17.055160,17.345410", \ "15.344590,16.207780,16.594730,16.976410,17.109470,16.900940,17.357590", \ "15.605310,16.447970,16.854750,17.241560,17.441990,17.430640,17.080340", \ "16.136980,17.133150,17.602520,17.834600,18.033760,18.245820,17.620470", \ "16.989460,17.957850,18.531000,19.120700,19.433300,19.512450,19.155830", \ "18.384240,19.331750,19.958330,20.591390,21.118770,21.393860,21.470130"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.658260,11.372870,11.975810,12.534490,12.910600,13.096840,13.185600", \ "10.623840,11.368860,11.917080,12.496990,12.874230,13.057200,13.147610", \ "10.563130,11.253850,11.857200,12.434500,12.805090,12.994850,13.082760", \ "10.570480,11.354120,11.898740,12.459650,12.844190,13.034720,13.119000", \ "10.867950,11.614470,12.149210,12.746820,13.133160,13.332210,13.415690", \ "11.586710,12.270760,12.858840,13.430800,13.810750,13.976710,14.082050", \ "12.723300,13.343580,13.908410,14.529160,14.958560,15.156920,15.208480"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.080920,15.932410,16.331340,16.704320,16.892330,16.963920,16.814900", \ "15.059340,15.919670,16.276560,16.590880,16.864190,17.007980,16.872950", \ "15.015690,15.798080,16.206610,16.588700,16.775950,16.836270,16.894370", \ "15.312430,16.152070,16.442980,16.767430,17.077640,17.167480,17.072740", \ "15.804530,16.700600,17.215100,17.606470,17.803900,17.994410,18.089710", \ "16.663780,17.654940,18.115790,18.702120,19.084540,19.195820,18.938130", \ "18.054970,18.993610,19.575470,20.278880,20.804690,20.738440,20.464260"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.085790,10.804750,11.368620,11.929170,12.285940,12.451100,12.518350", \ "10.045920,10.789510,11.335180,11.894260,12.247910,12.411730,12.480940", \ "9.947781,10.711300,11.257370,11.809100,12.171950,12.325560,12.392810", \ "10.020210,10.773020,11.318190,11.860800,12.218470,12.392120,12.451630", \ "10.408370,11.082600,11.623800,12.166800,12.559700,12.734620,12.797420", \ "11.123930,11.819080,12.374950,12.934820,13.319590,13.457380,13.537510", \ "12.285590,12.952630,13.501460,14.099990,14.573090,14.750300,14.770510"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.771890,15.372440,15.670890,15.960300,16.106180,16.269810,16.392690", \ "14.716170,15.371910,15.594430,15.896080,15.996660,16.248270,16.070390", \ "14.694160,15.340300,15.554150,15.845640,16.064080,15.476460,15.546210", \ "14.992100,15.551940,15.852100,16.026180,16.162570,15.597380,16.645390", \ "15.475190,16.263610,16.555940,16.709760,16.755270,16.439180,17.236240", \ "16.268690,17.142760,17.458830,17.724290,18.167250,18.375870,17.223390", \ "17.653960,18.588830,18.971980,19.269820,19.797500,19.661660,20.116230"); } } internal_power () { related_pin : "A"; when : "B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.059890,10.798270,11.381920,11.910830,12.252200,12.404570,12.473100", \ "10.022820,10.758490,11.345590,11.868980,12.212840,12.371370,12.436360", \ "9.891210,10.725060,11.238940,11.796600,12.131820,12.296340,12.352800", \ "10.038500,10.758530,11.335800,11.863120,12.209410,12.369680,12.433080", \ "10.370090,11.122180,11.656560,12.209630,12.564870,12.729620,12.797580", \ "11.240670,11.913920,12.434720,13.000020,13.359440,13.488600,13.567730", \ "12.379250,13.007240,13.591990,14.183580,14.644810,14.817220,14.826120"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.100320,15.681790,15.978430,16.273830,16.472720,15.899870,16.433560", \ "15.059050,15.712310,15.954890,16.075090,16.471740,16.501170,16.109520", \ "15.020520,15.654750,15.835360,16.189990,16.131880,15.647220,15.637870", \ "15.294870,15.946020,16.194490,16.434170,16.267540,15.916730,16.651120", \ "15.739620,16.590660,16.929240,16.990710,17.364450,17.455660,17.310910", \ "16.672490,17.491530,17.885330,18.229710,18.401900,18.550740,18.351000", \ "18.114320,18.894610,19.363590,19.699780,19.901520,20.119880,19.995660"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.591990,11.411810,11.981200,12.518400,12.854430,13.026980,13.112940", \ "10.604250,11.357780,11.941170,12.493590,12.829560,12.990890,13.079990", \ "10.488310,11.280470,11.875030,12.401140,12.757740,12.922610,13.007340", \ "10.596570,11.333680,11.912530,12.448460,12.797960,12.973220,13.057150", \ "10.894420,11.683480,12.200510,12.749260,13.100370,13.285440,13.358620", \ "11.610550,12.328550,12.928520,13.468260,13.826100,13.962700,14.058260", \ "12.717000,13.391010,13.985330,14.607600,15.027050,15.216030,15.245240"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.055420,15.941500,16.329410,16.693090,16.944660,16.368850,16.814650", \ "15.006430,15.889380,16.273110,16.562180,16.736710,16.769870,16.488200", \ "14.984700,15.795530,16.133780,16.553740,16.827680,16.061750,16.363070", \ "15.302420,16.087760,16.418820,16.721380,16.963970,17.233020,17.071250", \ "15.848210,16.778780,17.286870,17.620170,17.872340,17.745400,17.711510", \ "16.719470,17.653790,18.220480,18.770470,19.134390,18.645700,19.224020", \ "18.130190,19.129020,19.656060,20.401280,20.862310,20.359280,20.244300"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.074460,10.819870,11.392540,11.942580,12.249940,12.406060,12.463290", \ "10.038960,10.777480,11.352020,11.901030,12.210700,12.355980,12.423230", \ "9.952091,10.730570,11.282990,11.818900,12.131240,12.288840,12.344700", \ "9.998612,10.795360,11.341930,11.866140,12.196340,12.339830,12.410210", \ "10.428700,11.150610,11.687000,12.197600,12.531970,12.691020,12.762490", \ "11.156520,11.922910,12.443930,13.010860,13.351570,13.462660,13.541290", \ "12.393060,12.990420,13.589740,14.220300,14.649080,14.831400,14.844130"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.758140,15.355080,15.615880,15.723240,16.019620,16.253340,16.391390", \ "14.713640,15.355200,15.592530,15.866120,15.999670,16.189640,16.068280", \ "14.582230,15.272760,15.522830,15.828860,15.935000,15.717610,15.914800", \ "14.979400,15.596520,15.854080,16.129590,16.223650,16.395730,16.642960", \ "15.452500,16.242040,16.604600,16.909300,17.014820,16.610710,17.213520", \ "16.432070,17.217080,17.613620,17.987390,18.044330,18.393230,18.487080", \ "17.890440,18.696630,19.073290,19.532110,19.868030,20.159580,19.901540"); } } internal_power () { related_pin : "A"; when : "B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.049350,10.856330,11.412120,11.920110,12.224790,12.365540,12.426550", \ "10.010000,10.823600,11.373170,11.876670,12.190820,12.331640,12.380660", \ "9.942144,10.737990,11.290680,11.812800,12.116180,12.254150,12.311130", \ "10.024970,10.842440,11.374660,11.880060,12.183590,12.326600,12.389970", \ "10.457210,11.218610,11.733070,12.237030,12.551550,12.700680,12.769400", \ "11.221560,12.013520,12.541230,13.072760,13.392630,13.505700,13.571060", \ "12.420300,13.114800,13.683470,14.308820,14.728230,14.891310,14.913500"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.058550,15.715580,16.006540,16.253820,16.487300,15.905080,16.605880", \ "15.001310,15.680390,15.854490,16.199910,16.379290,16.019420,16.106750", \ "14.950120,15.591930,15.875110,16.012900,16.363700,16.105540,15.608600", \ "15.278010,15.910790,16.172460,16.364850,16.491940,16.738800,16.649700", \ "15.859400,16.672750,16.935120,17.118980,17.035120,17.591510,17.104650", \ "16.763310,17.549200,17.896730,18.346110,18.508460,18.332300,18.262990", \ "18.246340,19.068600,19.441020,19.850690,19.928900,20.034600,20.400580"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.707620,11.411020,12.026290,12.579250,12.966260,13.149830,13.236240", \ "10.646020,11.413860,12.026060,12.589520,12.975190,13.154700,13.243490", \ "10.619890,11.421700,11.969200,12.535320,12.909090,13.096260,13.177480", \ "10.579230,11.324820,11.943430,12.507940,12.878450,13.067990,13.149730", \ "10.776040,11.480640,12.066620,12.621030,13.005640,13.198340,13.290810", \ "11.284220,11.992080,12.553590,13.123330,13.515340,13.660860,13.755240", \ "12.060610,12.797990,13.318200,13.980340,14.439690,14.644530,14.683680"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.864210,16.857870,17.287180,17.901540,18.526260,18.874030,19.080060", \ "15.794150,16.825630,17.232850,17.897280,18.393480,18.630300,18.557940", \ "15.751380,16.759150,17.234950,17.887230,18.473820,18.488700,18.419380", \ "15.896750,16.892290,17.387310,17.922810,18.185620,18.765270,18.764040", \ "16.584520,17.550790,17.956250,18.510200,19.245460,19.246620,19.248180", \ "17.625560,18.624590,19.130220,19.769840,20.469500,20.431490,20.711090", \ "18.778890,19.967320,20.436190,21.251690,22.038000,22.350540,21.768950"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.127720,10.850700,11.443730,11.987600,12.347790,12.501080,12.564410", \ "10.118380,10.879460,11.438350,11.993360,12.337160,12.502500,12.572540", \ "10.063220,10.807500,11.368220,11.907470,12.267570,12.424880,12.493380", \ "10.022800,10.777250,11.329390,11.874830,12.230660,12.391300,12.466050", \ "10.207590,10.924230,11.473710,12.019560,12.398470,12.568020,12.632190", \ "10.742680,11.465650,12.018070,12.604040,12.973670,13.101450,13.173180", \ "11.622370,12.269410,12.879290,13.497040,13.961670,14.167490,14.193910"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.647930,16.420690,16.719380,17.278930,17.580810,17.669240,16.975230", \ "15.588360,16.363290,16.703900,17.210040,17.533880,17.217030,16.831900", \ "15.525290,16.245320,16.719760,17.104870,17.301730,17.014450,16.690520", \ "15.662600,16.390580,16.797790,17.316580,17.498530,17.017610,17.973060", \ "16.335740,17.063640,17.491200,17.954630,18.336310,18.003480,18.658680", \ "17.263110,18.083150,18.511770,19.058030,19.460540,19.469690,19.303260", \ "18.573440,19.442080,19.863020,20.235480,20.980770,21.028670,21.113970"); } } internal_power () { related_pin : "B1"; when : "A & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.111780,10.847520,11.427380,11.962730,12.311940,12.454630,12.526450", \ "10.112080,10.851850,11.422540,11.962690,12.310220,12.462980,12.527570", \ "10.037770,10.799590,11.354410,11.883580,12.226870,12.379410,12.449640", \ "9.938619,10.728640,11.330930,11.856300,12.201390,12.356750,12.424980", \ "10.229840,10.932830,11.501850,12.045270,12.391320,12.557090,12.619120", \ "10.846530,11.532560,12.083510,12.639400,12.991490,13.113540,13.175130", \ "11.634160,12.333410,12.878320,13.544510,14.000240,14.196260,14.215200"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.943280,16.716120,17.155360,17.541880,17.917540,17.565090,18.034710", \ "15.933340,16.659970,17.137530,17.604290,17.961300,18.099630,17.889780", \ "15.932110,16.713660,17.066770,17.378510,17.995380,17.738360,17.748790", \ "16.004250,16.818320,17.261910,17.706060,17.813980,17.891060,18.293320", \ "16.683680,17.467460,17.761870,18.253310,18.659510,18.720120,18.310250", \ "17.618890,18.491850,18.969180,19.435470,19.527290,19.738790,20.154620", \ "18.958980,19.863590,20.275200,20.800300,21.382080,21.544810,21.221730"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.194620,11.935800,12.524040,13.102620,13.511840,13.706020,13.809320", \ "11.130770,11.883190,12.474770,13.060520,13.450600,13.664830,13.768180", \ "11.054510,11.828730,12.407960,13.006750,13.401830,13.616020,13.708130", \ "11.067990,11.838720,12.400850,12.988690,13.406970,13.606230,13.717870", \ "11.286540,11.973750,12.518760,13.122100,13.526510,13.748140,13.850150", \ "11.681130,12.418840,12.959180,13.561960,13.954360,14.137420,14.255560", \ "12.461360,13.156790,13.705620,14.344790,14.780570,14.996760,15.037660"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.684420,17.554510,18.069080,18.738700,18.892720,19.704450,19.812930", \ "16.628190,17.537290,18.095620,18.625300,19.046910,19.323830,19.462000", \ "16.593420,17.490390,18.034400,18.687350,19.215470,19.346030,19.157210", \ "16.603030,17.568170,17.993210,18.551900,19.021900,19.261600,19.725710", \ "16.847130,17.790100,18.340870,18.987830,19.120150,19.788780,19.678900", \ "17.338170,18.361540,18.896190,19.572650,20.227040,20.153000,20.014880", \ "18.036230,19.213670,19.745390,20.459950,21.116070,21.573630,21.671540"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.624370,11.368540,11.931890,12.501950,12.874300,13.060580,13.151300", \ "10.569020,11.278060,11.875840,12.446490,12.831630,13.014530,13.092700", \ "10.524180,11.282360,11.828940,12.396400,12.781600,12.957130,13.034130", \ "10.487890,11.213290,11.831400,12.390530,12.774630,12.958980,13.047890", \ "10.705440,11.415850,11.963290,12.513180,12.912030,13.098160,13.200020", \ "11.165760,11.882160,12.449300,13.021430,13.400590,13.543510,13.649280", \ "12.028390,12.644980,13.248080,13.826720,14.276210,14.466800,14.503800"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.426260,17.201110,17.496040,17.961540,18.386390,18.517230,18.064920", \ "16.297110,17.169160,17.423850,18.003140,18.317450,18.432000,18.175180", \ "16.336660,17.132900,17.408890,17.756590,18.100330,18.572930,18.781280", \ "16.339810,17.114520,17.529430,17.963740,18.172850,18.429790,17.712500", \ "16.641580,17.325460,17.716200,18.223880,18.619640,17.982290,18.662390", \ "17.078400,17.949630,18.336150,18.683800,19.054740,19.330170,19.127170", \ "17.913150,18.765740,19.171330,19.756090,20.180590,20.284740,19.971680"); } } internal_power () { related_pin : "B2"; when : "A & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.602320,11.323530,11.919200,12.468380,12.822440,12.998350,13.084540", \ "10.551990,11.317940,11.850390,12.408790,12.779280,12.948170,13.040540", \ "10.467530,11.235120,11.820890,12.364520,12.718060,12.896290,12.977910", \ "10.482010,11.202370,11.823040,12.359900,12.727070,12.907950,12.992120", \ "10.671820,11.382750,11.952000,12.518190,12.883470,13.076470,13.163390", \ "11.153140,11.930450,12.452290,13.046880,13.404370,13.545050,13.639770", \ "12.006860,12.737380,13.263330,13.881330,14.300280,14.494450,14.509150"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.760820,17.490730,17.936810,18.222140,18.840460,18.969700,19.115990", \ "16.752540,17.450010,17.932860,18.370520,18.412800,18.540500,18.790900", \ "16.676710,17.499270,17.842650,18.273870,18.315070,18.953160,18.487390", \ "16.705010,17.500940,17.809950,18.340860,18.478430,18.512140,18.762530", \ "17.008240,17.753520,18.125180,18.430930,19.044130,18.913890,18.804680", \ "17.435860,18.281940,18.705290,19.207840,19.728350,19.607580,20.019810", \ "18.214000,19.053430,19.479970,19.928300,20.727950,20.524750,20.753780"); } } internal_power () { related_pin : "C1"; when : "A & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.684020,11.400520,12.006330,12.578840,12.953260,13.145710,13.220530", \ "10.700820,11.440780,12.016540,12.592010,12.970800,13.155370,13.234770", \ "10.642220,11.398730,12.002320,12.566170,12.956440,13.126690,13.218860", \ "10.851450,11.566410,12.180230,12.745200,13.121200,13.297880,13.388490", \ "11.401550,12.124160,12.630970,13.216850,13.622130,13.818010,13.911200", \ "12.331870,13.014810,13.582720,14.131480,14.534580,14.694990,14.798310", \ "13.741700,14.264800,14.848230,15.460230,15.922730,16.112430,16.163610"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.701330,14.516810,14.959720,15.522940,16.089380,16.105580,16.094610", \ "13.665500,14.461550,14.863080,15.561500,16.044820,15.953000,15.907840", \ "13.506710,14.481530,14.915740,15.318050,15.926150,16.205830,15.760220", \ "13.680700,14.636260,14.984370,15.702380,16.192170,16.391540,15.704300", \ "14.363620,15.430970,15.879290,16.305590,16.881610,16.620390,17.020850", \ "15.327970,16.371570,16.892220,17.557600,18.125780,17.971080,17.388310", \ "16.661870,17.758030,18.274840,18.806340,19.664190,19.536850,19.529010"); } } internal_power () { related_pin : "C1"; when : "A & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.071210,10.866580,11.439200,11.986030,12.336640,12.502860,12.566020", \ "10.055020,10.874190,11.442680,11.979190,12.338220,12.500610,12.564540", \ "10.061350,10.862550,11.415700,11.956800,12.308020,12.475240,12.535050", \ "10.294050,11.031840,11.594580,12.162190,12.529060,12.696870,12.762490", \ "10.911900,11.603550,12.133650,12.667550,13.067280,13.250590,13.318570", \ "11.900180,12.595520,13.128180,13.694910,14.070120,14.205320,14.287840", \ "13.336270,13.881140,14.452630,15.067110,15.538810,15.728260,15.743200"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.405370,14.107670,14.465660,14.687080,15.079070,15.341160,14.588300", \ "13.323460,14.087200,14.408280,14.732430,14.705330,15.001530,15.336890", \ "13.331720,14.023780,14.385290,14.801870,15.031450,14.938330,15.409900", \ "13.504960,14.185670,14.576770,14.895230,15.158660,15.486230,15.395880", \ "14.186870,14.985040,15.285210,15.525460,15.811940,15.278330,15.427160", \ "15.037430,15.794620,16.221810,16.686550,17.068230,16.929440,17.091980", \ "16.321650,17.198900,17.622720,18.148080,18.327040,18.531870,18.690950"); } } internal_power () { related_pin : "C1"; when : "A & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.069560,10.916230,11.439780,11.985610,12.311710,12.452490,12.510440", \ "10.088940,10.868830,11.449750,11.991510,12.298940,12.456600,12.512280", \ "10.100470,10.863010,11.423440,11.972120,12.280030,12.437200,12.498490", \ "10.356820,11.086920,11.653520,12.179920,12.503870,12.660330,12.723730", \ "10.897620,11.641750,12.194320,12.716550,13.047370,13.213090,13.289820", \ "11.930970,12.624040,13.177850,13.749770,14.096440,14.207410,14.284120", \ "13.303830,13.904450,14.486150,15.124650,15.595250,15.770170,15.785940"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.399460,14.058480,14.463770,14.914850,15.122660,14.920300,15.005290", \ "13.319930,14.028000,14.453290,14.620160,15.035070,14.704300,15.112110", \ "13.259690,13.956230,14.369370,14.692090,14.783160,14.345400,15.236290", \ "13.526250,14.184500,14.576070,14.892980,15.010790,15.451910,15.353800", \ "14.142290,14.957580,15.358360,15.645690,15.772420,16.036280,15.825110", \ "15.046200,15.920760,16.296940,16.716120,16.921860,16.860490,16.979000", \ "16.372740,17.266510,17.667710,18.074110,18.675150,18.697990,18.931400"); } } internal_power () { related_pin : "C2"; when : "A & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.145520,11.955330,12.527840,13.083720,13.497780,13.709970,13.815080", \ "11.094210,11.872570,12.478270,13.053140,13.446300,13.659440,13.765100", \ "11.085650,11.853290,12.447920,13.010180,13.417390,13.627940,13.733970", \ "11.268330,11.987920,12.570880,13.172790,13.570870,13.775170,13.885650", \ "11.765240,12.538840,13.055200,13.670580,14.082970,14.301750,14.418380", \ "12.747100,13.473830,13.982710,14.572080,14.963760,15.171260,15.292080", \ "14.101510,14.713560,15.226340,15.846040,16.298100,16.497890,16.578330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.496700,15.291050,15.755380,16.397490,16.846560,17.023870,17.135740", \ "14.412820,15.246180,15.674580,16.258390,16.872620,17.013490,16.790020", \ "14.379980,15.190900,15.689070,16.216270,16.703420,16.830530,16.726220", \ "14.248440,15.173770,15.624410,16.131070,16.753460,17.011180,17.071860", \ "14.679840,15.539820,15.982630,16.580480,17.114970,16.450750,17.393470", \ "15.063800,16.013510,16.471520,17.156270,17.665920,17.858570,18.090180", \ "15.950770,16.998700,17.347980,18.134620,18.825600,18.668430,18.528460"); } } internal_power () { related_pin : "C2"; when : "A & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.633110,11.344980,11.915070,12.497530,12.882980,13.062090,13.139660", \ "10.572220,11.266750,11.878800,12.448060,12.825280,13.009600,13.095170", \ "10.537450,11.236980,11.846200,12.417130,12.799970,12.975070,13.063690", \ "10.747810,11.444320,12.053300,12.609740,12.986710,13.183310,13.263270", \ "11.360580,12.040880,12.553260,13.115200,13.538730,13.737120,13.827710", \ "12.284130,12.994930,13.514000,14.097620,14.480630,14.643620,14.751920", \ "13.712910,14.298710,14.832600,15.424720,15.862940,16.044170,16.088820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.206480,14.834300,15.276260,15.636270,15.911900,15.994110,15.942730", \ "14.186020,14.896760,15.249420,15.518400,15.870930,16.094920,15.298280", \ "14.041590,14.780750,15.049670,15.458050,15.753360,15.435260,15.354560", \ "14.090410,14.763660,15.105380,15.409000,15.802530,15.653930,15.430640", \ "14.314870,15.155710,15.432660,15.849710,16.127560,16.209430,16.143440", \ "14.701630,15.570170,15.992670,16.388240,16.765470,16.769320,16.523570", \ "15.594290,16.402510,16.804850,17.280210,17.791590,17.569290,18.145210"); } } internal_power () { related_pin : "C2"; when : "A & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.518240,11.342210,11.930810,12.488060,12.816680,12.995220,13.075460", \ "10.504630,11.290500,11.884670,12.431400,12.765270,12.944210,13.023880", \ "10.525240,11.264650,11.863270,12.401800,12.751860,12.914800,12.989730", \ "10.671560,11.497240,12.079280,12.624890,12.958370,13.131990,13.218500", \ "11.340010,12.052670,12.603560,13.134930,13.496450,13.681600,13.777490", \ "12.305060,13.038260,13.556470,14.125580,14.476410,14.616130,14.725710", \ "13.720610,14.359090,14.880850,15.471260,15.898220,16.065520,16.090360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.202140,14.844490,15.274410,15.711170,15.917000,15.298790,15.447350", \ "14.071820,14.865570,15.239290,15.642020,15.906920,15.967960,15.289350", \ "14.043190,14.800830,15.050590,15.345670,15.863760,15.303960,15.243840", \ "14.075120,14.803940,15.116610,15.474940,15.566650,16.056510,16.053410", \ "14.312270,15.153220,15.530840,15.694990,15.909830,16.328150,16.144580", \ "14.834880,15.577710,16.046000,16.422880,16.929650,16.842190,16.639070", \ "15.649620,16.520700,16.856970,17.263510,17.909920,18.018160,17.998130"); } } } } /****************************************************************************************** Module : OAI222_X1 Cell Description : Combinational cell (OAI222_X1) with drive strength X1 *******************************************************************************************/ cell (OAI222_X1) { drive_strength : 1; area : 2.128000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 43.177867; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 6.637521; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 21.742732; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 21.742743; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 36.363085; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 8.086001; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 49.739910; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 49.740020; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 64.364960; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 8.086012; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 49.739910; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 49.740020; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 64.364960; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 8.417607; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 64.355170; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 64.355280; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 78.983410; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 5.860395; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 25.865066; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 25.865066; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 40.485826; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 11.377416; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 48.989204; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 51.339156; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 62.242532; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 11.377416; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 51.339035; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 53.688888; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 64.592517; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 10.707794; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 62.237461; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 64.587292; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 75.492428; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 5.860406; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 25.865066; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 25.865066; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 40.485826; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 11.377416; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 51.339046; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 53.688778; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 64.592407; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 11.377416; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 53.688778; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 56.038290; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 66.942425; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 10.707816; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 64.587182; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 66.936936; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 77.842457; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 2.574427; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 22.946309; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 22.946320; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 37.567069; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 8.203131; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 62.234590; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 64.584047; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 75.489557; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 8.203142; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 64.584047; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 66.933427; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 77.839322; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 7.520958; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 75.482847; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 77.832227; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 88.739895; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.576587; fall_capacitance : 1.419922; rise_capacitance : 1.576587; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.594615; fall_capacitance : 1.594615; rise_capacitance : 1.576413; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.617471; fall_capacitance : 1.423623; rise_capacitance : 1.617471; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.620241; fall_capacitance : 1.601183; rise_capacitance : 1.620241; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.596415; fall_capacitance : 1.361443; rise_capacitance : 1.596415; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.571112; fall_capacitance : 1.510626; rise_capacitance : 1.571112; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 20.065300; function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.018688,0.019826,0.022012,0.026191,0.034161,0.049389,0.078673", \ "0.020062,0.021204,0.023398,0.027593,0.035587,0.050845,0.080153", \ "0.024757,0.025876,0.028058,0.032243,0.040230,0.055488,0.084809", \ "0.032839,0.034215,0.036801,0.041501,0.049732,0.064673,0.093824", \ "0.038782,0.040572,0.043919,0.050047,0.060827,0.078904,0.108554", \ "0.042424,0.044598,0.048705,0.056178,0.069405,0.091741,0.127890", \ "0.043678,0.046242,0.051065,0.059857,0.075464,0.101904,0.144924"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.021088,0.022927,0.026472,0.033311,0.046515,0.072083,0.121773", \ "0.021954,0.023817,0.027408,0.034337,0.047677,0.073394,0.123203", \ "0.027172,0.028951,0.032417,0.039202,0.052429,0.078144,0.128034", \ "0.037106,0.039302,0.043338,0.050553,0.063307,0.088511,0.138045", \ "0.047994,0.050710,0.055717,0.064787,0.080469,0.106379,0.154975", \ "0.060426,0.063610,0.069441,0.080104,0.098794,0.130035,0.180332", \ "0.074511,0.078131,0.084852,0.097018,0.118399,0.154525,0.213000"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012976,0.013880,0.015639,0.019066,0.025711,0.038606,0.063699", \ "0.012982,0.013886,0.015650,0.019066,0.025709,0.038608,0.063693", \ "0.012672,0.013577,0.015363,0.018970,0.025707,0.038609,0.063692", \ "0.016758,0.017536,0.019015,0.021771,0.026982,0.038623,0.063691", \ "0.023531,0.024490,0.026264,0.029468,0.035119,0.044947,0.065124", \ "0.031896,0.033064,0.035191,0.039036,0.045723,0.056960,0.075817", \ "0.041897,0.043282,0.045823,0.050393,0.058131,0.071085,0.092260"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.028254,0.029983,0.033334,0.039786,0.052132,0.075751,0.121168", \ "0.028258,0.029985,0.033335,0.039784,0.052137,0.075747,0.121175", \ "0.028407,0.029984,0.033313,0.039783,0.052130,0.075745,0.121183", \ "0.034100,0.035171,0.037415,0.042191,0.052664,0.075747,0.121175", \ "0.044877,0.045898,0.047957,0.052013,0.059817,0.078199,0.121169", \ "0.056958,0.057985,0.060156,0.064582,0.073237,0.089050,0.124628", \ "0.071047,0.072005,0.074127,0.078694,0.088072,0.105829,0.137563"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014571,0.015688,0.017834,0.021940,0.029791,0.044863,0.073977", \ "0.015897,0.017023,0.019186,0.023318,0.031203,0.046313,0.075455", \ "0.020870,0.021906,0.023918,0.027971,0.035837,0.050949,0.080109", \ "0.027110,0.028621,0.031444,0.036510,0.045246,0.060177,0.089136", \ "0.031137,0.033104,0.036748,0.043364,0.054795,0.073703,0.103929", \ "0.032900,0.035295,0.039792,0.047853,0.061885,0.085213,0.122469", \ "0.032239,0.035079,0.040367,0.049912,0.066496,0.094107,0.138390"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020349,0.022188,0.025729,0.032557,0.045723,0.071223,0.120768", \ "0.021210,0.023068,0.026659,0.033578,0.046881,0.072529,0.122198", \ "0.026457,0.028225,0.031683,0.038448,0.051638,0.077276,0.127033", \ "0.036130,0.038366,0.042474,0.049762,0.062524,0.087650,0.137041", \ "0.046681,0.049459,0.054551,0.063717,0.079520,0.105534,0.153968", \ "0.058721,0.061998,0.067965,0.078753,0.097576,0.128966,0.179337", \ "0.072393,0.076110,0.083005,0.095343,0.116880,0.153179,0.211814"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010595,0.011487,0.013227,0.016618,0.023218,0.036057,0.061087", \ "0.010584,0.011485,0.013227,0.016617,0.023218,0.036065,0.061084", \ "0.010668,0.011457,0.013069,0.016379,0.023182,0.036056,0.061090", \ "0.015510,0.016293,0.017759,0.020485,0.025456,0.036372,0.061076", \ "0.022248,0.023223,0.025023,0.028265,0.033941,0.043747,0.063111", \ "0.030621,0.031814,0.033962,0.037826,0.044525,0.055797,0.074614", \ "0.040632,0.042056,0.044636,0.049217,0.056978,0.069916,0.091091"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019600,0.021439,0.024961,0.031646,0.044223,0.067964,0.113410", \ "0.019598,0.021438,0.024963,0.031648,0.044229,0.067964,0.113409", \ "0.019816,0.021483,0.024934,0.031645,0.044221,0.067961,0.113411", \ "0.026200,0.027123,0.029304,0.034186,0.044811,0.067959,0.113409", \ "0.035091,0.036366,0.038912,0.043702,0.052132,0.070497,0.113400", \ "0.045528,0.046817,0.049513,0.054776,0.064566,0.081464,0.116942", \ "0.057783,0.059091,0.061796,0.067287,0.077965,0.097217,0.129957"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012150,0.013098,0.014918,0.018390,0.025014,0.037707,0.062200", \ "0.013551,0.014503,0.016335,0.019829,0.026481,0.039203,0.063719", \ "0.018806,0.019760,0.021528,0.024806,0.031389,0.044103,0.068622", \ "0.024292,0.025680,0.028255,0.032887,0.040829,0.053868,0.078152", \ "0.027436,0.029252,0.032625,0.038718,0.049214,0.066471,0.093705", \ "0.028088,0.030320,0.034503,0.042026,0.055005,0.076447,0.110466", \ "0.026032,0.028704,0.033673,0.042616,0.058076,0.083663,0.124348"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020278,0.022117,0.025656,0.032486,0.045656,0.071159,0.120705", \ "0.021077,0.022933,0.026521,0.033437,0.046746,0.072405,0.122081", \ "0.026378,0.028137,0.031579,0.038324,0.051494,0.077121,0.126883", \ "0.036211,0.038426,0.042513,0.049776,0.062502,0.087581,0.136924", \ "0.047044,0.049785,0.054838,0.063961,0.079699,0.105636,0.154003", \ "0.059542,0.062751,0.068637,0.079347,0.098084,0.129351,0.179596", \ "0.073869,0.077495,0.084277,0.096471,0.117853,0.153982,0.212409"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008866,0.009634,0.011102,0.013967,0.019529,0.030364,0.051466", \ "0.008810,0.009596,0.011084,0.013964,0.019529,0.030367,0.051471", \ "0.009372,0.009957,0.011201,0.013815,0.019379,0.030362,0.051467", \ "0.014388,0.015075,0.016363,0.018692,0.022890,0.031335,0.051429", \ "0.020987,0.021867,0.023482,0.026373,0.031405,0.039868,0.055203", \ "0.029225,0.030309,0.032262,0.035745,0.041760,0.051770,0.068109", \ "0.039077,0.040382,0.042756,0.046923,0.053964,0.065551,0.084329"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019601,0.021440,0.024961,0.031649,0.044230,0.067963,0.113403", \ "0.019597,0.021436,0.024961,0.031648,0.044230,0.067965,0.113402", \ "0.019831,0.021491,0.024931,0.031645,0.044223,0.067963,0.113410", \ "0.026145,0.027085,0.029279,0.034182,0.044811,0.067962,0.113402", \ "0.034844,0.036169,0.038739,0.043569,0.052047,0.070473,0.113397", \ "0.045030,0.046353,0.049086,0.054426,0.064293,0.081281,0.116888", \ "0.056942,0.058285,0.061020,0.066591,0.077400,0.096798,0.129703"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014571,0.015688,0.017834,0.021940,0.029791,0.044863,0.073977", \ "0.015897,0.017023,0.019186,0.023318,0.031203,0.046313,0.075455", \ "0.020870,0.021906,0.023918,0.027971,0.035837,0.050949,0.080109", \ "0.027110,0.028621,0.031444,0.036510,0.045246,0.060177,0.089136", \ "0.031137,0.033104,0.036748,0.043364,0.054795,0.073703,0.103929", \ "0.032900,0.035295,0.039792,0.047853,0.061885,0.085213,0.122469", \ "0.032239,0.035079,0.040367,0.049912,0.066496,0.094107,0.138390"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020349,0.022188,0.025729,0.032557,0.045723,0.071223,0.120768", \ "0.021210,0.023068,0.026659,0.033578,0.046881,0.072529,0.122198", \ "0.026457,0.028225,0.031683,0.038448,0.051638,0.077276,0.127033", \ "0.036130,0.038366,0.042474,0.049762,0.062524,0.087650,0.137041", \ "0.046681,0.049459,0.054551,0.063717,0.079520,0.105534,0.153968", \ "0.058721,0.061998,0.067965,0.078753,0.097576,0.128966,0.179337", \ "0.072393,0.076110,0.083005,0.095343,0.116880,0.153179,0.211814"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010595,0.011487,0.013227,0.016618,0.023218,0.036057,0.061087", \ "0.010584,0.011485,0.013227,0.016617,0.023218,0.036065,0.061084", \ "0.010668,0.011457,0.013069,0.016379,0.023182,0.036056,0.061090", \ "0.015510,0.016293,0.017759,0.020485,0.025456,0.036372,0.061076", \ "0.022248,0.023223,0.025023,0.028265,0.033941,0.043747,0.063111", \ "0.030621,0.031814,0.033962,0.037826,0.044525,0.055797,0.074614", \ "0.040632,0.042056,0.044636,0.049217,0.056978,0.069916,0.091091"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019600,0.021439,0.024961,0.031646,0.044223,0.067964,0.113410", \ "0.019598,0.021438,0.024963,0.031648,0.044229,0.067964,0.113409", \ "0.019816,0.021483,0.024934,0.031645,0.044221,0.067961,0.113411", \ "0.026200,0.027123,0.029304,0.034186,0.044811,0.067959,0.113409", \ "0.035091,0.036366,0.038912,0.043702,0.052132,0.070497,0.113400", \ "0.045528,0.046817,0.049513,0.054776,0.064566,0.081464,0.116942", \ "0.057783,0.059091,0.061796,0.067287,0.077965,0.097217,0.129957"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010883,0.011933,0.013971,0.017924,0.025581,0.040452,0.069385", \ "0.012150,0.013213,0.015274,0.019268,0.026976,0.041894,0.070860", \ "0.016784,0.017961,0.020121,0.023961,0.031600,0.046522,0.075512", \ "0.020785,0.022487,0.025626,0.031174,0.040551,0.055807,0.084561", \ "0.022710,0.024915,0.028987,0.036211,0.048459,0.068360,0.099436", \ "0.022336,0.025081,0.030124,0.038978,0.054003,0.078506,0.117009", \ "0.019548,0.022789,0.028756,0.039303,0.057103,0.086092,0.131829"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019628,0.021462,0.025001,0.031808,0.044941,0.070371,0.119786", \ "0.020484,0.022339,0.025922,0.032824,0.046102,0.071683,0.121215", \ "0.025755,0.027512,0.030957,0.037706,0.050854,0.076432,0.126049", \ "0.035162,0.037430,0.041592,0.048970,0.061755,0.086806,0.136047", \ "0.045394,0.048228,0.053385,0.062646,0.078576,0.104686,0.152987", \ "0.057126,0.060459,0.066485,0.077386,0.096357,0.127900,0.178356", \ "0.070368,0.074155,0.081170,0.093669,0.115365,0.151835,0.210640"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.007954,0.008873,0.010645,0.014068,0.020684,0.033520,0.058512", \ "0.007877,0.008815,0.010614,0.014061,0.020682,0.033517,0.058512", \ "0.009220,0.009844,0.011167,0.014100,0.020556,0.033525,0.058519", \ "0.014323,0.015118,0.016584,0.019282,0.024192,0.034320,0.058508", \ "0.021167,0.022146,0.023944,0.027174,0.032847,0.042602,0.061279", \ "0.029740,0.030900,0.033026,0.036857,0.043482,0.054721,0.073487", \ "0.039933,0.041336,0.043887,0.048406,0.056025,0.068847,0.089985"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014395,0.016006,0.019146,0.025268,0.037220,0.060419,0.105698", \ "0.014399,0.016009,0.019150,0.025269,0.037194,0.060428,0.105682", \ "0.014676,0.016098,0.019109,0.025258,0.037199,0.060435,0.105685", \ "0.020053,0.021351,0.023620,0.027932,0.037851,0.060419,0.105672", \ "0.026483,0.028017,0.030901,0.036170,0.045240,0.063027,0.105684", \ "0.034207,0.035914,0.039164,0.045244,0.056020,0.074074,0.109290", \ "0.043530,0.045411,0.048954,0.055617,0.067709,0.088480,0.122389"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.009199,0.010077,0.011783,0.015100,0.021535,0.034025,0.058321", \ "0.010571,0.011452,0.013169,0.016512,0.022986,0.035514,0.059839", \ "0.015157,0.016225,0.018180,0.021610,0.027901,0.040411,0.064742", \ "0.018523,0.020091,0.022970,0.028045,0.036583,0.050263,0.074292", \ "0.019633,0.021690,0.025472,0.032148,0.043412,0.061589,0.089729", \ "0.018203,0.020778,0.025501,0.033771,0.047713,0.070267,0.105433", \ "0.014102,0.017146,0.022778,0.032667,0.049308,0.076204,0.118234"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019554,0.021388,0.024926,0.031735,0.044878,0.070308,0.119719", \ "0.020350,0.022202,0.025784,0.032686,0.045959,0.071551,0.121094", \ "0.025676,0.027426,0.030857,0.037577,0.050711,0.076276,0.125896", \ "0.035238,0.037492,0.041635,0.048987,0.061731,0.086736,0.135934", \ "0.045761,0.048560,0.053681,0.062898,0.078759,0.104792,0.153009", \ "0.057923,0.061200,0.067179,0.078003,0.096875,0.128293,0.178614", \ "0.071819,0.075548,0.082468,0.094814,0.116359,0.152655,0.211248"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.006572,0.007351,0.008859,0.011771,0.017365,0.028187,0.049250", \ "0.006452,0.007266,0.008808,0.011747,0.017356,0.028191,0.049256", \ "0.008309,0.008875,0.009896,0.012126,0.017217,0.028178,0.049257", \ "0.013397,0.014101,0.015400,0.017748,0.021914,0.029843,0.049185", \ "0.020111,0.020995,0.022600,0.025492,0.030507,0.038973,0.053891", \ "0.028531,0.029601,0.031519,0.034987,0.040921,0.050877,0.067189", \ "0.038580,0.039853,0.042194,0.046307,0.053231,0.064693,0.083391"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014397,0.016012,0.019146,0.025270,0.037199,0.060412,0.105700", \ "0.014396,0.016007,0.019148,0.025270,0.037193,0.060415,0.105684", \ "0.014690,0.016109,0.019108,0.025261,0.037197,0.060428,0.105688", \ "0.020020,0.021320,0.023600,0.027925,0.037820,0.060421,0.105673", \ "0.026297,0.027842,0.030749,0.036054,0.045144,0.063002,0.105674", \ "0.033785,0.035505,0.038785,0.044911,0.055768,0.073890,0.109227", \ "0.042865,0.044740,0.048278,0.054998,0.067176,0.088075,0.122125"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012150,0.013098,0.014918,0.018390,0.025014,0.037707,0.062200", \ "0.013551,0.014503,0.016335,0.019829,0.026481,0.039203,0.063719", \ "0.018806,0.019760,0.021528,0.024806,0.031389,0.044103,0.068622", \ "0.024292,0.025680,0.028255,0.032887,0.040829,0.053868,0.078152", \ "0.027436,0.029252,0.032625,0.038718,0.049214,0.066471,0.093705", \ "0.028088,0.030320,0.034503,0.042026,0.055005,0.076447,0.110466", \ "0.026032,0.028704,0.033673,0.042616,0.058076,0.083663,0.124348"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020278,0.022117,0.025656,0.032486,0.045656,0.071159,0.120705", \ "0.021077,0.022933,0.026521,0.033437,0.046746,0.072405,0.122081", \ "0.026378,0.028137,0.031579,0.038324,0.051494,0.077121,0.126883", \ "0.036211,0.038426,0.042513,0.049776,0.062502,0.087581,0.136924", \ "0.047044,0.049785,0.054838,0.063961,0.079699,0.105636,0.154003", \ "0.059542,0.062751,0.068637,0.079347,0.098084,0.129351,0.179596", \ "0.073869,0.077495,0.084277,0.096471,0.117853,0.153982,0.212409"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008866,0.009634,0.011102,0.013967,0.019529,0.030364,0.051466", \ "0.008810,0.009596,0.011084,0.013964,0.019529,0.030367,0.051471", \ "0.009372,0.009957,0.011201,0.013815,0.019379,0.030362,0.051467", \ "0.014388,0.015075,0.016363,0.018692,0.022890,0.031335,0.051429", \ "0.020987,0.021867,0.023482,0.026373,0.031405,0.039868,0.055203", \ "0.029225,0.030309,0.032262,0.035745,0.041760,0.051770,0.068109", \ "0.039077,0.040382,0.042756,0.046923,0.053964,0.065551,0.084329"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019601,0.021440,0.024961,0.031649,0.044230,0.067963,0.113403", \ "0.019597,0.021436,0.024961,0.031648,0.044230,0.067965,0.113402", \ "0.019831,0.021491,0.024931,0.031645,0.044223,0.067963,0.113410", \ "0.026145,0.027085,0.029279,0.034182,0.044811,0.067962,0.113402", \ "0.034844,0.036169,0.038739,0.043569,0.052047,0.070473,0.113397", \ "0.045030,0.046353,0.049086,0.054426,0.064293,0.081281,0.116888", \ "0.056942,0.058285,0.061020,0.066591,0.077400,0.096798,0.129703"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.009199,0.010077,0.011783,0.015100,0.021535,0.034025,0.058321", \ "0.010571,0.011452,0.013169,0.016512,0.022986,0.035514,0.059839", \ "0.015157,0.016225,0.018180,0.021610,0.027901,0.040411,0.064742", \ "0.018523,0.020091,0.022970,0.028045,0.036583,0.050263,0.074292", \ "0.019633,0.021690,0.025472,0.032148,0.043412,0.061589,0.089729", \ "0.018203,0.020778,0.025501,0.033771,0.047713,0.070267,0.105433", \ "0.014102,0.017146,0.022778,0.032667,0.049308,0.076204,0.118234"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019554,0.021388,0.024926,0.031735,0.044878,0.070308,0.119719", \ "0.020350,0.022202,0.025784,0.032686,0.045959,0.071551,0.121094", \ "0.025676,0.027426,0.030857,0.037577,0.050711,0.076276,0.125896", \ "0.035238,0.037492,0.041635,0.048987,0.061731,0.086736,0.135934", \ "0.045761,0.048560,0.053681,0.062898,0.078759,0.104792,0.153009", \ "0.057923,0.061200,0.067179,0.078003,0.096875,0.128293,0.178614", \ "0.071819,0.075548,0.082468,0.094814,0.116359,0.152655,0.211248"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.006572,0.007351,0.008859,0.011771,0.017365,0.028187,0.049250", \ "0.006452,0.007266,0.008808,0.011747,0.017356,0.028191,0.049256", \ "0.008309,0.008875,0.009896,0.012126,0.017217,0.028178,0.049257", \ "0.013397,0.014101,0.015400,0.017748,0.021914,0.029843,0.049185", \ "0.020111,0.020995,0.022600,0.025492,0.030507,0.038973,0.053891", \ "0.028531,0.029601,0.031519,0.034987,0.040921,0.050877,0.067189", \ "0.038580,0.039853,0.042194,0.046307,0.053231,0.064693,0.083391"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014397,0.016012,0.019146,0.025270,0.037199,0.060412,0.105700", \ "0.014396,0.016007,0.019148,0.025270,0.037193,0.060415,0.105684", \ "0.014690,0.016109,0.019108,0.025261,0.037197,0.060428,0.105688", \ "0.020020,0.021320,0.023600,0.027925,0.037820,0.060421,0.105673", \ "0.026297,0.027842,0.030749,0.036054,0.045144,0.063002,0.105674", \ "0.033785,0.035505,0.038785,0.044911,0.055768,0.073890,0.109227", \ "0.042865,0.044740,0.048278,0.054998,0.067176,0.088075,0.122125"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008203,0.008919,0.010309,0.013000,0.018217,0.028349,0.048064", \ "0.009620,0.010344,0.011745,0.014459,0.019707,0.029868,0.049603", \ "0.013813,0.014783,0.016556,0.019649,0.024899,0.035026,0.054754", \ "0.016385,0.017821,0.020447,0.025066,0.032778,0.045044,0.064845", \ "0.016534,0.018439,0.021930,0.028060,0.038321,0.054763,0.079986", \ "0.013922,0.016316,0.020696,0.028363,0.041185,0.061746,0.093490", \ "0.008368,0.011213,0.016469,0.025671,0.041090,0.065802,0.104001"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019542,0.021377,0.024916,0.031725,0.044865,0.070304,0.119713", \ "0.020249,0.022099,0.025680,0.032582,0.045868,0.071458,0.121016", \ "0.025580,0.027323,0.030738,0.037435,0.050543,0.076090,0.125723", \ "0.035311,0.037559,0.041681,0.049002,0.061704,0.086646,0.135795", \ "0.046136,0.048911,0.054008,0.063179,0.078975,0.104919,0.153052", \ "0.058793,0.062024,0.067931,0.078690,0.097455,0.128744,0.178917", \ "0.073397,0.077071,0.083883,0.096072,0.117452,0.153575,0.211943"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.005274,0.005890,0.007085,0.009415,0.013936,0.022736,0.039872", \ "0.005231,0.005855,0.007069,0.009408,0.013935,0.022736,0.039871", \ "0.007537,0.008004,0.008871,0.010460,0.014157,0.022729,0.039873", \ "0.012443,0.013060,0.014201,0.016239,0.019761,0.025817,0.040125", \ "0.018959,0.019748,0.021169,0.023719,0.028112,0.035393,0.047273", \ "0.027170,0.028124,0.029861,0.032953,0.038198,0.046899,0.060930", \ "0.036982,0.038200,0.040290,0.043996,0.050189,0.060303,0.076583"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014394,0.016013,0.019146,0.025273,0.037206,0.060419,0.105700", \ "0.014394,0.016009,0.019148,0.025268,0.037196,0.060424,0.105693", \ "0.014711,0.016130,0.019109,0.025263,0.037183,0.060414,0.105692", \ "0.019984,0.021290,0.023574,0.027927,0.037827,0.060418,0.105679", \ "0.026092,0.027664,0.030580,0.035918,0.045047,0.062969,0.105685", \ "0.033349,0.035085,0.038384,0.044556,0.055483,0.073674,0.109153", \ "0.042143,0.044019,0.047565,0.054319,0.066601,0.087638,0.121823"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022625,0.023761,0.025953,0.030155,0.038166,0.053477,0.082853", \ "0.023718,0.024859,0.027053,0.031258,0.039285,0.054596,0.083980", \ "0.028299,0.029439,0.031635,0.035836,0.043845,0.059144,0.088512", \ "0.037252,0.038531,0.040955,0.045384,0.053249,0.068364,0.097559", \ "0.044990,0.046622,0.049767,0.055523,0.065720,0.083086,0.112343", \ "0.050627,0.052632,0.056425,0.063412,0.075909,0.097296,0.132371", \ "0.054296,0.056642,0.061061,0.069145,0.083824,0.109063,0.150645"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025216,0.027023,0.030528,0.037322,0.050470,0.076008,0.125662", \ "0.026789,0.028631,0.032194,0.039078,0.052348,0.078001,0.127767", \ "0.031843,0.033671,0.037219,0.044114,0.057455,0.083262,0.133194", \ "0.039915,0.041997,0.045906,0.053109,0.066354,0.092085,0.142063", \ "0.049128,0.051601,0.056223,0.064689,0.079867,0.106487,0.156248", \ "0.060097,0.062979,0.068346,0.078091,0.095351,0.125362,0.176988", \ "0.072923,0.076243,0.082405,0.093512,0.112936,0.146306,0.203132"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.015260,0.016182,0.017973,0.021431,0.028126,0.041085,0.066226", \ "0.015259,0.016186,0.017970,0.021432,0.028130,0.041086,0.066227", \ "0.015074,0.016036,0.017925,0.021449,0.028134,0.041085,0.066221", \ "0.018322,0.019104,0.020575,0.023310,0.028937,0.041050,0.066224", \ "0.025198,0.026140,0.027866,0.031006,0.036587,0.046445,0.067321", \ "0.033386,0.034546,0.036696,0.040540,0.047179,0.058383,0.077218", \ "0.042864,0.044244,0.046788,0.051399,0.059256,0.072366,0.093588"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.028256,0.029983,0.033335,0.039785,0.052131,0.075741,0.121173", \ "0.028256,0.029983,0.033334,0.039785,0.052138,0.075746,0.121169", \ "0.028287,0.029999,0.033338,0.039786,0.052135,0.075743,0.121181", \ "0.031532,0.032859,0.035551,0.041063,0.052401,0.075747,0.121166", \ "0.039222,0.040400,0.042751,0.047482,0.056853,0.077323,0.121170", \ "0.048382,0.049459,0.051675,0.056291,0.065730,0.084236,0.123582", \ "0.059276,0.060282,0.062272,0.066692,0.076040,0.095036,0.131768"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.018329,0.019455,0.021623,0.025775,0.033709,0.048897,0.078128", \ "0.019412,0.020543,0.022716,0.026877,0.034817,0.050015,0.079255", \ "0.024025,0.025135,0.027298,0.031460,0.039387,0.054565,0.083787", \ "0.031979,0.033363,0.035961,0.040667,0.048932,0.063833,0.092857", \ "0.037934,0.039718,0.043106,0.049239,0.060008,0.078071,0.107701", \ "0.041952,0.044115,0.048186,0.055633,0.068781,0.091029,0.127075", \ "0.044036,0.046576,0.051321,0.059979,0.075399,0.101570,0.144299"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024480,0.026289,0.029792,0.036568,0.049683,0.075145,0.124654", \ "0.026048,0.027890,0.031449,0.038316,0.051557,0.077141,0.126756", \ "0.031107,0.032932,0.036473,0.043349,0.056659,0.082394,0.132192", \ "0.039036,0.041136,0.045076,0.052335,0.065556,0.091216,0.141058", \ "0.048032,0.050543,0.055212,0.063729,0.078968,0.105620,0.155239", \ "0.058729,0.061662,0.067116,0.076942,0.094283,0.124357,0.175985", \ "0.071224,0.074610,0.080900,0.092131,0.111681,0.145142,0.201990"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012957,0.013864,0.015622,0.019039,0.025677,0.038554,0.063619", \ "0.012971,0.013871,0.015627,0.019043,0.025674,0.038558,0.063616", \ "0.012812,0.013700,0.015438,0.018961,0.025679,0.038555,0.063610", \ "0.017074,0.017848,0.019304,0.022023,0.027214,0.038690,0.063616", \ "0.023862,0.024829,0.026597,0.029793,0.035409,0.045195,0.065223", \ "0.031891,0.033074,0.035271,0.039164,0.045913,0.057177,0.076012", \ "0.041235,0.042655,0.045275,0.049946,0.057909,0.071074,0.092382"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019600,0.021440,0.024963,0.031648,0.044224,0.067961,0.113400", \ "0.019600,0.021439,0.024963,0.031646,0.044224,0.067966,0.113413", \ "0.019642,0.021458,0.024967,0.031648,0.044222,0.067963,0.113408", \ "0.023346,0.024627,0.027332,0.032995,0.044519,0.067961,0.113398", \ "0.030053,0.031407,0.034062,0.039275,0.049108,0.069586,0.113399", \ "0.038281,0.039545,0.042093,0.047211,0.057347,0.076612,0.115853", \ "0.048008,0.049250,0.051642,0.056674,0.066816,0.086696,0.124115"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.015219,0.016176,0.018016,0.021533,0.028232,0.041030,0.065630", \ "0.016405,0.017366,0.019213,0.022738,0.029445,0.042250,0.066856", \ "0.021482,0.022356,0.024115,0.027598,0.034290,0.047072,0.071658", \ "0.028660,0.029929,0.032303,0.036605,0.044101,0.056831,0.081218", \ "0.033622,0.035254,0.038384,0.044034,0.053902,0.070403,0.096826", \ "0.036431,0.038443,0.042240,0.049154,0.061290,0.081726,0.114624", \ "0.037047,0.039431,0.043892,0.051981,0.066314,0.090505,0.129715"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024413,0.026224,0.029721,0.036497,0.049616,0.075079,0.124589", \ "0.025939,0.027776,0.031330,0.038196,0.051435,0.077024,0.126644", \ "0.031025,0.032841,0.036369,0.043227,0.056520,0.082248,0.132049", \ "0.038990,0.041084,0.045017,0.052264,0.065459,0.091088,0.140904", \ "0.048111,0.050610,0.055261,0.063753,0.078958,0.105568,0.155135", \ "0.059185,0.062088,0.067486,0.077233,0.094505,0.124479,0.176011", \ "0.072281,0.075626,0.081808,0.092907,0.112299,0.145593,0.202273"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010896,0.011656,0.013135,0.016014,0.021597,0.032454,0.053578", \ "0.010908,0.011661,0.013143,0.016013,0.021597,0.032455,0.053577", \ "0.010922,0.011625,0.013012,0.015828,0.021566,0.032456,0.053581", \ "0.015738,0.016409,0.017656,0.019953,0.024129,0.033099,0.053573", \ "0.022357,0.023241,0.024824,0.027682,0.032629,0.041052,0.056769", \ "0.030266,0.031361,0.033306,0.036821,0.042890,0.052915,0.069228", \ "0.039495,0.040802,0.043188,0.047425,0.054610,0.066452,0.085368"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019599,0.021439,0.024961,0.031650,0.044230,0.067962,0.113401", \ "0.019602,0.021438,0.024960,0.031650,0.044226,0.067966,0.113414", \ "0.019639,0.021455,0.024965,0.031648,0.044224,0.067963,0.113402", \ "0.023364,0.024647,0.027350,0.033010,0.044528,0.067962,0.113399", \ "0.030017,0.031369,0.034041,0.039267,0.049111,0.069594,0.113399", \ "0.038070,0.039344,0.041917,0.047084,0.057270,0.076575,0.115844", \ "0.047576,0.048807,0.051259,0.056307,0.066562,0.086537,0.124043"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.018329,0.019455,0.021623,0.025775,0.033709,0.048897,0.078128", \ "0.019412,0.020543,0.022716,0.026877,0.034817,0.050015,0.079255", \ "0.024025,0.025135,0.027298,0.031460,0.039387,0.054565,0.083787", \ "0.031979,0.033363,0.035961,0.040667,0.048932,0.063833,0.092857", \ "0.037934,0.039718,0.043106,0.049239,0.060008,0.078071,0.107701", \ "0.041952,0.044115,0.048186,0.055633,0.068781,0.091029,0.127075", \ "0.044036,0.046576,0.051321,0.059979,0.075399,0.101570,0.144299"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024480,0.026289,0.029792,0.036568,0.049683,0.075145,0.124654", \ "0.026048,0.027890,0.031449,0.038316,0.051557,0.077141,0.126756", \ "0.031107,0.032932,0.036473,0.043349,0.056659,0.082394,0.132192", \ "0.039036,0.041136,0.045076,0.052335,0.065556,0.091216,0.141058", \ "0.048032,0.050543,0.055212,0.063729,0.078968,0.105620,0.155239", \ "0.058729,0.061662,0.067116,0.076942,0.094283,0.124357,0.175985", \ "0.071224,0.074610,0.080900,0.092131,0.111681,0.145142,0.201990"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012957,0.013864,0.015622,0.019039,0.025677,0.038554,0.063619", \ "0.012971,0.013871,0.015627,0.019043,0.025674,0.038558,0.063616", \ "0.012812,0.013700,0.015438,0.018961,0.025679,0.038555,0.063610", \ "0.017074,0.017848,0.019304,0.022023,0.027214,0.038690,0.063616", \ "0.023862,0.024829,0.026597,0.029793,0.035409,0.045195,0.065223", \ "0.031891,0.033074,0.035271,0.039164,0.045913,0.057177,0.076012", \ "0.041235,0.042655,0.045275,0.049946,0.057909,0.071074,0.092382"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019600,0.021440,0.024963,0.031648,0.044224,0.067961,0.113400", \ "0.019600,0.021439,0.024963,0.031646,0.044224,0.067966,0.113413", \ "0.019642,0.021458,0.024967,0.031648,0.044222,0.067963,0.113408", \ "0.023346,0.024627,0.027332,0.032995,0.044519,0.067961,0.113398", \ "0.030053,0.031407,0.034062,0.039275,0.049108,0.069586,0.113399", \ "0.038281,0.039545,0.042093,0.047211,0.057347,0.076612,0.115853", \ "0.048008,0.049250,0.051642,0.056674,0.066816,0.086696,0.124115"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014252,0.015357,0.017484,0.021563,0.029383,0.044428,0.073520", \ "0.015317,0.016429,0.018566,0.022658,0.030491,0.045547,0.074646", \ "0.020139,0.021208,0.023213,0.027247,0.035065,0.050100,0.079184", \ "0.026234,0.027767,0.030607,0.035709,0.044475,0.059416,0.088275", \ "0.030349,0.032311,0.035988,0.042593,0.054037,0.072950,0.103179", \ "0.032606,0.034980,0.039426,0.047458,0.061389,0.084617,0.121777", \ "0.032983,0.035773,0.040963,0.050336,0.066675,0.093955,0.137942"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023768,0.025571,0.029065,0.035820,0.048904,0.074290,0.123670", \ "0.025325,0.027163,0.030716,0.037568,0.050777,0.076295,0.125776", \ "0.030385,0.032206,0.035739,0.042600,0.055872,0.081544,0.131206", \ "0.038167,0.040285,0.044249,0.051560,0.064772,0.090364,0.140068", \ "0.046954,0.049487,0.054202,0.062777,0.078074,0.104765,0.154245", \ "0.057399,0.060371,0.065893,0.075796,0.093231,0.123364,0.174992", \ "0.069578,0.073038,0.079403,0.090751,0.110433,0.143982,0.200865"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010618,0.011513,0.013241,0.016624,0.023209,0.036057,0.061083", \ "0.010618,0.011511,0.013242,0.016627,0.023211,0.036055,0.061083", \ "0.010949,0.011722,0.013285,0.016506,0.023202,0.036060,0.061073", \ "0.015931,0.016703,0.018145,0.020817,0.025761,0.036530,0.061075", \ "0.022623,0.023609,0.025401,0.028641,0.034281,0.044048,0.063312", \ "0.030595,0.031790,0.033992,0.037930,0.044743,0.056064,0.074886", \ "0.039869,0.041325,0.043967,0.048662,0.056654,0.069897,0.091261"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014399,0.016009,0.019150,0.025274,0.037209,0.060419,0.105698", \ "0.014395,0.016008,0.019147,0.025266,0.037187,0.060419,0.105689", \ "0.014436,0.016030,0.019153,0.025270,0.037195,0.060436,0.105679", \ "0.017695,0.019072,0.021588,0.026682,0.037511,0.060416,0.105691", \ "0.022610,0.024037,0.026789,0.032111,0.042150,0.062077,0.105670", \ "0.028956,0.030408,0.033251,0.038744,0.049290,0.069068,0.108142", \ "0.036565,0.038118,0.041063,0.046783,0.057685,0.078333,0.116488"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.011863,0.012801,0.014603,0.018050,0.024639,0.037292,0.061731", \ "0.013041,0.013982,0.015791,0.019249,0.025849,0.038511,0.062957", \ "0.018112,0.019078,0.020867,0.024156,0.030702,0.043337,0.067761", \ "0.023422,0.024830,0.027437,0.032099,0.040082,0.053157,0.077341", \ "0.026614,0.028432,0.031842,0.037936,0.048441,0.065715,0.092945", \ "0.027736,0.029944,0.034107,0.041575,0.054469,0.075812,0.109730", \ "0.026698,0.029315,0.034197,0.042971,0.058179,0.083433,0.123813"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023697,0.025501,0.028995,0.035751,0.048835,0.074223,0.123604", \ "0.025211,0.027046,0.030596,0.037447,0.050654,0.076176,0.125663", \ "0.030304,0.032116,0.035636,0.042479,0.055735,0.081396,0.131060", \ "0.038120,0.040234,0.044188,0.051489,0.064673,0.090231,0.139913", \ "0.047025,0.049560,0.054247,0.062813,0.078063,0.104711,0.154140", \ "0.057859,0.060796,0.066264,0.076100,0.093453,0.123485,0.175020", \ "0.070642,0.074045,0.080331,0.091547,0.111064,0.144448,0.201152"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008898,0.009648,0.011109,0.013956,0.019505,0.030320,0.051386", \ "0.008848,0.009609,0.011098,0.013956,0.019503,0.030316,0.051387", \ "0.009664,0.010235,0.011428,0.013964,0.019388,0.030322,0.051390", \ "0.014803,0.015481,0.016740,0.019036,0.023153,0.031489,0.051362", \ "0.021346,0.022237,0.023838,0.026730,0.031735,0.040142,0.055379", \ "0.029209,0.030304,0.032280,0.035830,0.041924,0.051988,0.068316", \ "0.038404,0.039738,0.042142,0.046406,0.053617,0.065464,0.084419"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014397,0.016011,0.019146,0.025266,0.037189,0.060426,0.105699", \ "0.014393,0.016010,0.019146,0.025270,0.037192,0.060417,0.105696", \ "0.014440,0.016032,0.019154,0.025264,0.037192,0.060433,0.105678", \ "0.017707,0.019080,0.021604,0.026688,0.037520,0.060418,0.105693", \ "0.022577,0.024007,0.026774,0.032103,0.042150,0.062085,0.105672", \ "0.028789,0.030247,0.033086,0.038625,0.049220,0.069033,0.108137", \ "0.036215,0.037757,0.040728,0.046469,0.057440,0.078175,0.116408"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.015219,0.016176,0.018016,0.021533,0.028232,0.041030,0.065630", \ "0.016405,0.017366,0.019213,0.022738,0.029445,0.042250,0.066856", \ "0.021482,0.022356,0.024115,0.027598,0.034290,0.047072,0.071658", \ "0.028660,0.029929,0.032303,0.036605,0.044101,0.056831,0.081218", \ "0.033622,0.035254,0.038384,0.044034,0.053902,0.070403,0.096826", \ "0.036431,0.038443,0.042240,0.049154,0.061290,0.081726,0.114624", \ "0.037047,0.039431,0.043892,0.051981,0.066314,0.090505,0.129715"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024413,0.026224,0.029721,0.036497,0.049616,0.075079,0.124589", \ "0.025939,0.027776,0.031330,0.038196,0.051435,0.077024,0.126644", \ "0.031025,0.032841,0.036369,0.043227,0.056520,0.082248,0.132049", \ "0.038990,0.041084,0.045017,0.052264,0.065459,0.091088,0.140904", \ "0.048111,0.050610,0.055261,0.063753,0.078958,0.105568,0.155135", \ "0.059185,0.062088,0.067486,0.077233,0.094505,0.124479,0.176011", \ "0.072281,0.075626,0.081808,0.092907,0.112299,0.145593,0.202273"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010896,0.011656,0.013135,0.016014,0.021597,0.032454,0.053578", \ "0.010908,0.011661,0.013143,0.016013,0.021597,0.032455,0.053577", \ "0.010922,0.011625,0.013012,0.015828,0.021566,0.032456,0.053581", \ "0.015738,0.016409,0.017656,0.019953,0.024129,0.033099,0.053573", \ "0.022357,0.023241,0.024824,0.027682,0.032629,0.041052,0.056769", \ "0.030266,0.031361,0.033306,0.036821,0.042890,0.052915,0.069228", \ "0.039495,0.040802,0.043188,0.047425,0.054610,0.066452,0.085368"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019599,0.021439,0.024961,0.031650,0.044230,0.067962,0.113401", \ "0.019602,0.021438,0.024960,0.031650,0.044226,0.067966,0.113414", \ "0.019639,0.021455,0.024965,0.031648,0.044224,0.067963,0.113402", \ "0.023364,0.024647,0.027350,0.033010,0.044528,0.067962,0.113399", \ "0.030017,0.031369,0.034041,0.039267,0.049111,0.069594,0.113399", \ "0.038070,0.039344,0.041917,0.047084,0.057270,0.076575,0.115844", \ "0.047576,0.048807,0.051259,0.056307,0.066562,0.086537,0.124043"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.011863,0.012801,0.014603,0.018050,0.024639,0.037292,0.061731", \ "0.013041,0.013982,0.015791,0.019249,0.025849,0.038511,0.062957", \ "0.018112,0.019078,0.020867,0.024156,0.030702,0.043337,0.067761", \ "0.023422,0.024830,0.027437,0.032099,0.040082,0.053157,0.077341", \ "0.026614,0.028432,0.031842,0.037936,0.048441,0.065715,0.092945", \ "0.027736,0.029944,0.034107,0.041575,0.054469,0.075812,0.109730", \ "0.026698,0.029315,0.034197,0.042971,0.058179,0.083433,0.123813"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023697,0.025501,0.028995,0.035751,0.048835,0.074223,0.123604", \ "0.025211,0.027046,0.030596,0.037447,0.050654,0.076176,0.125663", \ "0.030304,0.032116,0.035636,0.042479,0.055735,0.081396,0.131060", \ "0.038120,0.040234,0.044188,0.051489,0.064673,0.090231,0.139913", \ "0.047025,0.049560,0.054247,0.062813,0.078063,0.104711,0.154140", \ "0.057859,0.060796,0.066264,0.076100,0.093453,0.123485,0.175020", \ "0.070642,0.074045,0.080331,0.091547,0.111064,0.144448,0.201152"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008898,0.009648,0.011109,0.013956,0.019505,0.030320,0.051386", \ "0.008848,0.009609,0.011098,0.013956,0.019503,0.030316,0.051387", \ "0.009664,0.010235,0.011428,0.013964,0.019388,0.030322,0.051390", \ "0.014803,0.015481,0.016740,0.019036,0.023153,0.031489,0.051362", \ "0.021346,0.022237,0.023838,0.026730,0.031735,0.040142,0.055379", \ "0.029209,0.030304,0.032280,0.035830,0.041924,0.051988,0.068316", \ "0.038404,0.039738,0.042142,0.046406,0.053617,0.065464,0.084419"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014397,0.016011,0.019146,0.025266,0.037189,0.060426,0.105699", \ "0.014393,0.016010,0.019146,0.025270,0.037192,0.060417,0.105696", \ "0.014440,0.016032,0.019154,0.025264,0.037192,0.060433,0.105678", \ "0.017707,0.019080,0.021604,0.026688,0.037520,0.060418,0.105693", \ "0.022577,0.024007,0.026774,0.032103,0.042150,0.062085,0.105672", \ "0.028789,0.030247,0.033086,0.038625,0.049220,0.069033,0.108137", \ "0.036215,0.037757,0.040728,0.046469,0.057440,0.078175,0.116408"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010221,0.010988,0.012460,0.015272,0.020636,0.030919,0.050763", \ "0.011493,0.012262,0.013738,0.016558,0.021931,0.032220,0.052068", \ "0.016418,0.017298,0.018913,0.021775,0.027065,0.037319,0.057136", \ "0.020760,0.022041,0.024419,0.028659,0.035869,0.047559,0.067246", \ "0.022861,0.024542,0.027672,0.033262,0.042811,0.058423,0.082809", \ "0.022661,0.024722,0.028572,0.035468,0.047293,0.066720,0.097307", \ "0.020039,0.022479,0.027027,0.035183,0.049233,0.072375,0.109016"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023686,0.025491,0.028984,0.035740,0.048824,0.074215,0.123599", \ "0.025126,0.026961,0.030506,0.037354,0.050559,0.076082,0.125582", \ "0.030218,0.032023,0.035526,0.042345,0.055582,0.081225,0.130892", \ "0.038071,0.040179,0.044128,0.051413,0.064568,0.090085,0.139738", \ "0.047110,0.049629,0.054301,0.062832,0.078054,0.104653,0.154030", \ "0.058359,0.061284,0.066679,0.076443,0.093699,0.123634,0.175066", \ "0.071825,0.075167,0.081353,0.092433,0.111773,0.144966,0.201484"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.007100,0.007706,0.008885,0.011185,0.015676,0.024455,0.041587", \ "0.007067,0.007685,0.008876,0.011185,0.015679,0.024455,0.041589", \ "0.008650,0.009097,0.009919,0.011728,0.015737,0.024463,0.041583", \ "0.013656,0.014255,0.015349,0.017317,0.020750,0.026928,0.041735", \ "0.019989,0.020776,0.022196,0.024740,0.029119,0.036331,0.048239", \ "0.027678,0.028668,0.030437,0.033580,0.038957,0.047778,0.061811", \ "0.036768,0.037973,0.040139,0.043958,0.050374,0.060806,0.077366"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014397,0.016011,0.019146,0.025264,0.037185,0.060427,0.105701", \ "0.014392,0.016009,0.019145,0.025271,0.037210,0.060417,0.105696", \ "0.014443,0.016033,0.019155,0.025271,0.037189,0.060426,0.105681", \ "0.017722,0.019096,0.021622,0.026703,0.037532,0.060420,0.105694", \ "0.022547,0.023984,0.026753,0.032096,0.042154,0.062088,0.105680", \ "0.028579,0.030048,0.032923,0.038497,0.049134,0.068994,0.108130", \ "0.035835,0.037361,0.040318,0.046101,0.057163,0.077995,0.116327"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024050,0.025187,0.027369,0.031544,0.039506,0.054726,0.084007", \ "0.025604,0.026747,0.028943,0.033136,0.041131,0.056384,0.085691", \ "0.029938,0.031087,0.033300,0.037519,0.045557,0.060872,0.090246", \ "0.036884,0.038173,0.040626,0.045190,0.053518,0.068895,0.098365", \ "0.043517,0.045137,0.048186,0.053728,0.063594,0.080757,0.110979", \ "0.048140,0.050170,0.053984,0.060837,0.072945,0.093413,0.127318", \ "0.050374,0.052824,0.057424,0.065746,0.080276,0.104701,0.144265"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030763,0.032593,0.036144,0.043012,0.056289,0.081977,0.131788", \ "0.031638,0.033493,0.037081,0.044012,0.057368,0.083123,0.132991", \ "0.036403,0.038238,0.041797,0.048694,0.062038,0.087827,0.137792", \ "0.047791,0.049660,0.053121,0.059758,0.072742,0.098168,0.147822", \ "0.061836,0.064181,0.068629,0.076708,0.091002,0.115954,0.164769", \ "0.077205,0.079973,0.085264,0.094841,0.111952,0.141181,0.190131", \ "0.094320,0.097496,0.103476,0.114491,0.134137,0.168045,0.223972"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012958,0.013867,0.015635,0.019064,0.025708,0.038609,0.063692", \ "0.012959,0.013876,0.015638,0.019057,0.025704,0.038605,0.063692", \ "0.012909,0.013827,0.015609,0.019045,0.025701,0.038608,0.063688", \ "0.014950,0.015772,0.017362,0.020369,0.026341,0.038654,0.063694", \ "0.019815,0.020645,0.022206,0.025170,0.030807,0.041761,0.064436", \ "0.026675,0.027612,0.029362,0.032608,0.038436,0.049112,0.069825", \ "0.035018,0.036111,0.038122,0.041784,0.048282,0.059533,0.079951"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.034210,0.035904,0.039200,0.045580,0.057884,0.081508,0.127070", \ "0.034211,0.035903,0.039198,0.045582,0.057882,0.081508,0.127075", \ "0.034204,0.035901,0.039195,0.045579,0.057881,0.081508,0.127070", \ "0.036821,0.038162,0.040839,0.046332,0.057862,0.081506,0.127061", \ "0.046200,0.047381,0.049548,0.053738,0.062835,0.082736,0.127055", \ "0.057444,0.058778,0.061323,0.066211,0.075214,0.091814,0.129335", \ "0.069707,0.071194,0.074056,0.079541,0.089773,0.107995,0.140777"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019942,0.021053,0.023191,0.027289,0.035131,0.050197,0.079308", \ "0.021447,0.022571,0.024730,0.028860,0.036742,0.051848,0.080990", \ "0.025707,0.026842,0.029021,0.033187,0.041131,0.056318,0.085537", \ "0.031692,0.033065,0.035644,0.040377,0.048929,0.064303,0.093633", \ "0.036571,0.038363,0.041689,0.047674,0.058084,0.075722,0.106216", \ "0.039243,0.041482,0.045678,0.053148,0.066069,0.087447,0.122088", \ "0.039395,0.042105,0.047225,0.056300,0.071870,0.097524,0.138224"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.029969,0.031799,0.035345,0.042200,0.055452,0.081080,0.130750", \ "0.030837,0.032693,0.036279,0.043194,0.056521,0.082235,0.131964", \ "0.035614,0.037443,0.040996,0.047879,0.061197,0.086942,0.136768", \ "0.046944,0.048829,0.052353,0.058964,0.071917,0.097276,0.146784", \ "0.060691,0.063055,0.067561,0.075708,0.090099,0.115061,0.163739", \ "0.075730,0.078542,0.083884,0.093557,0.110786,0.140180,0.189101", \ "0.092498,0.095714,0.101768,0.112912,0.132706,0.166744,0.222809"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010576,0.011479,0.013214,0.016612,0.023215,0.036061,0.061083", \ "0.010576,0.011478,0.013220,0.016609,0.023207,0.036061,0.061087", \ "0.010642,0.011488,0.013204,0.016597,0.023206,0.036063,0.061079", \ "0.013345,0.014117,0.015629,0.018591,0.024281,0.036257,0.061078", \ "0.018588,0.019405,0.020941,0.023840,0.029287,0.039962,0.062095", \ "0.025578,0.026515,0.028254,0.031481,0.037244,0.047706,0.068009", \ "0.034022,0.035113,0.037105,0.040763,0.047238,0.058371,0.078427"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025494,0.027286,0.030738,0.037345,0.049873,0.073653,0.119257", \ "0.025493,0.027287,0.030739,0.037343,0.049875,0.073649,0.119258", \ "0.025487,0.027280,0.030735,0.037341,0.049871,0.073648,0.119253", \ "0.028248,0.029666,0.032477,0.038154,0.049860,0.073642,0.119247", \ "0.037020,0.038428,0.041051,0.045825,0.054960,0.074921,0.119241", \ "0.046658,0.048277,0.051289,0.056900,0.066872,0.084123,0.121569", \ "0.057179,0.058970,0.062368,0.068699,0.080077,0.099619,0.133089"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016784,0.017728,0.019543,0.023015,0.029649,0.042378,0.066954", \ "0.018363,0.019317,0.021150,0.024648,0.031315,0.044078,0.068679", \ "0.022682,0.023644,0.025486,0.029004,0.035717,0.048546,0.073212", \ "0.028028,0.029252,0.031545,0.035721,0.043193,0.056458,0.081229", \ "0.031968,0.033586,0.036598,0.041989,0.051308,0.066926,0.093521", \ "0.033488,0.035539,0.039378,0.046191,0.057873,0.077065,0.107827", \ "0.032241,0.034742,0.039468,0.047817,0.062021,0.085277,0.121823"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.029862,0.031692,0.035239,0.042092,0.055344,0.080979,0.130647", \ "0.030616,0.032470,0.036054,0.042969,0.056295,0.082003,0.131741", \ "0.035461,0.037284,0.040825,0.047688,0.060981,0.086711,0.136509", \ "0.046978,0.048865,0.052368,0.058955,0.071860,0.097168,0.146615", \ "0.060975,0.063347,0.067817,0.075940,0.090273,0.115150,0.163743", \ "0.076461,0.079251,0.084519,0.094153,0.111302,0.140544,0.189358", \ "0.093809,0.096985,0.102991,0.114037,0.133717,0.167596,0.223445"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008793,0.009544,0.011017,0.013875,0.019445,0.030295,0.051440", \ "0.008791,0.009544,0.011014,0.013874,0.019442,0.030293,0.051443", \ "0.009014,0.009708,0.011088,0.013855,0.019433,0.030294,0.051441", \ "0.011805,0.012464,0.013734,0.016204,0.021015,0.030793,0.051430", \ "0.016827,0.017539,0.018878,0.021374,0.026015,0.035013,0.053222", \ "0.023419,0.024258,0.025800,0.028633,0.033651,0.042628,0.059779", \ "0.031398,0.032395,0.034164,0.037429,0.043145,0.052832,0.069990"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025494,0.027285,0.030738,0.037343,0.049875,0.073657,0.119257", \ "0.025494,0.027284,0.030739,0.037343,0.049876,0.073652,0.119253", \ "0.025487,0.027280,0.030736,0.037340,0.049870,0.073649,0.119254", \ "0.028236,0.029651,0.032474,0.038148,0.049863,0.073641,0.119248", \ "0.036861,0.038278,0.040922,0.045708,0.054904,0.074903,0.119242", \ "0.046272,0.047879,0.050932,0.056586,0.066618,0.083944,0.121525", \ "0.056456,0.058266,0.061689,0.068082,0.079559,0.099211,0.132842"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019942,0.021053,0.023191,0.027289,0.035131,0.050197,0.079308", \ "0.021447,0.022571,0.024730,0.028860,0.036742,0.051848,0.080990", \ "0.025707,0.026842,0.029021,0.033187,0.041131,0.056318,0.085537", \ "0.031692,0.033065,0.035644,0.040377,0.048929,0.064303,0.093633", \ "0.036571,0.038363,0.041689,0.047674,0.058084,0.075722,0.106216", \ "0.039243,0.041482,0.045678,0.053148,0.066069,0.087447,0.122088", \ "0.039395,0.042105,0.047225,0.056300,0.071870,0.097524,0.138224"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.029969,0.031799,0.035345,0.042200,0.055452,0.081080,0.130750", \ "0.030837,0.032693,0.036279,0.043194,0.056521,0.082235,0.131964", \ "0.035614,0.037443,0.040996,0.047879,0.061197,0.086942,0.136768", \ "0.046944,0.048829,0.052353,0.058964,0.071917,0.097276,0.146784", \ "0.060691,0.063055,0.067561,0.075708,0.090099,0.115061,0.163739", \ "0.075730,0.078542,0.083884,0.093557,0.110786,0.140180,0.189101", \ "0.092498,0.095714,0.101768,0.112912,0.132706,0.166744,0.222809"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010576,0.011479,0.013214,0.016612,0.023215,0.036061,0.061083", \ "0.010576,0.011478,0.013220,0.016609,0.023207,0.036061,0.061087", \ "0.010642,0.011488,0.013204,0.016597,0.023206,0.036063,0.061079", \ "0.013345,0.014117,0.015629,0.018591,0.024281,0.036257,0.061078", \ "0.018588,0.019405,0.020941,0.023840,0.029287,0.039962,0.062095", \ "0.025578,0.026515,0.028254,0.031481,0.037244,0.047706,0.068009", \ "0.034022,0.035113,0.037105,0.040763,0.047238,0.058371,0.078427"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025494,0.027286,0.030738,0.037345,0.049873,0.073653,0.119257", \ "0.025493,0.027287,0.030739,0.037343,0.049875,0.073649,0.119258", \ "0.025487,0.027280,0.030735,0.037341,0.049871,0.073648,0.119253", \ "0.028248,0.029666,0.032477,0.038154,0.049860,0.073642,0.119247", \ "0.037020,0.038428,0.041051,0.045825,0.054960,0.074921,0.119241", \ "0.046658,0.048277,0.051289,0.056900,0.066872,0.084123,0.121569", \ "0.057179,0.058970,0.062368,0.068699,0.080077,0.099619,0.133089"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016243,0.017294,0.019329,0.023275,0.030926,0.045789,0.074721", \ "0.017667,0.018743,0.020817,0.024813,0.032519,0.047435,0.076400", \ "0.021689,0.022822,0.024971,0.029050,0.036858,0.051880,0.080941", \ "0.026137,0.027656,0.030456,0.035469,0.044283,0.059816,0.089016", \ "0.028832,0.030869,0.034606,0.041200,0.052351,0.070645,0.101560", \ "0.029130,0.031747,0.036508,0.044822,0.058815,0.081303,0.116872", \ "0.026876,0.030103,0.035924,0.046053,0.062983,0.090103,0.132118"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.029186,0.031015,0.034555,0.041395,0.054609,0.080177,0.129766", \ "0.030050,0.031903,0.035485,0.042386,0.055679,0.081324,0.130983", \ "0.034835,0.036662,0.040206,0.047073,0.060353,0.086033,0.135762", \ "0.046079,0.047999,0.051594,0.058182,0.071092,0.096366,0.145796", \ "0.059545,0.061940,0.066490,0.074705,0.089183,0.114182,0.162724", \ "0.074268,0.077123,0.082509,0.092259,0.109595,0.139104,0.188081", \ "0.090703,0.093949,0.100072,0.111317,0.131211,0.165415,0.221681"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008032,0.008931,0.010677,0.014077,0.020678,0.033516,0.058519", \ "0.008033,0.008933,0.010677,0.014077,0.020685,0.033520,0.058513", \ "0.008554,0.009342,0.010923,0.014130,0.020683,0.033522,0.058523", \ "0.011870,0.012614,0.014050,0.016869,0.022393,0.033953,0.058511", \ "0.017470,0.018279,0.019799,0.022627,0.027907,0.038260,0.059871", \ "0.024749,0.025652,0.027358,0.030520,0.036172,0.046402,0.066311", \ "0.033517,0.034496,0.036404,0.039983,0.046320,0.057295,0.077029"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019469,0.021101,0.024289,0.030496,0.042549,0.065997,0.111532", \ "0.019467,0.021105,0.024286,0.030486,0.042552,0.065990,0.111558", \ "0.019455,0.021100,0.024284,0.030482,0.042535,0.065982,0.111540", \ "0.022355,0.023604,0.026128,0.031363,0.042542,0.065956,0.111536", \ "0.029180,0.030718,0.033550,0.038722,0.047766,0.067277,0.111465", \ "0.036481,0.038324,0.041725,0.047911,0.058658,0.076592,0.113818", \ "0.044532,0.046644,0.050593,0.057760,0.070269,0.091139,0.125483"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.013812,0.014696,0.016412,0.019739,0.026193,0.038733,0.063145", \ "0.015322,0.016228,0.017976,0.021345,0.027846,0.040429,0.064871", \ "0.019256,0.020265,0.022154,0.025617,0.032202,0.044876,0.069396", \ "0.023147,0.024510,0.027014,0.031475,0.039219,0.052723,0.077391", \ "0.025037,0.026886,0.030281,0.036239,0.046249,0.062512,0.089524", \ "0.024295,0.026705,0.031075,0.038688,0.051386,0.071631,0.103269", \ "0.020750,0.023737,0.029118,0.038472,0.053979,0.078620,0.116395"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.029080,0.030908,0.034449,0.041288,0.054502,0.080081,0.129683", \ "0.029827,0.031680,0.035261,0.042162,0.055454,0.081098,0.130751", \ "0.034683,0.036504,0.040037,0.046884,0.060140,0.085805,0.135514", \ "0.046127,0.048037,0.051613,0.058167,0.071041,0.096258,0.145632", \ "0.059837,0.062235,0.066749,0.074942,0.089358,0.114271,0.162729", \ "0.075010,0.077841,0.083161,0.092870,0.110115,0.139498,0.188346", \ "0.092038,0.095255,0.101322,0.112456,0.132229,0.166258,0.222315"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.006641,0.007394,0.008863,0.011728,0.017305,0.028156,0.049293", \ "0.006641,0.007393,0.008866,0.011728,0.017305,0.028162,0.049293", \ "0.007380,0.008012,0.009296,0.011907,0.017320,0.028159,0.049293", \ "0.010621,0.011256,0.012475,0.014830,0.019498,0.028956,0.049294", \ "0.015914,0.016627,0.017959,0.020415,0.024929,0.033646,0.051493", \ "0.022792,0.023590,0.025104,0.027887,0.032828,0.041597,0.058432", \ "0.031046,0.031960,0.033657,0.036847,0.042463,0.052019,0.068907"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019466,0.021102,0.024287,0.030488,0.042541,0.066006,0.111576", \ "0.019469,0.021103,0.024289,0.030486,0.042546,0.065980,0.111535", \ "0.019457,0.021102,0.024286,0.030488,0.042537,0.065995,0.111532", \ "0.022337,0.023589,0.026121,0.031369,0.042551,0.065952,0.111537", \ "0.029051,0.030592,0.033437,0.038626,0.047700,0.067254,0.111465", \ "0.036136,0.037981,0.041405,0.047626,0.058416,0.076417,0.113775", \ "0.043889,0.046031,0.049998,0.057199,0.069777,0.090731,0.125229"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.017524,0.018474,0.020302,0.023801,0.030489,0.043326,0.068110", \ "0.019041,0.020002,0.021848,0.025373,0.032096,0.044967,0.069777", \ "0.023981,0.024944,0.026800,0.030357,0.037135,0.050079,0.074958", \ "0.030751,0.032050,0.034466,0.038829,0.046473,0.059724,0.084705", \ "0.035628,0.037364,0.040597,0.046404,0.056422,0.072963,0.099908", \ "0.038095,0.040282,0.044390,0.051694,0.064309,0.085095,0.117919", \ "0.037954,0.040618,0.045631,0.054524,0.069799,0.094918,0.134525"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.032299,0.034117,0.037642,0.044471,0.057685,0.083286,0.132909", \ "0.033189,0.035031,0.038600,0.045493,0.058794,0.084486,0.134187", \ "0.037944,0.039763,0.043295,0.050149,0.063440,0.089173,0.138954", \ "0.049397,0.051223,0.054602,0.061211,0.074153,0.099487,0.148970", \ "0.063790,0.066105,0.070464,0.078405,0.092497,0.117294,0.165986", \ "0.079457,0.082182,0.087385,0.096838,0.113756,0.142734,0.191404", \ "0.096844,0.099947,0.105840,0.116749,0.136215,0.169861,0.225438"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.009653,0.010402,0.011860,0.014705,0.020243,0.031029,0.052041", \ "0.009651,0.010404,0.011860,0.014703,0.020245,0.031029,0.052043", \ "0.009759,0.010451,0.011838,0.014674,0.020240,0.031042,0.052043", \ "0.013443,0.014068,0.015265,0.017564,0.021932,0.031408,0.052033", \ "0.019315,0.020044,0.021408,0.023949,0.028561,0.036995,0.053900", \ "0.026709,0.027559,0.029135,0.032079,0.037348,0.046513,0.062763", \ "0.035539,0.036529,0.038334,0.041713,0.047726,0.058071,0.075569"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026677,0.028482,0.031955,0.038579,0.051138,0.074936,0.120569", \ "0.026675,0.028481,0.031950,0.038583,0.051138,0.074940,0.120567", \ "0.026671,0.028478,0.031951,0.038578,0.051133,0.074939,0.120555", \ "0.028954,0.030430,0.033339,0.039164,0.051117,0.074929,0.120560", \ "0.037598,0.039018,0.041634,0.046335,0.055731,0.075992,0.120553", \ "0.047275,0.048887,0.051913,0.057510,0.067457,0.084783,0.122625", \ "0.057796,0.059599,0.063006,0.069331,0.080687,0.100163,0.133760"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014476,0.015368,0.017099,0.020453,0.026956,0.039589,0.064174", \ "0.015924,0.016838,0.018601,0.021998,0.028548,0.041224,0.065840", \ "0.020564,0.021574,0.023419,0.026897,0.033541,0.046315,0.071013", \ "0.025428,0.026884,0.029558,0.034300,0.042375,0.055909,0.080745", \ "0.028078,0.030060,0.033680,0.040087,0.050891,0.068266,0.095857", \ "0.028223,0.030763,0.035403,0.043520,0.057186,0.079115,0.113018", \ "0.025721,0.028851,0.034530,0.044443,0.061022,0.087610,0.128591"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031522,0.033334,0.036853,0.043661,0.056839,0.082364,0.131952", \ "0.032400,0.034243,0.037804,0.044677,0.057940,0.083566,0.133238", \ "0.037164,0.038978,0.042504,0.049340,0.062583,0.088242,0.137984", \ "0.048574,0.050427,0.053839,0.060429,0.073315,0.098566,0.148001", \ "0.062716,0.065013,0.069433,0.077421,0.091607,0.116411,0.164954", \ "0.078060,0.080810,0.086055,0.095570,0.112582,0.141679,0.190385", \ "0.095121,0.098262,0.104207,0.115198,0.134758,0.168532,0.224303"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.007433,0.008191,0.009661,0.012519,0.018077,0.028865,0.049835", \ "0.007431,0.008191,0.009662,0.012522,0.018077,0.028865,0.049823", \ "0.008099,0.008721,0.009990,0.012604,0.018074,0.028863,0.049827", \ "0.012309,0.012932,0.014120,0.016366,0.020656,0.029594,0.049821", \ "0.018389,0.019101,0.020455,0.022980,0.027552,0.035841,0.052237", \ "0.026072,0.026869,0.028392,0.031267,0.036452,0.045542,0.061613", \ "0.035216,0.036117,0.037818,0.041062,0.046959,0.057179,0.074549"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020604,0.022256,0.025458,0.031686,0.043772,0.067256,0.112915", \ "0.020605,0.022248,0.025458,0.031685,0.043774,0.067244,0.112906", \ "0.020602,0.022251,0.025457,0.031686,0.043770,0.067227,0.112857", \ "0.022991,0.024308,0.026929,0.032324,0.043752,0.067212,0.112875", \ "0.029976,0.031494,0.034289,0.039405,0.048494,0.068331,0.112799", \ "0.037411,0.039220,0.042577,0.048687,0.059333,0.077222,0.114885", \ "0.045539,0.047632,0.051528,0.058618,0.070998,0.091731,0.126131"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012027,0.012745,0.014139,0.016841,0.022080,0.032259,0.052071", \ "0.013577,0.014313,0.015734,0.018469,0.023746,0.033959,0.053796", \ "0.018208,0.019079,0.020696,0.023593,0.028956,0.039251,0.059156", \ "0.022376,0.023677,0.026062,0.030276,0.037387,0.049058,0.069207", \ "0.024184,0.025980,0.029261,0.035022,0.044689,0.060117,0.084144", \ "0.023252,0.025592,0.029839,0.037244,0.049612,0.069281,0.099397", \ "0.019409,0.022309,0.027559,0.036675,0.051832,0.075917,0.112627"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031412,0.033226,0.036744,0.043554,0.056729,0.082255,0.131834", \ "0.032172,0.034011,0.037571,0.044445,0.057712,0.083339,0.133017", \ "0.037004,0.038812,0.042324,0.049140,0.062360,0.087986,0.137721", \ "0.048617,0.050464,0.053858,0.060429,0.073266,0.098455,0.147824", \ "0.063002,0.065322,0.069699,0.077665,0.091795,0.116527,0.164981", \ "0.078791,0.081539,0.086720,0.096191,0.113130,0.142113,0.190705", \ "0.096429,0.099543,0.105443,0.116337,0.135788,0.169445,0.225003"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.005818,0.006428,0.007614,0.009921,0.014403,0.023107,0.040045", \ "0.005818,0.006428,0.007614,0.009922,0.014399,0.023111,0.040042", \ "0.006912,0.007363,0.008293,0.010247,0.014426,0.023112,0.040047", \ "0.010970,0.011504,0.012509,0.014379,0.017851,0.024606,0.040096", \ "0.016689,0.017304,0.018487,0.020658,0.024564,0.031445,0.044214", \ "0.023936,0.024631,0.025973,0.028477,0.032965,0.040755,0.054134", \ "0.032595,0.033396,0.034885,0.037753,0.042908,0.051734,0.066574"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020606,0.022249,0.025462,0.031683,0.043774,0.067261,0.112879", \ "0.020600,0.022253,0.025458,0.031690,0.043775,0.067249,0.112916", \ "0.020602,0.022250,0.025458,0.031685,0.043769,0.067227,0.112855", \ "0.022975,0.024295,0.026923,0.032317,0.043754,0.067219,0.112868", \ "0.029846,0.031359,0.034176,0.039301,0.048428,0.068301,0.112807", \ "0.037076,0.038900,0.042272,0.048406,0.059093,0.077047,0.114836", \ "0.044933,0.047038,0.050946,0.058060,0.070510,0.091335,0.125886"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.027961,0.029100,0.031293,0.035494,0.043508,0.058817,0.088195", \ "0.029266,0.030406,0.032600,0.036805,0.044828,0.060141,0.089528", \ "0.033620,0.034766,0.036969,0.041184,0.049228,0.064568,0.093981", \ "0.041115,0.042355,0.044717,0.049169,0.057322,0.072693,0.102165", \ "0.049098,0.050603,0.053442,0.058674,0.068136,0.084920,0.114896", \ "0.055461,0.057331,0.060854,0.067287,0.078748,0.098430,0.131693", \ "0.059775,0.062008,0.066236,0.073950,0.087636,0.110994,0.149432"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.034694,0.036518,0.040061,0.046920,0.060182,0.085853,0.135652", \ "0.036345,0.038188,0.041759,0.048653,0.061968,0.087675,0.137524", \ "0.041408,0.043263,0.046856,0.053796,0.067191,0.093013,0.142972", \ "0.050443,0.052352,0.055920,0.062793,0.076137,0.101956,0.151964", \ "0.061751,0.063990,0.068226,0.076068,0.090432,0.116367,0.166226", \ "0.075030,0.077581,0.082425,0.091356,0.107529,0.136333,0.187016", \ "0.090484,0.093362,0.098874,0.108960,0.126966,0.158761,0.214056"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.015255,0.016180,0.017972,0.021431,0.028128,0.041087,0.066223", \ "0.015254,0.016178,0.017967,0.021433,0.028131,0.041087,0.066225", \ "0.015239,0.016162,0.017956,0.021425,0.028127,0.041083,0.066225", \ "0.016817,0.017664,0.019277,0.022381,0.028588,0.041107,0.066223", \ "0.021391,0.022220,0.023803,0.026826,0.032590,0.043739,0.066835", \ "0.028088,0.029022,0.030784,0.034033,0.039936,0.050788,0.071748", \ "0.036071,0.037176,0.039225,0.042937,0.049511,0.060936,0.081638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.034209,0.035903,0.039198,0.045583,0.057884,0.081513,0.127062", \ "0.034211,0.035900,0.039197,0.045582,0.057883,0.081509,0.127072", \ "0.034207,0.035899,0.039196,0.045580,0.057881,0.081504,0.127071", \ "0.035606,0.037100,0.040070,0.045969,0.057884,0.081508,0.127071", \ "0.041860,0.043179,0.045743,0.050673,0.060963,0.082360,0.127068", \ "0.049940,0.051301,0.053922,0.059077,0.069015,0.088132,0.128744", \ "0.059115,0.060519,0.063177,0.068491,0.078793,0.098506,0.136031"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023663,0.024788,0.026956,0.031110,0.039042,0.054231,0.083466", \ "0.024960,0.026088,0.028260,0.032420,0.040363,0.055558,0.084799", \ "0.029290,0.030424,0.032606,0.036779,0.044748,0.059976,0.089247", \ "0.036165,0.037452,0.039893,0.044450,0.052770,0.068086,0.097422", \ "0.042686,0.044327,0.047382,0.052950,0.062814,0.079963,0.110130", \ "0.047306,0.049337,0.053162,0.060069,0.072208,0.092664,0.126522", \ "0.049839,0.052275,0.056876,0.065194,0.079714,0.104099,0.143534"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033903,0.035726,0.039262,0.046109,0.059342,0.084961,0.134625", \ "0.035550,0.037391,0.040955,0.047840,0.061127,0.086798,0.136497", \ "0.040612,0.042463,0.046050,0.052981,0.066342,0.092125,0.141954", \ "0.049597,0.051526,0.055125,0.061986,0.075293,0.101055,0.150933", \ "0.060725,0.062987,0.067244,0.075134,0.089540,0.115460,0.165178", \ "0.073800,0.076369,0.081258,0.090251,0.106488,0.135329,0.185972", \ "0.088991,0.091909,0.097476,0.107658,0.125753,0.157604,0.212912"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012942,0.013850,0.015616,0.019039,0.025672,0.038559,0.063618", \ "0.012946,0.013849,0.015614,0.019037,0.025672,0.038559,0.063622", \ "0.012942,0.013847,0.015603,0.019027,0.025673,0.038561,0.063616", \ "0.015109,0.015917,0.017489,0.020522,0.026451,0.038692,0.063616", \ "0.020076,0.020902,0.022457,0.025399,0.030990,0.041886,0.064455", \ "0.026829,0.027774,0.029545,0.032803,0.038646,0.049325,0.069906", \ "0.034805,0.035918,0.037963,0.041706,0.048305,0.059666,0.080075"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025492,0.027285,0.030737,0.037344,0.049875,0.073655,0.119256", \ "0.025494,0.027285,0.030739,0.037342,0.049876,0.073655,0.119255", \ "0.025489,0.027281,0.030736,0.037342,0.049872,0.073648,0.119251", \ "0.026973,0.028550,0.031660,0.037764,0.049873,0.073644,0.119248", \ "0.032968,0.034445,0.037289,0.042685,0.053035,0.074518,0.119233", \ "0.040130,0.041659,0.044584,0.050211,0.060774,0.080380,0.120944", \ "0.048263,0.049856,0.052871,0.058714,0.069755,0.090261,0.128290"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019824,0.020784,0.022628,0.026153,0.032872,0.045717,0.070405", \ "0.021227,0.022189,0.024036,0.027566,0.034293,0.047144,0.071838", \ "0.025585,0.026549,0.028402,0.031938,0.038691,0.051567,0.076288", \ "0.031892,0.033035,0.035190,0.039189,0.046451,0.059574,0.084371", \ "0.037367,0.038840,0.041606,0.046615,0.055431,0.070584,0.096804", \ "0.040718,0.042576,0.046067,0.052351,0.063308,0.081650,0.111671", \ "0.041733,0.043972,0.048217,0.055848,0.069087,0.091133,0.126509"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033797,0.035619,0.039156,0.046001,0.059235,0.084854,0.134518", \ "0.035343,0.037182,0.040745,0.047626,0.060910,0.086577,0.136288", \ "0.040463,0.042308,0.045884,0.052798,0.066139,0.091901,0.141707", \ "0.049525,0.051450,0.055040,0.061888,0.075164,0.100889,0.150731", \ "0.060768,0.063020,0.067270,0.075129,0.089513,0.115390,0.165049", \ "0.074167,0.076722,0.081587,0.090515,0.106691,0.135447,0.185994", \ "0.089942,0.092816,0.098320,0.108410,0.126383,0.158080,0.213208"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010789,0.011549,0.013037,0.015915,0.021515,0.032392,0.053557", \ "0.010789,0.011550,0.013033,0.015920,0.021510,0.032391,0.053558", \ "0.010884,0.011613,0.013049,0.015905,0.021509,0.032391,0.053558", \ "0.013253,0.013935,0.015247,0.017794,0.022711,0.032765,0.053561", \ "0.018068,0.018786,0.020135,0.022669,0.027426,0.036590,0.055114", \ "0.024443,0.025288,0.026844,0.029704,0.034798,0.043935,0.061332", \ "0.032030,0.033030,0.034840,0.038152,0.043955,0.053851,0.071335"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025493,0.027284,0.030738,0.037343,0.049874,0.073656,0.119256", \ "0.025493,0.027284,0.030739,0.037341,0.049874,0.073651,0.119260", \ "0.025490,0.027281,0.030736,0.037341,0.049872,0.073649,0.119253", \ "0.026987,0.028564,0.031669,0.037775,0.049875,0.073644,0.119250", \ "0.032953,0.034433,0.037282,0.042684,0.053040,0.074528,0.119234", \ "0.039976,0.041507,0.044458,0.050108,0.060710,0.080351,0.120944", \ "0.047873,0.049470,0.052519,0.058422,0.069516,0.090115,0.128223"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023663,0.024788,0.026956,0.031110,0.039042,0.054231,0.083466", \ "0.024960,0.026088,0.028260,0.032420,0.040363,0.055558,0.084799", \ "0.029290,0.030424,0.032606,0.036779,0.044748,0.059976,0.089247", \ "0.036165,0.037452,0.039893,0.044450,0.052770,0.068086,0.097422", \ "0.042686,0.044327,0.047382,0.052950,0.062814,0.079963,0.110130", \ "0.047306,0.049337,0.053162,0.060069,0.072208,0.092664,0.126522", \ "0.049839,0.052275,0.056876,0.065194,0.079714,0.104099,0.143534"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033903,0.035726,0.039262,0.046109,0.059342,0.084961,0.134625", \ "0.035550,0.037391,0.040955,0.047840,0.061127,0.086798,0.136497", \ "0.040612,0.042463,0.046050,0.052981,0.066342,0.092125,0.141954", \ "0.049597,0.051526,0.055125,0.061986,0.075293,0.101055,0.150933", \ "0.060725,0.062987,0.067244,0.075134,0.089540,0.115460,0.165178", \ "0.073800,0.076369,0.081258,0.090251,0.106488,0.135329,0.185972", \ "0.088991,0.091909,0.097476,0.107658,0.125753,0.157604,0.212912"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012942,0.013850,0.015616,0.019039,0.025672,0.038559,0.063618", \ "0.012946,0.013849,0.015614,0.019037,0.025672,0.038559,0.063622", \ "0.012942,0.013847,0.015603,0.019027,0.025673,0.038561,0.063616", \ "0.015109,0.015917,0.017489,0.020522,0.026451,0.038692,0.063616", \ "0.020076,0.020902,0.022457,0.025399,0.030990,0.041886,0.064455", \ "0.026829,0.027774,0.029545,0.032803,0.038646,0.049325,0.069906", \ "0.034805,0.035918,0.037963,0.041706,0.048305,0.059666,0.080075"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025492,0.027285,0.030737,0.037344,0.049875,0.073655,0.119256", \ "0.025494,0.027285,0.030739,0.037342,0.049876,0.073655,0.119255", \ "0.025489,0.027281,0.030736,0.037342,0.049872,0.073648,0.119251", \ "0.026973,0.028550,0.031660,0.037764,0.049873,0.073644,0.119248", \ "0.032968,0.034445,0.037289,0.042685,0.053035,0.074518,0.119233", \ "0.040130,0.041659,0.044584,0.050211,0.060774,0.080380,0.120944", \ "0.048263,0.049856,0.052871,0.058714,0.069755,0.090261,0.128290"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019597,0.020698,0.022821,0.026898,0.034719,0.049767,0.078865", \ "0.020884,0.021989,0.024119,0.028205,0.036036,0.051093,0.080198", \ "0.025150,0.026270,0.028422,0.032536,0.040404,0.055501,0.084639", \ "0.031013,0.032392,0.034968,0.039692,0.048207,0.063575,0.092801", \ "0.035709,0.037526,0.040888,0.046919,0.057355,0.074994,0.105467", \ "0.038335,0.040612,0.044843,0.052378,0.065353,0.086757,0.121372", \ "0.038938,0.041663,0.046765,0.055842,0.071401,0.096992,0.137588"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033123,0.034944,0.038475,0.045304,0.058499,0.084053,0.133661", \ "0.034764,0.036604,0.040165,0.047034,0.060284,0.085886,0.135513", \ "0.039824,0.041675,0.045257,0.052171,0.065499,0.091210,0.140957", \ "0.048759,0.050702,0.054335,0.061188,0.074459,0.100147,0.149937", \ "0.059702,0.061987,0.066274,0.074198,0.088642,0.114566,0.164164", \ "0.072561,0.075164,0.080089,0.089133,0.105442,0.134332,0.184948", \ "0.087515,0.090459,0.096073,0.106331,0.124530,0.156468,0.211784"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010581,0.011473,0.013216,0.016610,0.023218,0.036058,0.061088", \ "0.010578,0.011476,0.013218,0.016616,0.023210,0.036066,0.061081", \ "0.010811,0.011656,0.013304,0.016630,0.023214,0.036063,0.061086", \ "0.013572,0.014339,0.015822,0.018761,0.024452,0.036356,0.061082", \ "0.018885,0.019699,0.021236,0.024117,0.029532,0.040126,0.062202", \ "0.025719,0.026677,0.028436,0.031694,0.037474,0.047935,0.068168", \ "0.033774,0.034871,0.036909,0.040638,0.047216,0.058505,0.078624"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019465,0.021099,0.024287,0.030491,0.042550,0.065974,0.111575", \ "0.019466,0.021099,0.024288,0.030492,0.042548,0.065983,0.111541", \ "0.019460,0.021098,0.024283,0.030489,0.042550,0.065970,0.111542", \ "0.021007,0.022433,0.025258,0.030945,0.042550,0.065952,0.111524", \ "0.025730,0.027237,0.030127,0.035588,0.045777,0.066850,0.111478", \ "0.031318,0.032953,0.036058,0.041928,0.052818,0.072784,0.113169", \ "0.037797,0.039570,0.042903,0.049206,0.060814,0.081997,0.120583"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016497,0.017433,0.019235,0.022689,0.029305,0.042019,0.066584", \ "0.017892,0.018831,0.020638,0.024100,0.030725,0.043446,0.068016", \ "0.022148,0.023118,0.024957,0.028446,0.035104,0.047860,0.072461", \ "0.027383,0.028619,0.030915,0.035094,0.042551,0.055818,0.080522", \ "0.031123,0.032775,0.035819,0.041265,0.050622,0.066270,0.092841", \ "0.032594,0.034680,0.038560,0.045433,0.057194,0.076431,0.107185", \ "0.031771,0.034289,0.039003,0.047355,0.061574,0.084779,0.121244"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.033015,0.034838,0.038367,0.045197,0.058392,0.083946,0.133528", \ "0.034559,0.036396,0.039954,0.046820,0.060069,0.085666,0.135293", \ "0.039677,0.041521,0.045092,0.051988,0.065297,0.090985,0.140724", \ "0.048687,0.050626,0.054252,0.061089,0.074331,0.099978,0.149727", \ "0.059757,0.062024,0.066297,0.074194,0.088616,0.114498,0.164035", \ "0.072952,0.075523,0.080425,0.089411,0.105644,0.134450,0.184970", \ "0.088468,0.091392,0.096942,0.107120,0.125169,0.156938,0.212082"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008801,0.009550,0.011021,0.013881,0.019449,0.030299,0.051441", \ "0.008797,0.009550,0.011016,0.013880,0.019448,0.030295,0.051441", \ "0.009181,0.009872,0.011237,0.013957,0.019453,0.030294,0.051445", \ "0.012042,0.012685,0.013938,0.016380,0.021166,0.030907,0.051447", \ "0.017108,0.017821,0.019158,0.021646,0.026268,0.035180,0.053345", \ "0.023578,0.024420,0.025974,0.028828,0.033878,0.042858,0.059954", \ "0.031235,0.032223,0.034032,0.037329,0.043121,0.052939,0.070190"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019466,0.021102,0.024287,0.030490,0.042544,0.065969,0.111526", \ "0.019465,0.021098,0.024286,0.030490,0.042553,0.065982,0.111538", \ "0.019463,0.021098,0.024285,0.030486,0.042549,0.065970,0.111560", \ "0.021023,0.022448,0.025271,0.030952,0.042555,0.065954,0.111518", \ "0.025713,0.027225,0.030122,0.035587,0.045781,0.066860,0.111477", \ "0.031187,0.032820,0.035938,0.041833,0.052759,0.072756,0.113169", \ "0.037454,0.039243,0.042587,0.048937,0.060591,0.081854,0.120519"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020596,0.021561,0.023417,0.026969,0.033747,0.046701,0.071605", \ "0.021930,0.022897,0.024757,0.028314,0.035099,0.048060,0.072970", \ "0.026910,0.027881,0.029751,0.033325,0.040136,0.053127,0.078066", \ "0.034902,0.036093,0.038329,0.042424,0.049762,0.062877,0.087880", \ "0.041543,0.043128,0.046088,0.051474,0.060896,0.076788,0.103182", \ "0.045960,0.047946,0.051690,0.058447,0.070284,0.090090,0.121958", \ "0.048197,0.050587,0.055090,0.063252,0.077466,0.101335,0.139606"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.036246,0.038062,0.041577,0.048390,0.061588,0.087165,0.136793", \ "0.037915,0.039745,0.043293,0.050157,0.063420,0.089059,0.138725", \ "0.042938,0.044776,0.048341,0.055241,0.068577,0.094346,0.144146", \ "0.052027,0.053885,0.057402,0.064228,0.077497,0.103222,0.153081", \ "0.063638,0.065837,0.069974,0.077687,0.091895,0.117636,0.167329", \ "0.077198,0.079695,0.084459,0.093235,0.109224,0.137772,0.188172", \ "0.092980,0.095795,0.101187,0.111165,0.128948,0.160443,0.215375"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.011683,0.012440,0.013906,0.016765,0.022332,0.033133,0.054162", \ "0.011685,0.012439,0.013907,0.016767,0.022329,0.033132,0.054170", \ "0.011681,0.012417,0.013879,0.016751,0.022329,0.033134,0.054163", \ "0.014802,0.015434,0.016645,0.018977,0.023555,0.033376,0.054164", \ "0.020625,0.021349,0.022711,0.025240,0.029845,0.038373,0.055708", \ "0.027792,0.028657,0.030271,0.033257,0.038566,0.047759,0.064133", \ "0.036144,0.037160,0.039050,0.042515,0.048690,0.059178,0.076829"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026676,0.028481,0.031954,0.038578,0.051137,0.074934,0.120562", \ "0.026675,0.028481,0.031953,0.038583,0.051139,0.074930,0.120564", \ "0.026673,0.028479,0.031951,0.038577,0.051137,0.074933,0.120555", \ "0.027897,0.029522,0.032691,0.038878,0.051132,0.074927,0.120567", \ "0.033733,0.035235,0.038100,0.043490,0.054017,0.075680,0.120556", \ "0.040828,0.042375,0.045346,0.051014,0.061630,0.081311,0.122112", \ "0.048882,0.050491,0.053558,0.059468,0.070558,0.091118,0.129263"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.017201,0.018142,0.019955,0.023433,0.030097,0.042904,0.067650", \ "0.018527,0.019472,0.021290,0.024776,0.031448,0.044263,0.069013", \ "0.023451,0.024408,0.026245,0.029759,0.036468,0.049322,0.074103", \ "0.030058,0.031364,0.033792,0.038159,0.045796,0.059042,0.083908", \ "0.034736,0.036498,0.039763,0.045615,0.055673,0.072242,0.099161", \ "0.037188,0.039404,0.043531,0.050899,0.063568,0.084360,0.117173", \ "0.037497,0.040160,0.045153,0.054046,0.069287,0.094347,0.133845"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035468,0.037276,0.040788,0.047582,0.060737,0.086250,0.135826", \ "0.037132,0.038959,0.042500,0.049345,0.062567,0.088143,0.137782", \ "0.042151,0.043987,0.047546,0.054428,0.067724,0.093420,0.143182", \ "0.051211,0.053091,0.056613,0.063426,0.076652,0.102299,0.152094", \ "0.062634,0.064839,0.069014,0.076761,0.091000,0.116738,0.166297", \ "0.076016,0.078529,0.083319,0.092145,0.108182,0.136781,0.187134", \ "0.091562,0.094405,0.099843,0.109861,0.127728,0.159307,0.214257"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.009643,0.010389,0.011846,0.014686,0.020226,0.030995,0.051973", \ "0.009640,0.010387,0.011849,0.014689,0.020219,0.030998,0.051975", \ "0.009911,0.010597,0.011954,0.014703,0.020226,0.030994,0.051970", \ "0.013699,0.014318,0.015499,0.017764,0.022130,0.031483,0.051979", \ "0.019631,0.020355,0.021718,0.024244,0.028821,0.037187,0.053999", \ "0.026860,0.027719,0.029322,0.032296,0.037603,0.046764,0.062948", \ "0.035299,0.036295,0.038144,0.041575,0.047713,0.058197,0.075774"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020603,0.022257,0.025456,0.031689,0.043790,0.067264,0.112908", \ "0.020602,0.022255,0.025455,0.031686,0.043775,0.067253,0.112919", \ "0.020603,0.022248,0.025457,0.031684,0.043774,0.067235,0.112863", \ "0.021887,0.023346,0.026240,0.032018,0.043769,0.067214,0.112855", \ "0.026623,0.028129,0.031016,0.036486,0.046742,0.067996,0.112796", \ "0.032208,0.033837,0.036957,0.042819,0.053723,0.073714,0.114335", \ "0.038669,0.040442,0.043777,0.050100,0.061681,0.082891,0.121551"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014059,0.014825,0.016298,0.019118,0.024509,0.034850,0.054805", \ "0.015513,0.016281,0.017758,0.020584,0.025982,0.036329,0.056289", \ "0.020578,0.021398,0.022928,0.025783,0.031212,0.041594,0.061583", \ "0.026380,0.027549,0.029714,0.033591,0.040282,0.051577,0.071693", \ "0.030053,0.031646,0.034597,0.039857,0.048852,0.063547,0.086930", \ "0.031310,0.033339,0.037113,0.043816,0.055256,0.073881,0.103011", \ "0.030153,0.032607,0.037208,0.045374,0.059263,0.081918,0.117274"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.035365,0.037173,0.040680,0.047476,0.060630,0.086143,0.135721", \ "0.036921,0.038746,0.042286,0.049127,0.062348,0.087935,0.137565", \ "0.041994,0.043824,0.047371,0.054235,0.067509,0.093184,0.142945", \ "0.051136,0.053015,0.056522,0.063324,0.076519,0.102125,0.151892", \ "0.062680,0.064907,0.069049,0.076763,0.090975,0.116668,0.166166", \ "0.076398,0.078907,0.083661,0.092433,0.108401,0.136912,0.187168", \ "0.092502,0.095317,0.100701,0.110647,0.128373,0.159809,0.214588"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.007600,0.008203,0.009383,0.011676,0.016132,0.024823,0.041759", \ "0.007597,0.008201,0.009383,0.011676,0.016133,0.024822,0.041760", \ "0.008194,0.008698,0.009726,0.011815,0.016140,0.024822,0.041757", \ "0.012143,0.012656,0.013645,0.015496,0.018993,0.025984,0.041780", \ "0.017717,0.018348,0.019527,0.021711,0.025599,0.032513,0.045440", \ "0.024549,0.025302,0.026701,0.029286,0.033879,0.041731,0.055180", \ "0.032580,0.033463,0.035084,0.038094,0.043452,0.052513,0.067536"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.020602,0.022251,0.025462,0.031681,0.043786,0.067271,0.112875", \ "0.020605,0.022256,0.025457,0.031686,0.043778,0.067261,0.112912", \ "0.020603,0.022253,0.025459,0.031686,0.043771,0.067235,0.112894", \ "0.021900,0.023361,0.026247,0.032031,0.043779,0.067218,0.112878", \ "0.026607,0.028124,0.031012,0.036482,0.046749,0.068006,0.112797", \ "0.032076,0.033725,0.036835,0.042729,0.053659,0.073681,0.114331", \ "0.038333,0.040104,0.043457,0.049801,0.061458,0.082746,0.121475"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026550,0.027684,0.029862,0.034034,0.041985,0.057190,0.086439", \ "0.028177,0.029318,0.031509,0.035701,0.043682,0.058920,0.088194", \ "0.031732,0.032883,0.035093,0.039310,0.047344,0.062643,0.091986", \ "0.036203,0.037429,0.039776,0.044193,0.052444,0.067783,0.097196", \ "0.040383,0.041764,0.044398,0.049294,0.058265,0.074681,0.104746", \ "0.043058,0.044733,0.047894,0.053631,0.063896,0.081957,0.114015", \ "0.042935,0.044998,0.048864,0.055828,0.067996,0.088770,0.123687"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.038292,0.040197,0.043864,0.050926,0.064479,0.090532,0.140701", \ "0.039115,0.041027,0.044716,0.051800,0.065379,0.091480,0.141613", \ "0.043882,0.045784,0.049454,0.056524,0.070107,0.096213,0.146407", \ "0.055249,0.057064,0.060611,0.067479,0.080784,0.106565,0.156482", \ "0.072009,0.074170,0.078300,0.085836,0.099281,0.124300,0.173481", \ "0.090030,0.092600,0.097483,0.106481,0.122608,0.150527,0.198882", \ "0.109869,0.112787,0.118317,0.128678,0.147209,0.179524,0.233561"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012947,0.013851,0.015613,0.019038,0.025673,0.038561,0.063618", \ "0.012949,0.013850,0.015616,0.019039,0.025671,0.038559,0.063611", \ "0.012920,0.013837,0.015604,0.019030,0.025669,0.038559,0.063614", \ "0.014061,0.014939,0.016642,0.019884,0.026137,0.038627,0.063617", \ "0.016762,0.017607,0.019243,0.022434,0.028648,0.040718,0.064330", \ "0.021951,0.022782,0.024361,0.027402,0.033253,0.044776,0.067811", \ "0.029169,0.030096,0.031784,0.034941,0.040716,0.051623,0.073503"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.039594,0.041238,0.044443,0.050698,0.062853,0.086385,0.131931", \ "0.039592,0.041237,0.044444,0.050696,0.062848,0.086388,0.131924", \ "0.039587,0.041228,0.044437,0.050692,0.062847,0.086379,0.131919", \ "0.040421,0.041887,0.044792,0.050746,0.062836,0.086373,0.131924", \ "0.047885,0.049014,0.051320,0.056043,0.065842,0.086835,0.131927", \ "0.058967,0.060336,0.062926,0.067843,0.076806,0.094253,0.133299", \ "0.070643,0.072218,0.075219,0.080882,0.091245,0.109533,0.143333"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022465,0.023577,0.025715,0.029811,0.037654,0.052720,0.081832", \ "0.024043,0.025167,0.027327,0.031455,0.039337,0.054443,0.083586", \ "0.027520,0.028656,0.030839,0.035011,0.042961,0.058149,0.087369", \ "0.031506,0.032758,0.035136,0.039604,0.047924,0.063263,0.092564", \ "0.034755,0.036239,0.039010,0.044099,0.053288,0.069864,0.100091", \ "0.035814,0.037674,0.041129,0.047332,0.058149,0.076680,0.109058", \ "0.033767,0.036085,0.040373,0.047997,0.061073,0.082753,0.118324"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.037418,0.039320,0.042991,0.050045,0.063580,0.089559,0.139618", \ "0.038237,0.040150,0.043838,0.050918,0.064473,0.090490,0.140586", \ "0.043007,0.044909,0.048581,0.055647,0.069202,0.095230,0.145329", \ "0.054412,0.056221,0.059762,0.066627,0.079900,0.105597,0.155439", \ "0.070902,0.073091,0.077257,0.084860,0.098406,0.123367,0.172425", \ "0.088614,0.091210,0.096149,0.105209,0.121429,0.149475,0.197798", \ "0.108121,0.111078,0.116667,0.127120,0.145764,0.178233,0.232381"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010576,0.011471,0.013215,0.016611,0.023208,0.036063,0.061074", \ "0.010575,0.011472,0.013214,0.016609,0.023207,0.036058,0.061089", \ "0.010569,0.011461,0.013204,0.016601,0.023211,0.036060,0.061079", \ "0.012004,0.012867,0.014528,0.017763,0.023923,0.036237,0.061073", \ "0.015211,0.016010,0.017560,0.020605,0.026627,0.038555,0.061971", \ "0.020829,0.021649,0.023200,0.026128,0.031726,0.042877,0.065643", \ "0.028357,0.029247,0.030920,0.034036,0.039663,0.050189,0.071585"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030760,0.032494,0.035853,0.042318,0.054714,0.078423,0.124062", \ "0.030759,0.032493,0.035849,0.042317,0.054711,0.078427,0.124070", \ "0.030751,0.032484,0.035842,0.042314,0.054709,0.078420,0.124055", \ "0.031652,0.033202,0.036227,0.042397,0.054696,0.078409,0.124062", \ "0.039207,0.040576,0.043072,0.047824,0.057803,0.078901,0.124053", \ "0.048666,0.050271,0.053267,0.058821,0.068693,0.086442,0.125466", \ "0.058708,0.060541,0.064022,0.070418,0.081817,0.101319,0.135580"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019528,0.020469,0.022276,0.025733,0.032337,0.045006,0.069461", \ "0.021165,0.022117,0.023941,0.027425,0.034063,0.046765,0.071247", \ "0.025409,0.026369,0.028212,0.031727,0.038417,0.051186,0.075729", \ "0.030285,0.031402,0.033507,0.037423,0.044590,0.057652,0.082273", \ "0.033889,0.035307,0.037946,0.042716,0.051132,0.065784,0.091802", \ "0.034843,0.036659,0.040032,0.046057,0.056453,0.073803,0.102674", \ "0.032543,0.034803,0.039013,0.046507,0.059283,0.080215,0.113468"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.039145,0.041054,0.044735,0.051809,0.065367,0.091380,0.141515", \ "0.039967,0.041888,0.045590,0.052694,0.066285,0.092337,0.142519", \ "0.044709,0.046617,0.050302,0.057389,0.070977,0.097041,0.147241", \ "0.056097,0.057931,0.061498,0.068385,0.081701,0.107435,0.157347", \ "0.073125,0.075296,0.079387,0.086885,0.100245,0.125275,0.174412", \ "0.091409,0.093965,0.098834,0.107779,0.123831,0.151621,0.199877", \ "0.111424,0.114345,0.119876,0.130219,0.148699,0.180924,0.234766"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008871,0.009617,0.011087,0.013940,0.019500,0.030317,0.051391", \ "0.008869,0.009615,0.011086,0.013942,0.019496,0.030320,0.051395", \ "0.008923,0.009642,0.011090,0.013937,0.019493,0.030314,0.051388", \ "0.010791,0.011486,0.012828,0.015461,0.020547,0.030665,0.051380", \ "0.014731,0.015415,0.016728,0.019234,0.024103,0.033703,0.052834", \ "0.020697,0.021462,0.022890,0.025519,0.030325,0.039405,0.057661", \ "0.028426,0.029269,0.030849,0.033764,0.038948,0.048049,0.065382"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031712,0.033440,0.036793,0.043247,0.055624,0.079320,0.124964", \ "0.031715,0.033440,0.036792,0.043245,0.055622,0.079320,0.124967", \ "0.031705,0.033435,0.036784,0.043241,0.055622,0.079311,0.124970", \ "0.032345,0.033916,0.037017,0.043210,0.055611,0.079306,0.124965", \ "0.039539,0.040914,0.043314,0.048207,0.058334,0.079669,0.124947", \ "0.048939,0.050539,0.053530,0.059057,0.068898,0.086795,0.126176", \ "0.058928,0.060766,0.064226,0.070604,0.081961,0.101427,0.135900"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022465,0.023577,0.025715,0.029811,0.037654,0.052720,0.081832", \ "0.024043,0.025167,0.027327,0.031455,0.039337,0.054443,0.083586", \ "0.027520,0.028656,0.030839,0.035011,0.042961,0.058149,0.087369", \ "0.031506,0.032758,0.035136,0.039604,0.047924,0.063263,0.092564", \ "0.034755,0.036239,0.039010,0.044099,0.053288,0.069864,0.100091", \ "0.035814,0.037674,0.041129,0.047332,0.058149,0.076680,0.109058", \ "0.033767,0.036085,0.040373,0.047997,0.061073,0.082753,0.118324"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.037418,0.039320,0.042991,0.050045,0.063580,0.089559,0.139618", \ "0.038237,0.040150,0.043838,0.050918,0.064473,0.090490,0.140586", \ "0.043007,0.044909,0.048581,0.055647,0.069202,0.095230,0.145329", \ "0.054412,0.056221,0.059762,0.066627,0.079900,0.105597,0.155439", \ "0.070902,0.073091,0.077257,0.084860,0.098406,0.123367,0.172425", \ "0.088614,0.091210,0.096149,0.105209,0.121429,0.149475,0.197798", \ "0.108121,0.111078,0.116667,0.127120,0.145764,0.178233,0.232381"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010576,0.011471,0.013215,0.016611,0.023208,0.036063,0.061074", \ "0.010575,0.011472,0.013214,0.016609,0.023207,0.036058,0.061089", \ "0.010569,0.011461,0.013204,0.016601,0.023211,0.036060,0.061079", \ "0.012004,0.012867,0.014528,0.017763,0.023923,0.036237,0.061073", \ "0.015211,0.016010,0.017560,0.020605,0.026627,0.038555,0.061971", \ "0.020829,0.021649,0.023200,0.026128,0.031726,0.042877,0.065643", \ "0.028357,0.029247,0.030920,0.034036,0.039663,0.050189,0.071585"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030760,0.032494,0.035853,0.042318,0.054714,0.078423,0.124062", \ "0.030759,0.032493,0.035849,0.042317,0.054711,0.078427,0.124070", \ "0.030751,0.032484,0.035842,0.042314,0.054709,0.078420,0.124055", \ "0.031652,0.033202,0.036227,0.042397,0.054696,0.078409,0.124062", \ "0.039207,0.040576,0.043072,0.047824,0.057803,0.078901,0.124053", \ "0.048666,0.050271,0.053267,0.058821,0.068693,0.086442,0.125466", \ "0.058708,0.060541,0.064022,0.070418,0.081817,0.101319,0.135580"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.018764,0.019815,0.021851,0.025797,0.033447,0.048312,0.077242", \ "0.020259,0.021335,0.023411,0.027407,0.035114,0.050029,0.078994", \ "0.023559,0.024671,0.026799,0.030875,0.038684,0.053708,0.082769", \ "0.026819,0.028104,0.030526,0.035043,0.043407,0.058787,0.087948", \ "0.028678,0.030313,0.033331,0.038734,0.048229,0.065030,0.095412", \ "0.027665,0.029801,0.033705,0.040558,0.052145,0.071300,0.104052", \ "0.023284,0.025975,0.030900,0.039454,0.053704,0.076507,0.112898"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.036554,0.038456,0.042131,0.049184,0.062688,0.088582,0.138522", \ "0.037370,0.039286,0.042978,0.050052,0.063583,0.089512,0.139492", \ "0.042142,0.044045,0.047721,0.054785,0.068309,0.094260,0.144238", \ "0.053593,0.055397,0.058930,0.065776,0.079021,0.104640,0.154336", \ "0.069799,0.072004,0.076235,0.083895,0.097541,0.122436,0.171339", \ "0.087205,0.089823,0.094833,0.103952,0.120281,0.148392,0.196743", \ "0.106395,0.109381,0.115041,0.125563,0.144300,0.176889,0.231225"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008036,0.008927,0.010672,0.014068,0.020676,0.033525,0.058510", \ "0.008036,0.008928,0.010672,0.014068,0.020674,0.033518,0.058514", \ "0.008250,0.009082,0.010744,0.014098,0.020682,0.033526,0.058510", \ "0.009985,0.010799,0.012409,0.015570,0.021730,0.033847,0.058507", \ "0.013785,0.014554,0.016032,0.018928,0.024694,0.036398,0.059641", \ "0.019857,0.020660,0.022182,0.025019,0.030359,0.041095,0.063513", \ "0.027849,0.028700,0.030314,0.033324,0.038770,0.048883,0.069734"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023914,0.025570,0.028776,0.035001,0.047085,0.070564,0.116224", \ "0.023913,0.025573,0.028771,0.034997,0.047084,0.070562,0.116228", \ "0.023904,0.025560,0.028765,0.034995,0.047083,0.070568,0.116220", \ "0.024881,0.026342,0.029198,0.035063,0.047075,0.070556,0.116205", \ "0.031507,0.032994,0.035748,0.040680,0.050264,0.071074,0.116186", \ "0.038923,0.040720,0.044021,0.050076,0.060631,0.078721,0.117627", \ "0.046738,0.048852,0.052768,0.059881,0.072254,0.092935,0.127897"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016547,0.017434,0.019149,0.022468,0.028896,0.041381,0.065676", \ "0.018115,0.019023,0.020771,0.024132,0.030607,0.043133,0.067459", \ "0.022159,0.023110,0.024919,0.028356,0.034916,0.047533,0.071934", \ "0.026075,0.027261,0.029470,0.033502,0.040785,0.053950,0.078457", \ "0.028035,0.029624,0.032538,0.037713,0.046579,0.061603,0.087846", \ "0.026891,0.028976,0.032796,0.039482,0.050717,0.068860,0.098316", \ "0.022270,0.024909,0.029733,0.038140,0.052072,0.074221,0.108518"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.038264,0.040176,0.043864,0.050936,0.064468,0.090412,0.140379", \ "0.039088,0.041008,0.044717,0.051819,0.065388,0.091372,0.141360", \ "0.043829,0.045742,0.049433,0.056514,0.070081,0.096071,0.146107", \ "0.055262,0.057084,0.060651,0.067529,0.080813,0.106475,0.156214", \ "0.072029,0.074210,0.078373,0.085934,0.099379,0.124334,0.173277", \ "0.090004,0.092596,0.097530,0.106554,0.122692,0.150553,0.198812", \ "0.109709,0.112668,0.118261,0.128686,0.147258,0.179593,0.233563"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.006749,0.007489,0.008955,0.011803,0.017365,0.028194,0.049251", \ "0.006748,0.007490,0.008955,0.011805,0.017370,0.028193,0.049255", \ "0.007090,0.007767,0.009124,0.011865,0.017379,0.028192,0.049253", \ "0.009302,0.009970,0.011252,0.013797,0.018824,0.028738,0.049255", \ "0.013599,0.014282,0.015585,0.018035,0.022708,0.032074,0.050995", \ "0.019878,0.020630,0.022045,0.024665,0.029366,0.038153,0.056062", \ "0.028071,0.028867,0.030381,0.033205,0.038273,0.047165,0.064100"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024781,0.026442,0.029645,0.035879,0.047978,0.071447,0.117096", \ "0.024786,0.026432,0.029646,0.035877,0.047968,0.071442,0.117092", \ "0.024771,0.026428,0.029633,0.035873,0.047963,0.071442,0.117091", \ "0.025466,0.026958,0.029890,0.035855,0.047955,0.071429,0.117093", \ "0.031905,0.033381,0.036105,0.040994,0.050759,0.071830,0.117063", \ "0.039334,0.041099,0.044383,0.050396,0.060886,0.079021,0.118328", \ "0.047165,0.049239,0.053114,0.060179,0.072484,0.093087,0.128149"); } } timing () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019528,0.020469,0.022276,0.025733,0.032337,0.045006,0.069461", \ "0.021165,0.022117,0.023941,0.027425,0.034063,0.046765,0.071247", \ "0.025409,0.026369,0.028212,0.031727,0.038417,0.051186,0.075729", \ "0.030285,0.031402,0.033507,0.037423,0.044590,0.057652,0.082273", \ "0.033889,0.035307,0.037946,0.042716,0.051132,0.065784,0.091802", \ "0.034843,0.036659,0.040032,0.046057,0.056453,0.073803,0.102674", \ "0.032543,0.034803,0.039013,0.046507,0.059283,0.080215,0.113468"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.039145,0.041054,0.044735,0.051809,0.065367,0.091380,0.141515", \ "0.039967,0.041888,0.045590,0.052694,0.066285,0.092337,0.142519", \ "0.044709,0.046617,0.050302,0.057389,0.070977,0.097041,0.147241", \ "0.056097,0.057931,0.061498,0.068385,0.081701,0.107435,0.157347", \ "0.073125,0.075296,0.079387,0.086885,0.100245,0.125275,0.174412", \ "0.091409,0.093965,0.098834,0.107779,0.123831,0.151621,0.199877", \ "0.111424,0.114345,0.119876,0.130219,0.148699,0.180924,0.234766"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008871,0.009617,0.011087,0.013940,0.019500,0.030317,0.051391", \ "0.008869,0.009615,0.011086,0.013942,0.019496,0.030320,0.051395", \ "0.008923,0.009642,0.011090,0.013937,0.019493,0.030314,0.051388", \ "0.010791,0.011486,0.012828,0.015461,0.020547,0.030665,0.051380", \ "0.014731,0.015415,0.016728,0.019234,0.024103,0.033703,0.052834", \ "0.020697,0.021462,0.022890,0.025519,0.030325,0.039405,0.057661", \ "0.028426,0.029269,0.030849,0.033764,0.038948,0.048049,0.065382"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031712,0.033440,0.036793,0.043247,0.055624,0.079320,0.124964", \ "0.031715,0.033440,0.036792,0.043245,0.055622,0.079320,0.124967", \ "0.031705,0.033435,0.036784,0.043241,0.055622,0.079311,0.124970", \ "0.032345,0.033916,0.037017,0.043210,0.055611,0.079306,0.124965", \ "0.039539,0.040914,0.043314,0.048207,0.058334,0.079669,0.124947", \ "0.048939,0.050539,0.053530,0.059057,0.068898,0.086795,0.126176", \ "0.058928,0.060766,0.064226,0.070604,0.081961,0.101427,0.135900"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016547,0.017434,0.019149,0.022468,0.028896,0.041381,0.065676", \ "0.018115,0.019023,0.020771,0.024132,0.030607,0.043133,0.067459", \ "0.022159,0.023110,0.024919,0.028356,0.034916,0.047533,0.071934", \ "0.026075,0.027261,0.029470,0.033502,0.040785,0.053950,0.078457", \ "0.028035,0.029624,0.032538,0.037713,0.046579,0.061603,0.087846", \ "0.026891,0.028976,0.032796,0.039482,0.050717,0.068860,0.098316", \ "0.022270,0.024909,0.029733,0.038140,0.052072,0.074221,0.108518"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.038264,0.040176,0.043864,0.050936,0.064468,0.090412,0.140379", \ "0.039088,0.041008,0.044717,0.051819,0.065388,0.091372,0.141360", \ "0.043829,0.045742,0.049433,0.056514,0.070081,0.096071,0.146107", \ "0.055262,0.057084,0.060651,0.067529,0.080813,0.106475,0.156214", \ "0.072029,0.074210,0.078373,0.085934,0.099379,0.124334,0.173277", \ "0.090004,0.092596,0.097530,0.106554,0.122692,0.150553,0.198812", \ "0.109709,0.112668,0.118261,0.128686,0.147258,0.179593,0.233563"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.006749,0.007489,0.008955,0.011803,0.017365,0.028194,0.049251", \ "0.006748,0.007490,0.008955,0.011805,0.017370,0.028193,0.049255", \ "0.007090,0.007767,0.009124,0.011865,0.017379,0.028192,0.049253", \ "0.009302,0.009970,0.011252,0.013797,0.018824,0.028738,0.049255", \ "0.013599,0.014282,0.015585,0.018035,0.022708,0.032074,0.050995", \ "0.019878,0.020630,0.022045,0.024665,0.029366,0.038153,0.056062", \ "0.028071,0.028867,0.030381,0.033205,0.038273,0.047165,0.064100"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024781,0.026442,0.029645,0.035879,0.047978,0.071447,0.117096", \ "0.024786,0.026432,0.029646,0.035877,0.047968,0.071442,0.117092", \ "0.024771,0.026428,0.029633,0.035873,0.047963,0.071442,0.117091", \ "0.025466,0.026958,0.029890,0.035855,0.047955,0.071429,0.117093", \ "0.031905,0.033381,0.036105,0.040994,0.050759,0.071830,0.117063", \ "0.039334,0.041099,0.044383,0.050396,0.060886,0.079021,0.118328", \ "0.047165,0.049239,0.053114,0.060179,0.072484,0.093087,0.128149"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.014554,0.015268,0.016649,0.019321,0.024496,0.034542,0.054086", \ "0.016144,0.016875,0.018283,0.020989,0.026202,0.036281,0.055848", \ "0.020482,0.021286,0.022783,0.025575,0.030863,0.041017,0.060648", \ "0.024772,0.025864,0.027886,0.031523,0.037884,0.048952,0.068793", \ "0.026752,0.028269,0.031055,0.035995,0.044384,0.058098,0.080634", \ "0.025510,0.027524,0.031222,0.037702,0.048569,0.065962,0.093067", \ "0.020695,0.023262,0.027967,0.036161,0.049736,0.071285,0.104167"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.041398,0.043263,0.046876,0.053835,0.067223,0.093003,0.142861", \ "0.042229,0.044108,0.047740,0.054733,0.068164,0.093986,0.143846", \ "0.046999,0.048867,0.052484,0.059453,0.072874,0.098712,0.148611", \ "0.058379,0.060180,0.063704,0.070501,0.083659,0.109166,0.158741", \ "0.075695,0.077784,0.081789,0.089097,0.102225,0.127101,0.175898", \ "0.094302,0.096799,0.101566,0.110352,0.126144,0.153532,0.201568", \ "0.114627,0.117483,0.122908,0.133082,0.151294,0.183140,0.236559"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.005909,0.006514,0.007693,0.009983,0.014436,0.023079,0.039862", \ "0.005911,0.006513,0.007694,0.009983,0.014437,0.023082,0.039854", \ "0.006421,0.006946,0.007998,0.010116,0.014453,0.023077,0.039854", \ "0.009368,0.009901,0.010921,0.012875,0.016662,0.024108,0.039924", \ "0.014308,0.014894,0.016005,0.018075,0.021872,0.028963,0.042966", \ "0.021106,0.021739,0.022945,0.025222,0.029336,0.036628,0.050219", \ "0.029778,0.030431,0.031689,0.034127,0.038644,0.046544,0.060453"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025751,0.027426,0.030683,0.036984,0.049178,0.072770,0.118540", \ "0.025750,0.027435,0.030676,0.036984,0.049183,0.072768,0.118534", \ "0.025738,0.027425,0.030674,0.036981,0.049171,0.072786,0.118547", \ "0.026272,0.027811,0.030860,0.036939,0.049168,0.072762,0.118527", \ "0.032588,0.034036,0.036733,0.041614,0.051648,0.073079,0.118495", \ "0.040202,0.041947,0.045196,0.051147,0.061576,0.079844,0.119587", \ "0.048201,0.050275,0.054086,0.061073,0.073288,0.093781,0.129016"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030491,0.031627,0.033818,0.038018,0.046031,0.061341,0.090721", \ "0.031866,0.033004,0.035200,0.039406,0.047422,0.062736,0.092123", \ "0.035464,0.036608,0.038809,0.043029,0.051067,0.066407,0.095816", \ "0.040295,0.041496,0.043797,0.048144,0.056301,0.071655,0.101101", \ "0.045151,0.046488,0.049015,0.053796,0.062581,0.078816,0.108749", \ "0.048975,0.050547,0.053506,0.058951,0.068877,0.086572,0.118347", \ "0.050521,0.052429,0.056008,0.062522,0.074068,0.094127,0.128483"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.042165,0.044065,0.047733,0.054789,0.068339,0.094392,0.144551", \ "0.043730,0.045633,0.049307,0.056370,0.069927,0.095991,0.146195", \ "0.049044,0.050954,0.054640,0.061725,0.075311,0.101411,0.151680", \ "0.058251,0.060151,0.063796,0.070849,0.084419,0.110521,0.160777", \ "0.071268,0.073419,0.077496,0.085055,0.099051,0.124945,0.175075", \ "0.086301,0.088715,0.093317,0.101913,0.117516,0.145628,0.195922", \ "0.103923,0.106599,0.111705,0.121339,0.138562,0.169398,0.223634"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.015254,0.016181,0.017969,0.021430,0.028126,0.041088,0.066223", \ "0.015256,0.016182,0.017972,0.021437,0.028128,0.041083,0.066230", \ "0.015245,0.016173,0.017963,0.021429,0.028125,0.041088,0.066221", \ "0.016211,0.017105,0.018816,0.022099,0.028498,0.041132,0.066220", \ "0.018620,0.019500,0.021200,0.024492,0.030812,0.042989,0.066851", \ "0.023324,0.024182,0.025819,0.028978,0.035051,0.046873,0.070120", \ "0.030153,0.031067,0.032795,0.036014,0.041981,0.053296,0.075623"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.039592,0.041236,0.044445,0.050695,0.062848,0.086385,0.131914", \ "0.039589,0.041236,0.044443,0.050694,0.062850,0.086385,0.131930", \ "0.039586,0.041228,0.044440,0.050694,0.062848,0.086380,0.131929", \ "0.040040,0.041581,0.044628,0.050685,0.062836,0.086376,0.131938", \ "0.044921,0.046207,0.048808,0.054076,0.064793,0.086756,0.131922", \ "0.052531,0.053934,0.056645,0.061898,0.071946,0.091547,0.133045", \ "0.060942,0.062424,0.065287,0.070833,0.081411,0.101371,0.139510"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026214,0.027343,0.029513,0.033669,0.041614,0.056819,0.086091", \ "0.027583,0.028714,0.030888,0.035052,0.043004,0.058219,0.087495", \ "0.031156,0.032292,0.034478,0.038655,0.046634,0.061877,0.091181", \ "0.035634,0.036853,0.039182,0.043575,0.051800,0.067118,0.096457", \ "0.039754,0.041148,0.043789,0.048686,0.057669,0.074030,0.104075", \ "0.042291,0.043992,0.047181,0.052965,0.063285,0.081351,0.113396", \ "0.042219,0.044308,0.048210,0.055226,0.067461,0.088250,0.123164"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.041292,0.043193,0.046861,0.053913,0.067437,0.093421,0.143477", \ "0.042856,0.044760,0.048434,0.055494,0.069029,0.095018,0.145115", \ "0.048168,0.050080,0.053767,0.060848,0.074413,0.100441,0.150594", \ "0.057394,0.059285,0.062935,0.069978,0.083530,0.109548,0.159679", \ "0.070246,0.072400,0.076505,0.084091,0.098141,0.124000,0.174015", \ "0.085084,0.087513,0.092147,0.100770,0.116461,0.144615,0.194838", \ "0.102484,0.105186,0.110333,0.120038,0.137342,0.168240,0.222484"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012961,0.013875,0.015640,0.019061,0.025711,0.038610,0.063697", \ "0.012964,0.013871,0.015639,0.019067,0.025709,0.038606,0.063701", \ "0.012953,0.013867,0.015632,0.019057,0.025709,0.038611,0.063694", \ "0.014163,0.015042,0.016736,0.019998,0.026282,0.038747,0.063692", \ "0.016917,0.017757,0.019391,0.022587,0.028771,0.040828,0.064484", \ "0.022053,0.022892,0.024490,0.027543,0.033408,0.044915,0.067946", \ "0.029133,0.030034,0.031749,0.034936,0.040760,0.051732,0.073657"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030763,0.032500,0.035857,0.042320,0.054713,0.078423,0.124062", \ "0.030763,0.032495,0.035854,0.042322,0.054713,0.078420,0.124072", \ "0.030758,0.032492,0.035851,0.042321,0.054713,0.078416,0.124066", \ "0.031240,0.032872,0.036061,0.042322,0.054699,0.078413,0.124058", \ "0.036187,0.037648,0.040483,0.045825,0.056718,0.078811,0.124046", \ "0.042904,0.044458,0.047445,0.053119,0.063764,0.083676,0.125191", \ "0.050365,0.052018,0.055181,0.061211,0.072459,0.093187,0.131714"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022586,0.023542,0.025378,0.028890,0.035592,0.048391,0.072992", \ "0.024049,0.025007,0.026847,0.030366,0.037074,0.049879,0.074485", \ "0.028369,0.029330,0.031176,0.034706,0.041431,0.054259,0.078888", \ "0.033860,0.034933,0.036967,0.040777,0.047829,0.060826,0.085498", \ "0.038614,0.039922,0.042384,0.046890,0.055014,0.069364,0.095157", \ "0.041124,0.042776,0.045872,0.051476,0.061290,0.078056,0.106441", \ "0.040792,0.042838,0.046667,0.053548,0.065465,0.085421,0.117844"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.043022,0.044929,0.048608,0.055679,0.069231,0.095235,0.145376", \ "0.044604,0.046515,0.050201,0.057282,0.070849,0.096865,0.147017", \ "0.049868,0.051787,0.055486,0.062592,0.076196,0.102268,0.152488", \ "0.059080,0.060981,0.064638,0.071702,0.085286,0.111344,0.161571", \ "0.072266,0.074436,0.078479,0.086002,0.099944,0.125820,0.175889", \ "0.087546,0.089948,0.094531,0.103066,0.118645,0.146649,0.196763", \ "0.105423,0.108092,0.113162,0.122789,0.139944,0.170651,0.224659"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010874,0.011630,0.013119,0.015998,0.021593,0.032453,0.053579", \ "0.010870,0.011634,0.013116,0.015999,0.021592,0.032458,0.053582", \ "0.010881,0.011638,0.013116,0.015998,0.021594,0.032452,0.053578", \ "0.012460,0.013176,0.014565,0.017255,0.022425,0.032733,0.053577", \ "0.016068,0.016770,0.018116,0.020708,0.025729,0.035500,0.054860", \ "0.021752,0.022514,0.023962,0.026633,0.031559,0.040930,0.059458", \ "0.029059,0.029934,0.031542,0.034511,0.039809,0.049158,0.066924"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031712,0.033445,0.036795,0.043246,0.055622,0.079311,0.124955", \ "0.031711,0.033440,0.036791,0.043245,0.055623,0.079315,0.124975", \ "0.031706,0.033436,0.036788,0.043244,0.055623,0.079316,0.124970", \ "0.032054,0.033697,0.036910,0.043210,0.055612,0.079307,0.124971", \ "0.036761,0.038243,0.041035,0.046442,0.057426,0.079630,0.124949", \ "0.043386,0.044944,0.047941,0.053634,0.064290,0.084296,0.125981", \ "0.050722,0.052382,0.055568,0.061633,0.072893,0.093658,0.132309"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.026214,0.027343,0.029513,0.033669,0.041614,0.056819,0.086091", \ "0.027583,0.028714,0.030888,0.035052,0.043004,0.058219,0.087495", \ "0.031156,0.032292,0.034478,0.038655,0.046634,0.061877,0.091181", \ "0.035634,0.036853,0.039182,0.043575,0.051800,0.067118,0.096457", \ "0.039754,0.041148,0.043789,0.048686,0.057669,0.074030,0.104075", \ "0.042291,0.043992,0.047181,0.052965,0.063285,0.081351,0.113396", \ "0.042219,0.044308,0.048210,0.055226,0.067461,0.088250,0.123164"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.041292,0.043193,0.046861,0.053913,0.067437,0.093421,0.143477", \ "0.042856,0.044760,0.048434,0.055494,0.069029,0.095018,0.145115", \ "0.048168,0.050080,0.053767,0.060848,0.074413,0.100441,0.150594", \ "0.057394,0.059285,0.062935,0.069978,0.083530,0.109548,0.159679", \ "0.070246,0.072400,0.076505,0.084091,0.098141,0.124000,0.174015", \ "0.085084,0.087513,0.092147,0.100770,0.116461,0.144615,0.194838", \ "0.102484,0.105186,0.110333,0.120038,0.137342,0.168240,0.222484"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.012961,0.013875,0.015640,0.019061,0.025711,0.038610,0.063697", \ "0.012964,0.013871,0.015639,0.019067,0.025709,0.038606,0.063701", \ "0.012953,0.013867,0.015632,0.019057,0.025709,0.038611,0.063694", \ "0.014163,0.015042,0.016736,0.019998,0.026282,0.038747,0.063692", \ "0.016917,0.017757,0.019391,0.022587,0.028771,0.040828,0.064484", \ "0.022053,0.022892,0.024490,0.027543,0.033408,0.044915,0.067946", \ "0.029133,0.030034,0.031749,0.034936,0.040760,0.051732,0.073657"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.030763,0.032500,0.035857,0.042320,0.054713,0.078423,0.124062", \ "0.030763,0.032495,0.035854,0.042322,0.054713,0.078420,0.124072", \ "0.030758,0.032492,0.035851,0.042321,0.054713,0.078416,0.124066", \ "0.031240,0.032872,0.036061,0.042322,0.054699,0.078413,0.124058", \ "0.036187,0.037648,0.040483,0.045825,0.056718,0.078811,0.124046", \ "0.042904,0.044458,0.047445,0.053119,0.063764,0.083676,0.125191", \ "0.050365,0.052018,0.055181,0.061211,0.072459,0.093187,0.131714"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022142,0.023244,0.025370,0.029450,0.037280,0.052346,0.081477", \ "0.023501,0.024608,0.026739,0.030830,0.038669,0.053743,0.082881", \ "0.027027,0.028145,0.030294,0.034410,0.042284,0.057396,0.086564", \ "0.030982,0.032225,0.034591,0.039028,0.047293,0.062614,0.091833", \ "0.034101,0.035597,0.038390,0.043498,0.052693,0.069240,0.099414", \ "0.034946,0.036843,0.040358,0.046636,0.057531,0.076080,0.108435", \ "0.032953,0.035303,0.039673,0.047377,0.060535,0.082230,0.117809"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.040425,0.042328,0.046000,0.053047,0.066545,0.092444,0.142402", \ "0.041987,0.043893,0.047572,0.054627,0.068137,0.094042,0.144002", \ "0.047298,0.049211,0.052903,0.059981,0.073520,0.099468,0.149483", \ "0.056536,0.058437,0.062083,0.069112,0.082636,0.108583,0.158577", \ "0.069215,0.071393,0.075513,0.083140,0.097228,0.123054,0.172913", \ "0.083876,0.086328,0.090991,0.099653,0.115389,0.143594,0.193770", \ "0.101052,0.103783,0.108972,0.118714,0.136105,0.167070,0.221352"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010589,0.011490,0.013240,0.016629,0.023244,0.036107,0.061156", \ "0.010587,0.011485,0.013237,0.016631,0.023240,0.036110,0.061153", \ "0.010682,0.011554,0.013268,0.016635,0.023239,0.036107,0.061150", \ "0.012133,0.012978,0.014636,0.017857,0.024067,0.036360,0.061146", \ "0.015371,0.016172,0.017728,0.020770,0.026773,0.038662,0.062131", \ "0.020950,0.021770,0.023327,0.026267,0.031886,0.043042,0.065789", \ "0.028338,0.029223,0.030894,0.034021,0.039671,0.050282,0.071738"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.023913,0.025568,0.028774,0.034998,0.047086,0.070554,0.116241", \ "0.023911,0.025563,0.028770,0.035002,0.047097,0.070566,0.116243", \ "0.023903,0.025559,0.028767,0.034999,0.047094,0.070561,0.116234", \ "0.024418,0.025973,0.029003,0.035014,0.047071,0.070562,0.116209", \ "0.028744,0.030264,0.033156,0.038596,0.049153,0.070990,0.116190", \ "0.034104,0.035760,0.038901,0.044818,0.055792,0.075956,0.117336", \ "0.040142,0.041949,0.045359,0.051762,0.063550,0.084971,0.123965"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019265,0.020198,0.021995,0.025440,0.032035,0.044708,0.069185", \ "0.020720,0.021657,0.023459,0.026911,0.033515,0.046195,0.070681", \ "0.024987,0.025937,0.027756,0.031228,0.037859,0.050567,0.075076", \ "0.029808,0.030923,0.033023,0.036917,0.044049,0.057102,0.081674", \ "0.033247,0.034680,0.037346,0.042146,0.050590,0.065234,0.091220", \ "0.033982,0.035833,0.039265,0.045370,0.055848,0.073246,0.102126", \ "0.031714,0.034037,0.038318,0.045875,0.058719,0.079708,0.112986"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.042141,0.044051,0.047738,0.054805,0.068334,0.094268,0.144253", \ "0.043721,0.045635,0.049331,0.056408,0.069950,0.095897,0.145874", \ "0.048984,0.050906,0.054614,0.061719,0.075297,0.101295,0.151369", \ "0.058206,0.060119,0.063775,0.070831,0.084389,0.110378,0.160422", \ "0.071243,0.073414,0.077494,0.085047,0.099039,0.124866,0.174759", \ "0.086342,0.088762,0.093391,0.101948,0.117567,0.145612,0.195696", \ "0.104000,0.106693,0.111809,0.121451,0.138694,0.169468,0.223517"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008877,0.009633,0.011100,0.013963,0.019528,0.030368,0.051471", \ "0.008878,0.009632,0.011100,0.013960,0.019531,0.030365,0.051468", \ "0.009052,0.009776,0.011181,0.013988,0.019531,0.030366,0.051467", \ "0.010942,0.011627,0.012968,0.015581,0.020683,0.030798,0.051466", \ "0.014913,0.015604,0.016919,0.019429,0.024263,0.033848,0.053001", \ "0.020832,0.021592,0.023032,0.025686,0.030509,0.039595,0.057830", \ "0.028429,0.029262,0.030836,0.033771,0.038987,0.048176,0.065571"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024774,0.026433,0.029644,0.035879,0.047979,0.071450,0.117118", \ "0.024772,0.026431,0.029641,0.035875,0.047976,0.071448,0.117088", \ "0.024765,0.026425,0.029639,0.035873,0.047967,0.071445,0.117118", \ "0.025144,0.026713,0.029784,0.035845,0.047955,0.071435,0.117091", \ "0.029339,0.030871,0.033754,0.039171,0.049818,0.071778,0.117064", \ "0.034628,0.036282,0.039442,0.045350,0.056318,0.076516,0.118115", \ "0.040594,0.042399,0.045804,0.052221,0.064003,0.085442,0.124539"); } } timing () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.022586,0.023542,0.025378,0.028890,0.035592,0.048391,0.072992", \ "0.024049,0.025007,0.026847,0.030366,0.037074,0.049879,0.074485", \ "0.028369,0.029330,0.031176,0.034706,0.041431,0.054259,0.078888", \ "0.033860,0.034933,0.036967,0.040777,0.047829,0.060826,0.085498", \ "0.038614,0.039922,0.042384,0.046890,0.055014,0.069364,0.095157", \ "0.041124,0.042776,0.045872,0.051476,0.061290,0.078056,0.106441", \ "0.040792,0.042838,0.046667,0.053548,0.065465,0.085421,0.117844"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.043022,0.044929,0.048608,0.055679,0.069231,0.095235,0.145376", \ "0.044604,0.046515,0.050201,0.057282,0.070849,0.096865,0.147017", \ "0.049868,0.051787,0.055486,0.062592,0.076196,0.102268,0.152488", \ "0.059080,0.060981,0.064638,0.071702,0.085286,0.111344,0.161571", \ "0.072266,0.074436,0.078479,0.086002,0.099944,0.125820,0.175889", \ "0.087546,0.089948,0.094531,0.103066,0.118645,0.146649,0.196763", \ "0.105423,0.108092,0.113162,0.122789,0.139944,0.170651,0.224659"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.010874,0.011630,0.013119,0.015998,0.021593,0.032453,0.053579", \ "0.010870,0.011634,0.013116,0.015999,0.021592,0.032458,0.053582", \ "0.010881,0.011638,0.013116,0.015998,0.021594,0.032452,0.053578", \ "0.012460,0.013176,0.014565,0.017255,0.022425,0.032733,0.053577", \ "0.016068,0.016770,0.018116,0.020708,0.025729,0.035500,0.054860", \ "0.021752,0.022514,0.023962,0.026633,0.031559,0.040930,0.059458", \ "0.029059,0.029934,0.031542,0.034511,0.039809,0.049158,0.066924"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.031712,0.033445,0.036795,0.043246,0.055622,0.079311,0.124955", \ "0.031711,0.033440,0.036791,0.043245,0.055623,0.079315,0.124975", \ "0.031706,0.033436,0.036788,0.043244,0.055623,0.079316,0.124970", \ "0.032054,0.033697,0.036910,0.043210,0.055612,0.079307,0.124971", \ "0.036761,0.038243,0.041035,0.046442,0.057426,0.079630,0.124949", \ "0.043386,0.044944,0.047941,0.053634,0.064290,0.084296,0.125981", \ "0.050722,0.052382,0.055568,0.061633,0.072893,0.093658,0.132309"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.019265,0.020198,0.021995,0.025440,0.032035,0.044708,0.069185", \ "0.020720,0.021657,0.023459,0.026911,0.033515,0.046195,0.070681", \ "0.024987,0.025937,0.027756,0.031228,0.037859,0.050567,0.075076", \ "0.029808,0.030923,0.033023,0.036917,0.044049,0.057102,0.081674", \ "0.033247,0.034680,0.037346,0.042146,0.050590,0.065234,0.091220", \ "0.033982,0.035833,0.039265,0.045370,0.055848,0.073246,0.102126", \ "0.031714,0.034037,0.038318,0.045875,0.058719,0.079708,0.112986"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.042141,0.044051,0.047738,0.054805,0.068334,0.094268,0.144253", \ "0.043721,0.045635,0.049331,0.056408,0.069950,0.095897,0.145874", \ "0.048984,0.050906,0.054614,0.061719,0.075297,0.101295,0.151369", \ "0.058206,0.060119,0.063775,0.070831,0.084389,0.110378,0.160422", \ "0.071243,0.073414,0.077494,0.085047,0.099039,0.124866,0.174759", \ "0.086342,0.088762,0.093391,0.101948,0.117567,0.145612,0.195696", \ "0.104000,0.106693,0.111809,0.121451,0.138694,0.169468,0.223517"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.008877,0.009633,0.011100,0.013963,0.019528,0.030368,0.051471", \ "0.008878,0.009632,0.011100,0.013960,0.019531,0.030365,0.051468", \ "0.009052,0.009776,0.011181,0.013988,0.019531,0.030366,0.051467", \ "0.010942,0.011627,0.012968,0.015581,0.020683,0.030798,0.051466", \ "0.014913,0.015604,0.016919,0.019429,0.024263,0.033848,0.053001", \ "0.020832,0.021592,0.023032,0.025686,0.030509,0.039595,0.057830", \ "0.028429,0.029262,0.030836,0.033771,0.038987,0.048176,0.065571"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.024774,0.026433,0.029644,0.035879,0.047979,0.071450,0.117118", \ "0.024772,0.026431,0.029641,0.035875,0.047976,0.071448,0.117088", \ "0.024765,0.026425,0.029639,0.035873,0.047967,0.071445,0.117118", \ "0.025144,0.026713,0.029784,0.035845,0.047955,0.071435,0.117091", \ "0.029339,0.030871,0.033754,0.039171,0.049818,0.071778,0.117064", \ "0.034628,0.036282,0.039442,0.045350,0.056318,0.076516,0.118115", \ "0.040594,0.042399,0.045804,0.052221,0.064003,0.085442,0.124539"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.016595,0.017353,0.018809,0.021597,0.026926,0.037145,0.056858", \ "0.018091,0.018851,0.020312,0.023106,0.028442,0.038666,0.058384", \ "0.022692,0.023473,0.024957,0.027772,0.033130,0.043380,0.063120", \ "0.028129,0.029137,0.031021,0.034459,0.040592,0.051465,0.071322", \ "0.031693,0.033064,0.035606,0.040167,0.048056,0.061263,0.083404", \ "0.032334,0.034131,0.037454,0.043368,0.053488,0.070061,0.096411", \ "0.029887,0.032139,0.036311,0.043694,0.056204,0.076575,0.108361"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.045284,0.047150,0.050760,0.057711,0.071094,0.096870,0.146704", \ "0.046888,0.048757,0.052376,0.059347,0.072746,0.098539,0.148386", \ "0.052145,0.054023,0.057655,0.064644,0.078085,0.103932,0.153825", \ "0.061369,0.063233,0.066824,0.073773,0.087178,0.113007,0.162918", \ "0.074857,0.076932,0.080842,0.088208,0.101889,0.127544,0.177263", \ "0.090414,0.092745,0.097226,0.105556,0.120846,0.148502,0.198295", \ "0.108623,0.111213,0.116172,0.125549,0.142446,0.172789,0.226394"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.007688,0.008293,0.009465,0.011744,0.016187,0.024823,0.041613", \ "0.007684,0.008288,0.009464,0.011744,0.016183,0.024815,0.041622", \ "0.007974,0.008529,0.009623,0.011805,0.016193,0.024818,0.041620", \ "0.010675,0.011199,0.012222,0.014195,0.018028,0.025633,0.041659", \ "0.015447,0.016025,0.017124,0.019182,0.022998,0.030213,0.044384", \ "0.021875,0.022528,0.023760,0.026074,0.030245,0.037671,0.051447", \ "0.029925,0.030625,0.031981,0.034548,0.039227,0.047317,0.061485"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.025752,0.027433,0.030681,0.036984,0.049176,0.072769,0.118534", \ "0.025744,0.027424,0.030680,0.036981,0.049177,0.072783,0.118533", \ "0.025742,0.027425,0.030673,0.036984,0.049172,0.072791,0.118528", \ "0.026028,0.027639,0.030763,0.036971,0.049169,0.072763,0.118524", \ "0.030114,0.031637,0.034551,0.039989,0.050847,0.073061,0.118509", \ "0.035477,0.037139,0.040293,0.046219,0.057217,0.077559,0.119475", \ "0.041464,0.043269,0.046681,0.053114,0.064918,0.086389,0.125677"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.593060,1.596992,1.619577,1.629976,1.672469,1.706132,1.737139", \ "1.604542,1.609041,1.625872,1.644737,1.694659,1.726533,1.772405", \ "1.588992,1.608741,1.616344,1.645756,1.684925,1.729888,1.774773", \ "1.670984,1.674448,1.678539,1.696700,1.714254,1.748139,1.789531", \ "2.024974,2.011723,1.989020,1.950200,1.899871,1.882945,1.880881", \ "2.605704,2.577882,2.551225,2.479348,2.370975,2.218999,2.115524", \ "3.422642,3.401462,3.360583,3.287510,3.117732,2.860047,2.563748"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.123088,4.129855,4.140993,4.159125,4.171208,4.197310,4.198376", \ "4.056655,4.076078,4.084200,4.131945,4.105766,4.176153,4.187838", \ "4.062413,4.065366,4.078713,4.087244,4.033836,4.129264,4.151135", \ "4.282960,4.275786,4.259232,4.233787,4.178277,4.180770,4.179581", \ "4.745973,4.726831,4.689379,4.625309,4.529863,4.458919,4.403520", \ "5.399205,5.371574,5.356199,5.290870,5.150842,4.935514,4.789549", \ "6.348542,6.316817,6.256601,6.159788,6.024020,5.736290,5.453013"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.082509,1.087808,1.103800,1.114853,1.132642,1.155008,1.177615", \ "1.084897,1.089137,1.099996,1.123409,1.149626,1.178700,1.210497", \ "1.063226,1.067086,1.088811,1.106585,1.138475,1.172725,1.208335", \ "1.191863,1.182944,1.167485,1.167189,1.176775,1.193425,1.224320", \ "1.583222,1.560474,1.531150,1.470287,1.393143,1.336146,1.319017", \ "2.209111,2.185056,2.139465,2.050001,1.900259,1.711772,1.560815", \ "3.065791,3.036347,2.986250,2.878012,2.681072,2.384347,2.037942"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.473901,3.481358,3.496028,3.510359,3.480929,3.475993,3.419230", \ "3.406609,3.416705,3.436801,3.439363,3.514261,3.477846,3.565222", \ "3.414170,3.417428,3.428009,3.421493,3.433158,3.508158,3.527536", \ "3.617042,3.609284,3.600788,3.557673,3.559709,3.559029,3.556320", \ "4.099716,4.082726,4.035148,3.972683,3.876069,3.751895,3.625327", \ "4.685718,4.672092,4.636507,4.602608,4.466224,4.316075,4.010946", \ "5.649516,5.628512,5.565377,5.459673,5.289461,5.123184,4.674839"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.076739,1.079428,1.095181,1.109800,1.124521,1.143917,1.172534", \ "1.073689,1.083798,1.086937,1.115927,1.141623,1.171476,1.199951", \ "1.065487,1.066985,1.080650,1.098714,1.137435,1.172974,1.205749", \ "1.222235,1.212337,1.197942,1.175210,1.181659,1.199558,1.223586", \ "1.634595,1.608577,1.579829,1.520880,1.437898,1.360358,1.327297", \ "2.272993,2.242935,2.208475,2.120616,1.964332,1.775875,1.598314", \ "3.125786,3.106989,3.057398,2.982812,2.789450,2.482676,2.125242"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.457308,3.473004,3.487812,3.497373,3.504618,3.435879,3.535136", \ "3.391105,3.400998,3.421139,3.420063,3.417573,3.411660,3.553133", \ "3.403807,3.406227,3.392575,3.423243,3.383895,3.491748,3.511998", \ "3.622849,3.613810,3.603788,3.556963,3.516985,3.550749,3.544068", \ "4.130226,4.108017,4.058658,3.978296,3.888861,3.762537,3.627226", \ "4.730383,4.715512,4.678789,4.642551,4.564115,4.350949,4.036630", \ "5.753503,5.698524,5.634044,5.522482,5.421534,5.185910,4.888838"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.082509,1.087808,1.103800,1.114853,1.132642,1.155008,1.177615", \ "1.084897,1.089137,1.099996,1.123409,1.149626,1.178700,1.210497", \ "1.063226,1.067086,1.088811,1.106585,1.138475,1.172725,1.208335", \ "1.191863,1.182944,1.167485,1.167189,1.176775,1.193425,1.224320", \ "1.583222,1.560474,1.531150,1.470287,1.393143,1.336146,1.319017", \ "2.209111,2.185056,2.139465,2.050001,1.900259,1.711772,1.560815", \ "3.065791,3.036347,2.986250,2.878012,2.681072,2.384347,2.037942"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.473901,3.481358,3.496028,3.510359,3.480929,3.475993,3.419230", \ "3.406609,3.416705,3.436801,3.439363,3.514261,3.477846,3.565222", \ "3.414170,3.417428,3.428009,3.421493,3.433158,3.508158,3.527536", \ "3.617042,3.609284,3.600788,3.557673,3.559709,3.559029,3.556320", \ "4.099716,4.082726,4.035148,3.972683,3.876069,3.751895,3.625327", \ "4.685718,4.672092,4.636507,4.602608,4.466224,4.316075,4.010946", \ "5.649516,5.628512,5.565377,5.459673,5.289461,5.123184,4.674839"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.584498,0.588141,0.591177,0.596058,0.604058,0.610572,0.615000", \ "0.568928,0.575290,0.582109,0.598119,0.614648,0.632469,0.645628", \ "0.543175,0.545039,0.550630,0.567984,0.596757,0.617406,0.644116", \ "0.736748,0.716915,0.680905,0.644055,0.637603,0.646370,0.653604", \ "1.184952,1.157122,1.102525,1.012633,0.897201,0.797669,0.757599", \ "1.860561,1.822293,1.760899,1.636720,1.441737,1.209156,1.010733", \ "2.722861,2.703053,2.636607,2.510576,2.281668,1.908730,1.514399"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.808804,2.822543,2.822372,2.844800,2.855196,2.820744,2.799682", \ "2.738229,2.769923,2.786921,2.796347,2.812770,2.821259,2.907586", \ "2.747740,2.769439,2.754410,2.778297,2.800422,2.788277,2.801659", \ "2.972326,2.972554,2.952202,2.912738,2.904243,2.889487,2.776987", \ "3.310496,3.320088,3.357232,3.334544,3.193383,3.074656,3.006161", \ "3.959590,3.955649,3.919616,3.842146,3.872958,3.605903,3.391617", \ "4.965333,4.917239,4.856940,4.768391,4.580660,4.457182,4.057762"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.585811,0.588733,0.591441,0.599373,0.605603,0.605836,0.615611", \ "0.568774,0.574992,0.586197,0.597369,0.620574,0.629234,0.643159", \ "0.547102,0.554669,0.565895,0.576299,0.603550,0.626996,0.643680", \ "0.777785,0.754914,0.723215,0.677045,0.655181,0.657073,0.664880", \ "1.238276,1.207986,1.148138,1.068569,0.948319,0.824541,0.774183", \ "1.906063,1.885193,1.825367,1.704140,1.523936,1.280715,1.050807", \ "2.777435,2.748785,2.703769,2.596569,2.374826,2.019679,1.607643"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.806484,2.803912,2.818208,2.817507,2.848419,2.807197,2.793050", \ "2.723269,2.730348,2.771649,2.775411,2.790811,2.796327,2.905467", \ "2.737973,2.755303,2.742645,2.762234,2.777253,2.724586,2.785704", \ "2.977967,2.968067,2.955371,2.920389,2.824638,2.825153,2.764978", \ "3.358591,3.368255,3.378692,3.356507,3.265066,3.085012,3.007882", \ "4.024127,3.998235,3.961050,3.914842,3.913180,3.645200,3.507916", \ "5.055733,5.007135,4.946402,4.850340,4.715209,4.580117,4.123832"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.076739,1.079428,1.095181,1.109800,1.124521,1.143917,1.172534", \ "1.073689,1.083798,1.086937,1.115927,1.141623,1.171476,1.199951", \ "1.065487,1.066985,1.080650,1.098714,1.137435,1.172974,1.205749", \ "1.222235,1.212337,1.197942,1.175210,1.181659,1.199558,1.223586", \ "1.634595,1.608577,1.579829,1.520880,1.437898,1.360358,1.327297", \ "2.272993,2.242935,2.208475,2.120616,1.964332,1.775875,1.598314", \ "3.125786,3.106989,3.057398,2.982812,2.789450,2.482676,2.125242"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.457308,3.473004,3.487812,3.497373,3.504618,3.435879,3.535136", \ "3.391105,3.400998,3.421139,3.420063,3.417573,3.411660,3.553133", \ "3.403807,3.406227,3.392575,3.423243,3.383895,3.491748,3.511998", \ "3.622849,3.613810,3.603788,3.556963,3.516985,3.550749,3.544068", \ "4.130226,4.108017,4.058658,3.978296,3.888861,3.762537,3.627226", \ "4.730383,4.715512,4.678789,4.642551,4.564115,4.350949,4.036630", \ "5.753503,5.698524,5.634044,5.522482,5.421534,5.185910,4.888838"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.585811,0.588733,0.591441,0.599373,0.605603,0.605836,0.615611", \ "0.568774,0.574992,0.586197,0.597369,0.620574,0.629234,0.643159", \ "0.547102,0.554669,0.565895,0.576299,0.603550,0.626996,0.643680", \ "0.777785,0.754914,0.723215,0.677045,0.655181,0.657073,0.664880", \ "1.238276,1.207986,1.148138,1.068569,0.948319,0.824541,0.774183", \ "1.906063,1.885193,1.825367,1.704140,1.523936,1.280715,1.050807", \ "2.777435,2.748785,2.703769,2.596569,2.374826,2.019679,1.607643"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.806484,2.803912,2.818208,2.817507,2.848419,2.807197,2.793050", \ "2.723269,2.730348,2.771649,2.775411,2.790811,2.796327,2.905467", \ "2.737973,2.755303,2.742645,2.762234,2.777253,2.724586,2.785704", \ "2.977967,2.968067,2.955371,2.920389,2.824638,2.825153,2.764978", \ "3.358591,3.368255,3.378692,3.356507,3.265066,3.085012,3.007882", \ "4.024127,3.998235,3.961050,3.914842,3.913180,3.645200,3.507916", \ "5.055733,5.007135,4.946402,4.850340,4.715209,4.580117,4.123832"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.583258,0.588724,0.587515,0.601434,0.607789,0.611239,0.615525", \ "0.570507,0.576107,0.587236,0.600991,0.619432,0.633970,0.641725", \ "0.558859,0.561986,0.568170,0.586124,0.606519,0.629249,0.644163", \ "0.816050,0.796392,0.764785,0.719374,0.668436,0.667888,0.669166", \ "1.276086,1.255851,1.207728,1.122580,1.007285,0.870104,0.797027", \ "1.946456,1.932399,1.887306,1.783558,1.593092,1.360808,1.106493", \ "2.830688,2.815096,2.770617,2.683335,2.486922,2.146011,1.716170"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.797674,2.802610,2.813181,2.820577,2.833172,2.838979,2.794123", \ "2.711957,2.719101,2.760356,2.786064,2.811176,2.767453,2.787452", \ "2.726180,2.725312,2.728776,2.745362,2.765623,2.730014,2.746375", \ "2.983437,2.981276,2.963788,2.908440,2.909340,2.870664,2.861348", \ "3.388393,3.393164,3.413903,3.353913,3.228622,3.097473,3.010982", \ "4.072209,4.067351,4.031204,3.990872,3.971502,3.780920,3.509447", \ "5.152689,5.103608,5.042379,4.938643,4.786153,4.651268,4.215316"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.039595,2.042697,2.064702,2.070918,2.118691,2.151412,2.198551", \ "1.996267,1.999509,2.008963,2.037440,2.065843,2.116242,2.154294", \ "1.964849,1.968095,1.989423,1.996340,2.038007,2.089266,2.137774", \ "2.037750,2.041924,2.054172,2.069965,2.080920,2.116312,2.160470", \ "2.371980,2.362054,2.348142,2.309779,2.283306,2.272701,2.264830", \ "2.957972,2.941705,2.907308,2.854076,2.761825,2.619640,2.526644", \ "3.805704,3.786763,3.740466,3.655801,3.527404,3.301637,3.003340"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.930166,4.942303,4.955354,4.948356,4.962636,4.928397,4.934776", \ "4.882090,4.889802,4.899713,4.931930,4.892587,4.982397,4.990720", \ "4.803181,4.806555,4.810620,4.855086,4.891173,4.900287,4.932878", \ "4.812109,4.813309,4.816115,4.822815,4.765541,4.885209,4.915368", \ "5.028425,5.019148,5.009383,4.975882,4.955377,4.935431,4.927115", \ "5.426299,5.412177,5.401585,5.394760,5.312848,5.214158,5.096020", \ "6.125200,6.105569,6.044696,5.970440,5.857171,5.751257,5.552760"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.526647,1.524781,1.539895,1.556891,1.579419,1.607061,1.638264", \ "1.471322,1.479436,1.490420,1.508450,1.534734,1.561503,1.600921", \ "1.433701,1.446320,1.457189,1.475514,1.507107,1.536420,1.566995", \ "1.531568,1.531481,1.536778,1.548219,1.546855,1.565551,1.591924", \ "1.921314,1.906536,1.883235,1.837322,1.767051,1.729472,1.706009", \ "2.547999,2.528267,2.481419,2.408220,2.291536,2.113569,1.972822", \ "3.418557,3.408182,3.360105,3.265890,3.080337,2.820408,2.476559"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.281631,4.286357,4.295611,4.291424,4.289599,4.248786,4.238042", \ "4.232485,4.240972,4.259406,4.261598,4.260556,4.288144,4.210472", \ "4.129636,4.136274,4.162643,4.153158,4.143960,4.278897,4.301809", \ "4.143080,4.156015,4.159965,4.155034,4.104958,4.141682,4.135245", \ "4.361180,4.369242,4.346708,4.331713,4.257298,4.229787,4.303815", \ "4.712055,4.692529,4.670702,4.687999,4.655685,4.563910,4.473177", \ "5.446764,5.402276,5.357012,5.271712,5.153524,5.129543,4.773621"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.503307,1.511766,1.520889,1.541373,1.563810,1.590131,1.632570", \ "1.446110,1.457239,1.473203,1.489467,1.511449,1.555489,1.586467", \ "1.420356,1.432568,1.447440,1.460392,1.493187,1.530092,1.560291", \ "1.544986,1.546583,1.535674,1.542041,1.546745,1.566262,1.588125", \ "1.956821,1.949038,1.915619,1.875553,1.803336,1.739230,1.717178", \ "2.609143,2.584802,2.543946,2.474509,2.347723,2.176699,2.006004", \ "3.514152,3.490672,3.444350,3.356930,3.189451,2.914773,2.564128"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.273661,4.278411,4.289266,4.294161,4.276815,4.242021,4.231495", \ "4.219606,4.227779,4.244869,4.262550,4.283693,4.275097,4.199216", \ "4.120296,4.125962,4.140496,4.155626,4.216155,4.263755,4.290616", \ "4.138716,4.139001,4.143215,4.165060,4.183389,4.133361,4.120201", \ "4.366335,4.372707,4.362268,4.342522,4.289326,4.210709,4.293135", \ "4.736230,4.715570,4.711998,4.691730,4.635450,4.581342,4.475431", \ "5.495957,5.471271,5.416777,5.335951,5.190987,5.162018,4.796762"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.526647,1.524781,1.539895,1.556891,1.579419,1.607061,1.638264", \ "1.471322,1.479436,1.490420,1.508450,1.534734,1.561503,1.600921", \ "1.433701,1.446320,1.457189,1.475514,1.507107,1.536420,1.566995", \ "1.531568,1.531481,1.536778,1.548219,1.546855,1.565551,1.591924", \ "1.921314,1.906536,1.883235,1.837322,1.767051,1.729472,1.706009", \ "2.547999,2.528267,2.481419,2.408220,2.291536,2.113569,1.972822", \ "3.418557,3.408182,3.360105,3.265890,3.080337,2.820408,2.476559"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.281631,4.286357,4.295611,4.291424,4.289599,4.248786,4.238042", \ "4.232485,4.240972,4.259406,4.261598,4.260556,4.288144,4.210472", \ "4.129636,4.136274,4.162643,4.153158,4.143960,4.278897,4.301809", \ "4.143080,4.156015,4.159965,4.155034,4.104958,4.141682,4.135245", \ "4.361180,4.369242,4.346708,4.331713,4.257298,4.229787,4.303815", \ "4.712055,4.692529,4.670702,4.687999,4.655685,4.563910,4.473177", \ "5.446764,5.402276,5.357012,5.271712,5.153524,5.129543,4.773621"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.013878,1.014081,1.019820,1.033158,1.039944,1.061021,1.080019", \ "0.951473,0.951281,0.962442,0.981860,0.995081,1.019222,1.034447", \ "0.912350,0.928829,0.938083,0.949708,0.958766,0.984670,1.010244", \ "1.064674,1.049267,1.028327,1.029958,1.022022,1.027722,1.034702", \ "1.500121,1.478983,1.435381,1.370967,1.270965,1.196550,1.148411", \ "2.172392,2.137163,2.082858,1.984585,1.834059,1.612625,1.435030", \ "3.077300,3.043958,2.992112,2.883925,2.674616,2.352041,1.957567"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.615130,3.617078,3.634044,3.618591,3.672579,3.634987,3.619740", \ "3.564969,3.570602,3.594799,3.592738,3.593305,3.616653,3.687901", \ "3.484028,3.488756,3.514635,3.532098,3.576225,3.592830,3.660601", \ "3.497930,3.495405,3.490138,3.507596,3.520025,3.502154,3.534053", \ "3.595672,3.602478,3.655758,3.681061,3.657345,3.601259,3.651155", \ "3.986765,3.983025,3.969992,3.970725,3.990972,3.917360,3.787780", \ "4.726039,4.699006,4.660937,4.580159,4.493566,4.448422,4.153388"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.002773,1.008761,1.009903,1.024993,1.036803,1.055593,1.076348", \ "0.936966,0.943091,0.957682,0.976852,0.984862,1.005080,1.031273", \ "0.916700,0.921432,0.920606,0.938883,0.965013,0.984305,1.006098", \ "1.093818,1.074591,1.056275,1.021935,1.029280,1.028899,1.032832", \ "1.541291,1.521452,1.476098,1.409532,1.309129,1.214631,1.160997", \ "2.226154,2.191100,2.149226,2.057156,1.894165,1.677054,1.462924", \ "3.148318,3.132015,3.079843,2.973492,2.765330,2.450438,2.049981"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.607404,3.609471,3.624790,3.633765,3.655455,3.628347,3.613218", \ "3.562677,3.558019,3.574386,3.585050,3.561008,3.621931,3.589251", \ "3.475150,3.479118,3.494505,3.512067,3.552232,3.523205,3.649909", \ "3.492520,3.490662,3.492564,3.507434,3.529074,3.489054,3.514711", \ "3.600792,3.607077,3.660771,3.682557,3.662339,3.603530,3.634300", \ "4.011247,4.006069,3.989702,3.981706,4.015904,3.926275,3.767117", \ "4.796529,4.775319,4.727703,4.643774,4.512646,4.467189,4.176516"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.503307,1.511766,1.520889,1.541373,1.563810,1.590131,1.632570", \ "1.446110,1.457239,1.473203,1.489467,1.511449,1.555489,1.586467", \ "1.420356,1.432568,1.447440,1.460392,1.493187,1.530092,1.560291", \ "1.544986,1.546583,1.535674,1.542041,1.546745,1.566262,1.588125", \ "1.956821,1.949038,1.915619,1.875553,1.803336,1.739230,1.717178", \ "2.609143,2.584802,2.543946,2.474509,2.347723,2.176699,2.006004", \ "3.514152,3.490672,3.444350,3.356930,3.189451,2.914773,2.564128"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.273661,4.278411,4.289266,4.294161,4.276815,4.242021,4.231495", \ "4.219606,4.227779,4.244869,4.262550,4.283693,4.275097,4.199216", \ "4.120296,4.125962,4.140496,4.155626,4.216155,4.263755,4.290616", \ "4.138716,4.139001,4.143215,4.165060,4.183389,4.133361,4.120201", \ "4.366335,4.372707,4.362268,4.342522,4.289326,4.210709,4.293135", \ "4.736230,4.715570,4.711998,4.691730,4.635450,4.581342,4.475431", \ "5.495957,5.471271,5.416777,5.335951,5.190987,5.162018,4.796762"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.002773,1.008761,1.009903,1.024993,1.036803,1.055593,1.076348", \ "0.936966,0.943091,0.957682,0.976852,0.984862,1.005080,1.031273", \ "0.916700,0.921432,0.920606,0.938883,0.965013,0.984305,1.006098", \ "1.093818,1.074591,1.056275,1.021935,1.029280,1.028899,1.032832", \ "1.541291,1.521452,1.476098,1.409532,1.309129,1.214631,1.160997", \ "2.226154,2.191100,2.149226,2.057156,1.894165,1.677054,1.462924", \ "3.148318,3.132015,3.079843,2.973492,2.765330,2.450438,2.049981"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.607404,3.609471,3.624790,3.633765,3.655455,3.628347,3.613218", \ "3.562677,3.558019,3.574386,3.585050,3.561008,3.621931,3.589251", \ "3.475150,3.479118,3.494505,3.512067,3.552232,3.523205,3.649909", \ "3.492520,3.490662,3.492564,3.507434,3.529074,3.489054,3.514711", \ "3.600792,3.607077,3.660771,3.682557,3.662339,3.603530,3.634300", \ "4.011247,4.006069,3.989702,3.981706,4.015904,3.926275,3.767117", \ "4.796529,4.775319,4.727703,4.643774,4.512646,4.467189,4.176516"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.967077,0.984157,0.990902,1.005419,1.030394,1.045946,1.066872", \ "0.918654,0.933051,0.944938,0.963159,0.975675,0.999527,1.024675", \ "0.895289,0.905055,0.917336,0.933829,0.954074,0.971996,0.999141", \ "1.121489,1.107902,1.086790,1.042464,1.022805,1.026887,1.029977", \ "1.578665,1.562421,1.510767,1.459727,1.361510,1.230591,1.171942", \ "2.272893,2.247718,2.206143,2.127600,1.973473,1.752294,1.499550", \ "3.220212,3.206076,3.145577,3.063519,2.870101,2.558353,2.155688"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("3.606195,3.608176,3.625472,3.608882,3.656175,3.627344,3.612318", \ "3.552081,3.550353,3.561120,3.573296,3.625153,3.588718,3.578940", \ "3.465462,3.468846,3.478705,3.511037,3.534333,3.479673,3.491014", \ "3.487104,3.485882,3.486954,3.482250,3.500686,3.474622,3.492764", \ "3.606372,3.611938,3.664614,3.682388,3.655904,3.569522,3.521176", \ "4.037497,4.032397,4.013418,4.009583,4.023725,3.931597,3.760204", \ "4.872706,4.849211,4.777793,4.713190,4.554654,4.498561,4.203687"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.592997,1.613224,1.620300,1.648628,1.668882,1.697470,1.739031", \ "1.596081,1.617675,1.635049,1.652604,1.695180,1.733599,1.775098", \ "1.539934,1.562956,1.585493,1.606780,1.659414,1.710754,1.759214", \ "1.515270,1.519785,1.540779,1.569184,1.607977,1.661895,1.738406", \ "1.686854,1.674831,1.678297,1.663973,1.653287,1.685661,1.740404", \ "2.050070,2.045137,2.017872,1.984647,1.935571,1.864516,1.845140", \ "2.646455,2.622175,2.596753,2.532219,2.433094,2.281715,2.112267"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.615201,5.618144,5.627212,5.632160,5.597909,5.617481,5.602859", \ "5.551397,5.556762,5.570027,5.587983,5.603202,5.575665,5.694904", \ "5.496856,5.500673,5.512132,5.514144,5.561848,5.586246,5.654393", \ "5.681271,5.677774,5.657195,5.630342,5.579675,5.675788,5.686595", \ "6.108021,6.095704,6.076002,6.007372,5.919177,5.853433,5.764153", \ "6.767051,6.774799,6.757011,6.666479,6.550260,6.449200,6.159022", \ "7.656976,7.641636,7.600975,7.561759,7.488741,7.234832,6.881754"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.083025,1.099905,1.104075,1.120462,1.137198,1.153731,1.177100", \ "1.076129,1.081156,1.104175,1.130244,1.148386,1.178095,1.206027", \ "1.003021,1.016361,1.041369,1.068212,1.105768,1.151563,1.197902", \ "0.986482,0.985036,0.996012,1.023983,1.053915,1.108807,1.168564", \ "1.192654,1.187154,1.170289,1.150395,1.118451,1.137217,1.170346", \ "1.611540,1.593386,1.561255,1.508494,1.421170,1.333442,1.277024", \ "2.229595,2.199893,2.167555,2.086334,1.966721,1.774709,1.563436"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.929501,4.935344,4.942781,4.949798,4.956694,4.941624,4.946904", \ "4.864302,4.878399,4.884397,4.901852,4.959082,4.911420,4.913149", \ "4.832028,4.836889,4.849942,4.861092,4.907078,4.874468,4.871474", \ "4.997836,4.994401,4.996713,5.001028,4.994637,4.925200,4.932524", \ "5.429343,5.416172,5.401523,5.346847,5.303000,5.194312,5.136300", \ "6.032787,6.035220,6.032612,6.034448,5.883350,5.663366,5.531074", \ "6.929117,6.928425,6.899092,6.831401,6.728488,6.562488,6.206958"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.077038,1.085290,1.083878,1.107602,1.127891,1.148361,1.168455", \ "1.069052,1.079894,1.091892,1.114983,1.138608,1.173041,1.206270", \ "0.999060,1.011681,1.028218,1.058653,1.104733,1.148915,1.192599", \ "1.016522,1.014849,1.011801,1.018151,1.062775,1.111820,1.162547", \ "1.244378,1.232387,1.219977,1.197812,1.164926,1.142756,1.178185", \ "1.665102,1.652825,1.619453,1.570795,1.497182,1.392678,1.300719", \ "2.281354,2.267214,2.231491,2.173684,2.063567,1.861295,1.648670"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.917657,4.921166,4.931321,4.946254,4.907249,4.931201,4.936379", \ "4.839660,4.845726,4.859935,4.889151,4.876420,4.880084,4.890989", \ "4.813805,4.818131,4.830312,4.829232,4.842874,4.835653,4.846067", \ "5.000558,4.996996,4.997619,4.983421,4.982710,4.992918,4.909426", \ "5.452787,5.440346,5.423641,5.398093,5.271768,5.193743,5.135940", \ "6.091372,6.084697,6.079218,6.085332,5.921549,5.745636,5.557244", \ "7.027542,7.005994,6.998083,6.916531,6.871322,6.685562,6.268382"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.083025,1.099905,1.104075,1.120462,1.137198,1.153731,1.177100", \ "1.076129,1.081156,1.104175,1.130244,1.148386,1.178095,1.206027", \ "1.003021,1.016361,1.041369,1.068212,1.105768,1.151563,1.197902", \ "0.986482,0.985036,0.996012,1.023983,1.053915,1.108807,1.168564", \ "1.192654,1.187154,1.170289,1.150395,1.118451,1.137217,1.170346", \ "1.611540,1.593386,1.561255,1.508494,1.421170,1.333442,1.277024", \ "2.229595,2.199893,2.167555,2.086334,1.966721,1.774709,1.563436"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.929501,4.935344,4.942781,4.949798,4.956694,4.941624,4.946904", \ "4.864302,4.878399,4.884397,4.901852,4.959082,4.911420,4.913149", \ "4.832028,4.836889,4.849942,4.861092,4.907078,4.874468,4.871474", \ "4.997836,4.994401,4.996713,5.001028,4.994637,4.925200,4.932524", \ "5.429343,5.416172,5.401523,5.346847,5.303000,5.194312,5.136300", \ "6.032787,6.035220,6.032612,6.034448,5.883350,5.663366,5.531074", \ "6.929117,6.928425,6.899092,6.831401,6.728488,6.562488,6.206958"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.592505,0.586352,0.597653,0.599160,0.601581,0.610849,0.615674", \ "0.564483,0.574375,0.586736,0.599205,0.618407,0.630809,0.643309", \ "0.460359,0.469262,0.492916,0.525982,0.565069,0.602795,0.631095", \ "0.468009,0.466819,0.462246,0.473365,0.507871,0.557061,0.595087", \ "0.722264,0.707863,0.678407,0.643166,0.596838,0.583930,0.605294", \ "1.181766,1.165536,1.117830,1.039438,0.925354,0.799956,0.720905", \ "1.833433,1.810073,1.756835,1.665349,1.509390,1.268385,1.022550"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.230710,4.259292,4.269861,4.250928,4.274642,4.320843,4.388576", \ "4.188921,4.195298,4.209968,4.246962,4.251020,4.312972,4.373713", \ "4.134080,4.138680,4.151249,4.195813,4.186241,4.228989,4.345231", \ "4.299766,4.322703,4.325527,4.322479,4.291394,4.279412,4.283955", \ "4.706690,4.734352,4.729061,4.669987,4.613927,4.564661,4.548422", \ "5.265279,5.283246,5.288500,5.260384,5.243275,5.039670,4.961727", \ "6.191982,6.183746,6.161445,6.108914,6.057394,5.854503,5.587366"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.592842,0.592411,0.597911,0.596019,0.607346,0.610844,0.611354", \ "0.552079,0.571040,0.586496,0.596062,0.616337,0.633804,0.645215", \ "0.452107,0.474390,0.495205,0.526997,0.567568,0.601579,0.633284", \ "0.514320,0.508083,0.504608,0.492652,0.518026,0.560378,0.600184", \ "0.777359,0.762077,0.733548,0.691249,0.645687,0.595933,0.623047", \ "1.242051,1.221628,1.185755,1.102964,1.002647,0.869820,0.752579", \ "1.878503,1.867932,1.816498,1.746511,1.602594,1.368030,1.107045"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.219265,4.247773,4.258570,4.241356,4.298754,4.311018,4.377943", \ "4.164763,4.171321,4.186148,4.204806,4.206127,4.258793,4.341565", \ "4.116556,4.120606,4.132362,4.173794,4.157162,4.205274,4.315479", \ "4.328383,4.325330,4.326417,4.307024,4.259744,4.267128,4.267679", \ "4.757180,4.757934,4.750854,4.730684,4.675561,4.573092,4.548305", \ "5.337250,5.331218,5.343668,5.352080,5.302724,5.082885,4.934740", \ "6.289120,6.281496,6.259330,6.204127,6.128963,5.918652,5.647766"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.090761,1.097297,1.102942,1.112205,1.135861,1.148802,1.171610", \ "1.084126,1.093444,1.102109,1.123611,1.148133,1.175775,1.203367", \ "1.002221,1.014485,1.036611,1.067349,1.104205,1.151942,1.195865", \ "0.991386,0.993576,0.999107,1.021124,1.063632,1.108421,1.167571", \ "1.209350,1.203364,1.188733,1.160855,1.132950,1.144074,1.172319", \ "1.632062,1.614665,1.576424,1.530654,1.443679,1.353295,1.292333", \ "2.259867,2.248067,2.199382,2.132331,1.994369,1.800383,1.598753"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.261805,5.265298,5.275220,5.299244,5.269721,5.333906,5.341721", \ "5.198827,5.212731,5.219211,5.246994,5.266452,5.300892,5.315386", \ "5.165376,5.170310,5.183229,5.177454,5.181025,5.246876,5.271034", \ "5.328574,5.326637,5.330128,5.316503,5.297672,5.321258,5.302719", \ "5.755334,5.745095,5.732411,5.683602,5.664106,5.585339,5.541008", \ "6.378988,6.361266,6.363595,6.366853,6.262634,6.072779,5.945507", \ "7.262789,7.264490,7.240699,7.167414,7.125566,6.881441,6.660589"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.582269,0.593936,0.599559,0.603735,0.603974,0.607739,0.610038", \ "0.558715,0.568344,0.588541,0.596016,0.616316,0.634479,0.643727", \ "0.462926,0.476004,0.488799,0.523554,0.567299,0.602241,0.635178", \ "0.481579,0.475878,0.467999,0.470991,0.515222,0.558407,0.600438", \ "0.738717,0.717216,0.695349,0.658655,0.612833,0.587089,0.615346", \ "1.206281,1.180426,1.145122,1.066145,0.956560,0.822193,0.733415", \ "1.889367,1.854287,1.801706,1.701111,1.546184,1.301323,1.056510"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.582646,4.592086,4.602449,4.620197,4.607130,4.555178,4.728106", \ "4.524096,4.530179,4.544764,4.583536,4.596781,4.519730,4.701644", \ "4.468071,4.472266,4.484150,4.499991,4.553190,4.624269,4.654573", \ "4.631809,4.655762,4.635356,4.650406,4.639176,4.674743,4.686841", \ "5.071798,5.069054,5.061154,5.021062,4.965799,4.838317,4.757245", \ "5.605690,5.626669,5.612763,5.635871,5.535181,5.450578,5.159836", \ "6.541241,6.514358,6.496868,6.479741,6.414287,6.303736,6.015991"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.585312,0.593186,0.594022,0.602247,0.603671,0.608819,0.616531", \ "0.556858,0.573106,0.582399,0.604710,0.618485,0.630164,0.644256", \ "0.460300,0.479615,0.499053,0.525217,0.567325,0.602464,0.635857", \ "0.514396,0.511746,0.511377,0.503738,0.522917,0.563078,0.605291", \ "0.788480,0.773083,0.753184,0.712693,0.664666,0.614707,0.623994", \ "1.269580,1.242312,1.200707,1.142769,1.034177,0.896034,0.769407", \ "1.938910,1.911435,1.871933,1.789653,1.649066,1.410936,1.148628"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("4.552207,4.555132,4.590840,4.593603,4.617282,4.628003,4.716071", \ "4.499099,4.505241,4.520026,4.551911,4.580596,4.588123,4.679734", \ "4.449790,4.453414,4.464265,4.499827,4.525321,4.565405,4.628199", \ "4.635326,4.658533,4.659941,4.625836,4.652412,4.662516,4.668335", \ "5.095714,5.094534,5.084443,5.055276,4.979967,4.817851,4.759171", \ "5.679441,5.677106,5.694344,5.700490,5.644016,5.493530,5.355841", \ "6.640087,6.613751,6.597223,6.563611,6.526031,6.337074,6.084744"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.036810,2.057281,2.063513,2.079707,2.116021,2.164193,2.208959", \ "1.990767,1.994406,2.017802,2.045180,2.074862,2.114492,2.167483", \ "1.949065,1.954352,1.970543,1.998870,2.027932,2.078318,2.136569", \ "1.929984,1.934068,1.958040,1.977998,2.008022,2.060440,2.120576", \ "2.070664,2.080380,2.069984,2.067164,2.071347,2.108085,2.139786", \ "2.427018,2.421091,2.404732,2.370944,2.343342,2.282486,2.260010", \ "2.995443,2.988173,2.959190,2.904835,2.827215,2.705091,2.552924"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.403295,6.405862,6.394784,6.383522,6.375347,6.384637,6.393812", \ "6.364498,6.368549,6.380091,6.383260,6.378196,6.376745,6.372370", \ "6.265247,6.270546,6.291014,6.298349,6.324431,6.402286,6.431026", \ "6.258954,6.261658,6.269542,6.286537,6.323852,6.293836,6.309248", \ "6.430458,6.427196,6.437194,6.393672,6.392255,6.348719,6.452467", \ "6.802872,6.825071,6.832477,6.789382,6.760592,6.688321,6.621956", \ "7.418341,7.416080,7.403467,7.346150,7.326436,7.245274,7.057643"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.527897,1.532222,1.546582,1.562550,1.571526,1.605907,1.646073", \ "1.472615,1.483399,1.495425,1.508781,1.532781,1.561671,1.592764", \ "1.417172,1.420872,1.434887,1.457804,1.480850,1.523334,1.565013", \ "1.386733,1.408663,1.413948,1.430274,1.468146,1.501436,1.551328", \ "1.580759,1.580135,1.569279,1.553551,1.533734,1.552129,1.582602", \ "1.956430,1.943976,1.931383,1.895087,1.826411,1.752703,1.710009", \ "2.560942,2.550746,2.521998,2.455255,2.356239,2.197580,2.007690"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.717912,5.721427,5.730269,5.752415,5.746838,5.757472,5.763573", \ "5.678308,5.685715,5.695378,5.704459,5.746561,5.705921,5.714026", \ "5.599958,5.606405,5.621609,5.644533,5.681480,5.628927,5.648474", \ "5.594350,5.598206,5.608152,5.624059,5.627522,5.628292,5.693107", \ "5.766717,5.763731,5.763700,5.763529,5.721125,5.673799,5.769451", \ "6.079568,6.078713,6.100871,6.122176,6.018082,5.952684,5.837462", \ "6.703169,6.698369,6.680571,6.652026,6.608321,6.547533,6.314383"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.505629,1.514069,1.514238,1.541099,1.569871,1.594962,1.628900", \ "1.439076,1.445623,1.464432,1.486230,1.522739,1.544733,1.589370", \ "1.385897,1.407706,1.422159,1.444117,1.476720,1.511122,1.557868", \ "1.399243,1.395227,1.405743,1.430856,1.465132,1.492348,1.537345", \ "1.616685,1.606965,1.603100,1.583722,1.551777,1.554879,1.578987", \ "2.012662,1.991069,1.983301,1.944589,1.884681,1.803790,1.724491", \ "2.623557,2.611605,2.579003,2.540000,2.441986,2.281236,2.081390"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.714355,5.709186,5.718812,5.721203,5.740586,5.746566,5.753098", \ "5.661927,5.660364,5.672702,5.686191,5.706697,5.683351,5.692887", \ "5.585410,5.589361,5.603657,5.606568,5.660763,5.622337,5.650711", \ "5.586948,5.590275,5.598945,5.607654,5.577046,5.611758,5.633012", \ "5.768189,5.766315,5.765705,5.752922,5.722662,5.669475,5.760337", \ "6.103894,6.097961,6.123025,6.131451,6.124198,5.955655,5.838850", \ "6.776648,6.749097,6.752625,6.717476,6.650337,6.542394,6.388792"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.527897,1.532222,1.546582,1.562550,1.571526,1.605907,1.646073", \ "1.472615,1.483399,1.495425,1.508781,1.532781,1.561671,1.592764", \ "1.417172,1.420872,1.434887,1.457804,1.480850,1.523334,1.565013", \ "1.386733,1.408663,1.413948,1.430274,1.468146,1.501436,1.551328", \ "1.580759,1.580135,1.569279,1.553551,1.533734,1.552129,1.582602", \ "1.956430,1.943976,1.931383,1.895087,1.826411,1.752703,1.710009", \ "2.560942,2.550746,2.521998,2.455255,2.356239,2.197580,2.007690"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.717912,5.721427,5.730269,5.752415,5.746838,5.757472,5.763573", \ "5.678308,5.685715,5.695378,5.704459,5.746561,5.705921,5.714026", \ "5.599958,5.606405,5.621609,5.644533,5.681480,5.628927,5.648474", \ "5.594350,5.598206,5.608152,5.624059,5.627522,5.628292,5.693107", \ "5.766717,5.763731,5.763700,5.763529,5.721125,5.673799,5.769451", \ "6.079568,6.078713,6.100871,6.122176,6.018082,5.952684,5.837462", \ "6.703169,6.698369,6.680571,6.652026,6.608321,6.547533,6.314383"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.012135,1.017101,1.026839,1.034255,1.044330,1.061037,1.081058", \ "0.961559,0.962411,0.967834,0.978862,0.996922,1.014773,1.036746", \ "0.881843,0.898984,0.910798,0.931133,0.950617,0.971297,1.001721", \ "0.877961,0.878081,0.890803,0.899477,0.925047,0.957025,0.986446", \ "1.093564,1.086985,1.077594,1.049585,1.004921,0.999708,1.010722", \ "1.509409,1.501732,1.469747,1.411682,1.328680,1.222074,1.146128", \ "2.154479,2.136766,2.098930,2.017842,1.896825,1.696192,1.462265"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.019422,5.047507,5.057672,5.054938,5.052464,5.137535,5.149879", \ "5.003789,5.011478,5.021960,5.050328,5.040559,5.084630,5.160454", \ "4.901736,4.920656,4.922469,4.930761,4.962873,5.006469,5.127526", \ "4.896621,4.900464,4.909662,4.950142,4.922417,5.007043,5.089379", \ "5.041480,5.067606,5.086305,5.089333,5.059926,5.052172,5.123037", \ "5.307768,5.322779,5.339851,5.357046,5.431853,5.378679,5.213093", \ "5.965338,5.957133,5.954413,5.933103,5.935313,5.880615,5.722364"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.986298,0.993587,1.015903,1.023858,1.037332,1.055940,1.069215", \ "0.935015,0.942829,0.957656,0.977476,0.992975,1.012451,1.032995", \ "0.873810,0.881902,0.903685,0.912881,0.940438,0.974618,1.000673", \ "0.901576,0.896625,0.894784,0.902609,0.921108,0.957179,0.982569", \ "1.134305,1.123183,1.109684,1.087621,1.039843,1.014017,1.019033", \ "1.567399,1.553978,1.519772,1.475477,1.390939,1.281708,1.164689", \ "2.221005,2.204270,2.163695,2.091570,1.980915,1.784448,1.539620"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.008173,5.010788,5.046194,5.042108,5.054927,4.966314,5.153126", \ "4.957066,4.961530,4.999751,5.004102,5.017977,5.062803,5.131840", \ "4.885983,4.905195,4.905307,4.912448,4.961482,4.984237,5.005474", \ "4.889581,4.892933,4.901234,4.930538,4.943528,4.990468,5.013655", \ "5.050425,5.070430,5.087958,5.094185,5.057135,5.045032,5.106677", \ "5.357208,5.345924,5.361684,5.381329,5.456010,5.390548,5.214494", \ "6.038142,6.030062,6.027161,6.015136,5.949081,5.921876,5.700085"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.525185,1.531254,1.538174,1.547405,1.573266,1.599022,1.631764", \ "1.473209,1.466930,1.484159,1.505121,1.526504,1.556518,1.591842", \ "1.410249,1.419121,1.436021,1.450125,1.481953,1.516725,1.562175", \ "1.393568,1.401215,1.416096,1.429806,1.465168,1.500973,1.544207", \ "1.597882,1.587362,1.581643,1.564206,1.530108,1.548020,1.573549", \ "1.978965,1.969076,1.953414,1.915107,1.846912,1.763189,1.707172", \ "2.610610,2.588778,2.551099,2.495753,2.395544,2.228388,2.032354"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.051540,6.054959,6.064144,6.081240,6.106220,6.021971,6.158518", \ "6.014147,6.019482,6.031663,6.052665,6.058723,6.104088,6.116447", \ "5.934512,5.937697,5.952992,5.966289,5.996955,6.040563,6.069110", \ "5.923701,5.927718,5.936873,5.933995,5.970123,6.016029,6.046962", \ "6.094324,6.093386,6.092838,6.083076,6.066847,6.062648,6.063187", \ "6.408304,6.422670,6.434434,6.474062,6.410707,6.313152,6.309935", \ "7.040568,7.015800,7.023892,7.000149,6.974747,6.870973,6.704119"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.003593,1.008973,1.019484,1.033964,1.040165,1.053624,1.073655", \ "0.958185,0.958787,0.970342,0.978285,0.996445,1.015512,1.029679", \ "0.893688,0.890562,0.907164,0.929343,0.946257,0.972671,0.996958", \ "0.884747,0.876727,0.888586,0.905648,0.922438,0.956861,0.985713", \ "1.111157,1.100487,1.085913,1.061934,1.019024,1.008293,1.019489", \ "1.538709,1.521919,1.493421,1.436037,1.352003,1.239617,1.153401", \ "2.199979,2.182856,2.132235,2.050704,1.927828,1.728053,1.496807"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.353791,5.381757,5.391379,5.392029,5.389044,5.373720,5.382947", \ "5.340285,5.345401,5.358304,5.380253,5.404413,5.398967,5.504160", \ "5.246599,5.239253,5.253521,5.271921,5.332249,5.401297,5.431890", \ "5.226607,5.229940,5.238020,5.263554,5.273453,5.237279,5.429569", \ "5.392169,5.412459,5.420845,5.397455,5.424914,5.286889,5.441268", \ "5.658286,5.675660,5.696895,5.693469,5.773663,5.686405,5.611458", \ "6.300991,6.294655,6.299489,6.276067,6.261905,6.249256,6.082116"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.982760,1.003382,1.004915,1.021642,1.032593,1.049852,1.071222", \ "0.930589,0.950052,0.954609,0.967706,0.982028,1.007397,1.023304", \ "0.879497,0.884801,0.891850,0.917002,0.931565,0.966672,0.991913", \ "0.907187,0.907159,0.902827,0.899920,0.921837,0.954536,0.978961", \ "1.150818,1.139121,1.121431,1.094274,1.059852,1.011085,1.021336", \ "1.591397,1.580354,1.550463,1.501055,1.415996,1.299539,1.179647", \ "2.267548,2.245439,2.208173,2.140040,2.016794,1.817214,1.580472"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.342782,5.368350,5.380008,5.384666,5.397219,5.402239,5.371455", \ "5.293028,5.297317,5.335670,5.338848,5.375683,5.344549,5.481814", \ "5.217059,5.222164,5.235378,5.246474,5.259037,5.377663,5.408934", \ "5.218934,5.222131,5.228791,5.232781,5.278566,5.220336,5.410046", \ "5.398217,5.417462,5.418993,5.410870,5.391591,5.288361,5.428110", \ "5.682665,5.700207,5.719930,5.759910,5.774417,5.697211,5.614227", \ "6.352452,6.368497,6.345555,6.349692,6.342437,6.287120,6.110323"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.601387,1.604914,1.626998,1.637344,1.674284,1.699958,1.742817", \ "1.603661,1.608792,1.633625,1.648190,1.693740,1.732858,1.769672", \ "1.543661,1.557147,1.574795,1.605989,1.653166,1.711334,1.765723", \ "1.476684,1.486972,1.511461,1.540800,1.589490,1.652040,1.726103", \ "1.614091,1.614291,1.611284,1.614977,1.605215,1.646533,1.710790", \ "1.927974,1.913375,1.912316,1.887024,1.841752,1.799335,1.785881", \ "2.438490,2.431670,2.404590,2.379216,2.304729,2.194305,2.047796"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.815939,6.820999,6.833542,6.860083,6.852014,6.943194,6.965316", \ "6.746123,6.766205,6.766321,6.790139,6.822140,6.871694,6.904267", \ "6.718152,6.723038,6.737253,6.757555,6.737079,6.828006,6.862051", \ "6.873129,6.872992,6.878031,6.858907,6.831131,6.878620,6.899918", \ "7.289870,7.281388,7.274294,7.245219,7.179669,7.163860,7.137824", \ "8.001513,7.973803,7.948200,7.893753,7.753831,7.656458,7.544536", \ "8.890245,8.882535,8.855690,8.805300,8.714475,8.462179,8.240431"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.090017,1.092146,1.105894,1.116201,1.139829,1.159313,1.176091", \ "1.082587,1.094165,1.109304,1.126767,1.155466,1.177917,1.210837", \ "0.999368,1.015988,1.035152,1.061717,1.106489,1.154722,1.196628", \ "0.947066,0.951217,0.965667,0.986872,1.031110,1.097397,1.156822", \ "1.103611,1.105852,1.095845,1.088875,1.064576,1.081884,1.142141", \ "1.454550,1.435669,1.416177,1.384590,1.335677,1.258789,1.218744", \ "1.986292,1.974152,1.955370,1.912051,1.814410,1.672816,1.497244"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.108294,6.114776,6.130258,6.172268,6.150133,6.159031,6.334203", \ "6.060461,6.068764,6.086642,6.098856,6.117273,6.116724,6.277234", \ "6.009748,6.017202,6.034454,6.054904,6.103229,6.058312,6.230848", \ "6.167045,6.168508,6.176713,6.173552,6.172711,6.242702,6.271154", \ "6.588007,6.580457,6.574734,6.562277,6.480115,6.383314,6.352040", \ "7.243522,7.256681,7.244590,7.202206,7.086239,7.021085,6.774029", \ "8.147303,8.135491,8.131022,8.101305,7.991041,7.833336,7.608856"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.073700,1.090315,1.094704,1.107113,1.131767,1.144830,1.174157", \ "1.061731,1.082976,1.093402,1.113563,1.142033,1.175695,1.204262", \ "0.983578,0.997581,1.023130,1.057942,1.093911,1.147927,1.192917", \ "0.956674,0.959119,0.964550,0.988884,1.026002,1.086721,1.154415", \ "1.113645,1.108398,1.102487,1.100531,1.079087,1.084094,1.140193", \ "1.458406,1.442386,1.429233,1.398176,1.340937,1.275031,1.224567", \ "1.987591,1.988587,1.967083,1.923109,1.838974,1.697014,1.522419"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.376374,6.383591,6.400851,6.417160,6.409562,6.481621,6.521951", \ "6.306291,6.314687,6.332826,6.350391,6.362123,6.423771,6.467301", \ "6.252976,6.260440,6.277607,6.301191,6.369231,6.371517,6.419432", \ "6.413495,6.415823,6.424447,6.431182,6.422039,6.431296,6.481984", \ "6.838537,6.833347,6.827748,6.824441,6.733779,6.729518,6.707826", \ "7.523239,7.524628,7.530298,7.480874,7.426672,7.231290,7.144824", \ "8.418243,8.408722,8.400818,8.370246,8.348603,8.083874,7.840166"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.090017,1.092146,1.105894,1.116201,1.139829,1.159313,1.176091", \ "1.082587,1.094165,1.109304,1.126767,1.155466,1.177917,1.210837", \ "0.999368,1.015988,1.035152,1.061717,1.106489,1.154722,1.196628", \ "0.947066,0.951217,0.965667,0.986872,1.031110,1.097397,1.156822", \ "1.103611,1.105852,1.095845,1.088875,1.064576,1.081884,1.142141", \ "1.454550,1.435669,1.416177,1.384590,1.335677,1.258789,1.218744", \ "1.986292,1.974152,1.955370,1.912051,1.814410,1.672816,1.497244"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.108294,6.114776,6.130258,6.172268,6.150133,6.159031,6.334203", \ "6.060461,6.068764,6.086642,6.098856,6.117273,6.116724,6.277234", \ "6.009748,6.017202,6.034454,6.054904,6.103229,6.058312,6.230848", \ "6.167045,6.168508,6.176713,6.173552,6.172711,6.242702,6.271154", \ "6.588007,6.580457,6.574734,6.562277,6.480115,6.383314,6.352040", \ "7.243522,7.256681,7.244590,7.202206,7.086239,7.021085,6.774029", \ "8.147303,8.135491,8.131022,8.101305,7.991041,7.833336,7.608856"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.578955,0.591782,0.595985,0.599938,0.604538,0.612818,0.612030", \ "0.554438,0.574778,0.580522,0.600645,0.616640,0.635937,0.639987", \ "0.440781,0.463486,0.483250,0.513775,0.561809,0.602231,0.633736", \ "0.423640,0.427325,0.424009,0.435245,0.481483,0.536623,0.585322", \ "0.606955,0.603895,0.591271,0.568470,0.539766,0.528514,0.571731", \ "0.983498,0.975496,0.943804,0.899660,0.821240,0.725194,0.651837", \ "1.537314,1.519949,1.491961,1.445142,1.346278,1.158604,0.950623"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.400243,5.409836,5.429433,5.440105,5.485546,5.517537,5.545355", \ "5.328715,5.339431,5.360597,5.405148,5.450373,5.448848,5.487499", \ "5.280366,5.286883,5.322173,5.347679,5.423312,5.399792,5.440366", \ "5.435399,5.458184,5.451112,5.483165,5.463931,5.463465,5.479507", \ "5.886602,5.881239,5.878645,5.831721,5.823684,5.749493,5.733078", \ "6.448873,6.455897,6.482501,6.486018,6.444524,6.290987,6.125706", \ "7.385287,7.390405,7.364579,7.367692,7.319576,7.090927,6.823700"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.590211,0.592166,0.597109,0.600620,0.604133,0.609561,0.614025", \ "0.565052,0.570085,0.587706,0.602182,0.621561,0.635361,0.640821", \ "0.448470,0.464166,0.488976,0.517402,0.562962,0.602352,0.631693", \ "0.439504,0.439496,0.440719,0.446635,0.489544,0.536195,0.592817", \ "0.620900,0.610795,0.596408,0.581664,0.553900,0.529705,0.576601", \ "0.997867,0.986708,0.950539,0.907421,0.838406,0.744331,0.665522", \ "1.548132,1.534010,1.511539,1.460458,1.354748,1.190681,0.983233"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.642805,5.652546,5.671503,5.701135,5.741925,5.689839,5.889858", \ "5.571485,5.582008,5.603573,5.652640,5.725309,5.792365,5.833422", \ "5.516933,5.553236,5.574663,5.582334,5.607896,5.739322,5.786572", \ "5.692190,5.708903,5.722723,5.739735,5.715560,5.799551,5.827202", \ "6.134460,6.130650,6.128137,6.104988,6.090100,6.100210,6.075001", \ "6.721671,6.729886,6.762187,6.790923,6.709872,6.596798,6.494938", \ "7.651348,7.656672,7.635900,7.640729,7.572889,7.422591,7.209198"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.073700,1.090315,1.094704,1.107113,1.131767,1.144830,1.174157", \ "1.061731,1.082976,1.093402,1.113563,1.142033,1.175695,1.204262", \ "0.983578,0.997581,1.023130,1.057942,1.093911,1.147927,1.192917", \ "0.956674,0.959119,0.964550,0.988884,1.026002,1.086721,1.154415", \ "1.113645,1.108398,1.102487,1.100531,1.079087,1.084094,1.140193", \ "1.458406,1.442386,1.429233,1.398176,1.340937,1.275031,1.224567", \ "1.987591,1.988587,1.967083,1.923109,1.838974,1.697014,1.522419"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.376374,6.383591,6.400851,6.417160,6.409562,6.481621,6.521951", \ "6.306291,6.314687,6.332826,6.350391,6.362123,6.423771,6.467301", \ "6.252976,6.260440,6.277607,6.301191,6.369231,6.371517,6.419432", \ "6.413495,6.415823,6.424447,6.431182,6.422039,6.431296,6.481984", \ "6.838537,6.833347,6.827748,6.824441,6.733779,6.729518,6.707826", \ "7.523239,7.524628,7.530298,7.480874,7.426672,7.231290,7.144824", \ "8.418243,8.408722,8.400818,8.370246,8.348603,8.083874,7.840166"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.590211,0.592166,0.597109,0.600620,0.604133,0.609561,0.614025", \ "0.565052,0.570085,0.587706,0.602182,0.621561,0.635361,0.640821", \ "0.448470,0.464166,0.488976,0.517402,0.562962,0.602352,0.631693", \ "0.439504,0.439496,0.440719,0.446635,0.489544,0.536195,0.592817", \ "0.620900,0.610795,0.596408,0.581664,0.553900,0.529705,0.576601", \ "0.997867,0.986708,0.950539,0.907421,0.838406,0.744331,0.665522", \ "1.548132,1.534010,1.511539,1.460458,1.354748,1.190681,0.983233"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("5.642805,5.652546,5.671503,5.701135,5.741925,5.689839,5.889858", \ "5.571485,5.582008,5.603573,5.652640,5.725309,5.792365,5.833422", \ "5.516933,5.553236,5.574663,5.582334,5.607896,5.739322,5.786572", \ "5.692190,5.708903,5.722723,5.739735,5.715560,5.799551,5.827202", \ "6.134460,6.130650,6.128137,6.104988,6.090100,6.100210,6.075001", \ "6.721671,6.729886,6.762187,6.790923,6.709872,6.596798,6.494938", \ "7.651348,7.656672,7.635900,7.640729,7.572889,7.422591,7.209198"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.589018,0.582616,0.596076,0.601889,0.606994,0.613617,0.609958", \ "0.566776,0.575819,0.588281,0.602198,0.616728,0.635640,0.646549", \ "0.450654,0.466037,0.488415,0.519393,0.564001,0.599844,0.632870", \ "0.447824,0.446229,0.446951,0.453570,0.485169,0.542641,0.590638", \ "0.629180,0.622284,0.613201,0.591957,0.573652,0.549179,0.582629", \ "1.018930,1.000387,0.979131,0.927927,0.863200,0.774013,0.685882", \ "1.581865,1.570289,1.536349,1.480831,1.374668,1.219383,1.017582"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.023255,6.030443,6.046535,6.091174,6.131357,6.187975,6.220778", \ "5.952795,5.970546,5.979548,6.032451,6.051214,6.059659,6.091344", \ "5.915182,5.935831,5.953678,5.998403,6.025902,6.104364,6.210380", \ "6.089604,6.092526,6.104357,6.112000,6.124633,6.199873,6.253916", \ "6.515261,6.511411,6.508985,6.521858,6.473955,6.477866,6.350528", \ "7.121464,7.127088,7.164721,7.195040,7.115536,6.969449,6.786788", \ "8.050388,8.037702,8.046079,8.033936,8.015953,7.864012,7.679177"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("2.044925,2.057429,2.070398,2.086178,2.117394,2.152451,2.204471", \ "1.998690,2.001478,2.008679,2.037524,2.076602,2.113173,2.157405", \ "1.942188,1.955905,1.971007,1.997921,2.034751,2.072063,2.135832", \ "1.908747,1.921068,1.939041,1.960884,1.991379,2.050196,2.108108", \ "2.026695,2.020201,2.021794,2.029274,2.020170,2.066855,2.122893", \ "2.298406,2.301018,2.293748,2.278095,2.260785,2.216851,2.209040", \ "2.795852,2.776724,2.769513,2.741982,2.695626,2.615946,2.476669"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("7.602309,7.604544,7.616949,7.622903,7.653251,7.717581,7.778736", \ "7.551112,7.556456,7.569514,7.590539,7.626267,7.669145,7.706686", \ "7.502143,7.508285,7.522753,7.516285,7.531748,7.629778,7.645697", \ "7.503998,7.508953,7.519326,7.520761,7.507395,7.619212,7.661501", \ "7.661327,7.662118,7.666599,7.647756,7.613182,7.666885,7.685055", \ "8.047267,8.076588,8.059026,8.042914,7.951000,7.909734,7.855931", \ "8.638146,8.643400,8.641902,8.639848,8.615475,8.465532,8.320217"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.527171,1.528997,1.533659,1.557971,1.571684,1.607007,1.646286", \ "1.469109,1.480769,1.488346,1.508562,1.534504,1.562442,1.599644", \ "1.416702,1.420366,1.428405,1.450632,1.479435,1.523429,1.570093", \ "1.376215,1.381038,1.391809,1.417392,1.449040,1.494839,1.549099", \ "1.507890,1.514022,1.513626,1.500637,1.487688,1.515679,1.552154", \ "1.811265,1.809834,1.796954,1.765060,1.744661,1.680027,1.650304", \ "2.316251,2.312183,2.294238,2.255800,2.197721,2.093716,1.928259"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.902575,6.899142,6.914542,6.938057,6.920579,6.960310,6.996204", \ "6.843933,6.850907,6.866991,6.902839,6.877350,6.884211,7.076818", \ "6.794861,6.802671,6.820205,6.857117,6.882804,6.968357,7.014364", \ "6.797470,6.803522,6.817600,6.840742,6.816145,6.866662,6.982442", \ "6.956438,6.957349,6.964937,6.974229,6.973487,6.938726,7.055245", \ "7.296489,7.298388,7.338746,7.363466,7.258741,7.271848,7.224214", \ "7.911725,7.900033,7.894632,7.887219,7.879871,7.832695,7.690141"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.506180,1.508007,1.526398,1.539290,1.569063,1.594130,1.632623", \ "1.449380,1.461266,1.476159,1.485162,1.524008,1.556162,1.591755", \ "1.392398,1.408907,1.422398,1.448050,1.470483,1.517724,1.555901", \ "1.357014,1.370686,1.380459,1.411188,1.445848,1.485357,1.537732", \ "1.509887,1.511606,1.516937,1.500525,1.491548,1.501762,1.542650", \ "1.821144,1.810531,1.803348,1.781601,1.750078,1.699633,1.645145", \ "2.341217,2.334947,2.312300,2.272838,2.209661,2.102977,1.952925"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("7.137416,7.144103,7.159444,7.206792,7.186330,7.294850,7.337791", \ "7.090893,7.098076,7.113931,7.158853,7.135087,7.223477,7.265720", \ "7.036722,7.058300,7.064015,7.097537,7.142936,7.154652,7.201160", \ "7.038588,7.044543,7.057967,7.064113,7.076910,7.168342,7.217279", \ "7.197868,7.211177,7.208234,7.209078,7.235802,7.217920,7.262648", \ "7.545978,7.574983,7.591454,7.609432,7.554552,7.484114,7.412333", \ "8.165223,8.169322,8.166534,8.162394,8.153636,8.106566,7.941634"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.527171,1.528997,1.533659,1.557971,1.571684,1.607007,1.646286", \ "1.469109,1.480769,1.488346,1.508562,1.534504,1.562442,1.599644", \ "1.416702,1.420366,1.428405,1.450632,1.479435,1.523429,1.570093", \ "1.376215,1.381038,1.391809,1.417392,1.449040,1.494839,1.549099", \ "1.507890,1.514022,1.513626,1.500637,1.487688,1.515679,1.552154", \ "1.811265,1.809834,1.796954,1.765060,1.744661,1.680027,1.650304", \ "2.316251,2.312183,2.294238,2.255800,2.197721,2.093716,1.928259"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.902575,6.899142,6.914542,6.938057,6.920579,6.960310,6.996204", \ "6.843933,6.850907,6.866991,6.902839,6.877350,6.884211,7.076818", \ "6.794861,6.802671,6.820205,6.857117,6.882804,6.968357,7.014364", \ "6.797470,6.803522,6.817600,6.840742,6.816145,6.866662,6.982442", \ "6.956438,6.957349,6.964937,6.974229,6.973487,6.938726,7.055245", \ "7.296489,7.298388,7.338746,7.363466,7.258741,7.271848,7.224214", \ "7.911725,7.900033,7.894632,7.887219,7.879871,7.832695,7.690141"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.004395,1.018255,1.019457,1.033568,1.047106,1.059215,1.077217", \ "0.952074,0.967829,0.970280,0.982613,1.000798,1.017016,1.036966", \ "0.891200,0.898408,0.904945,0.929946,0.946088,0.981042,1.000780", \ "0.854841,0.852002,0.864121,0.883014,0.911444,0.950078,0.979105", \ "1.015275,1.009014,1.000857,0.987097,0.955269,0.962955,0.987204", \ "1.324424,1.319424,1.300724,1.278090,1.216771,1.146677,1.081088", \ "1.867851,1.855008,1.833227,1.796911,1.706939,1.573414,1.387640"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.158779,6.193904,6.213538,6.243462,6.267889,6.326458,6.364521", \ "6.109993,6.145569,6.165818,6.204974,6.208318,6.278184,6.287001", \ "6.061123,6.071099,6.119108,6.145622,6.182207,6.180912,6.222571", \ "6.063206,6.072318,6.117097,6.148415,6.198630,6.234731,6.240545", \ "6.246665,6.252411,6.263982,6.242668,6.309525,6.249335,6.299432", \ "6.510181,6.535705,6.543226,6.578780,6.632460,6.573503,6.596410", \ "7.146610,7.147980,7.136278,7.167271,7.156892,7.119732,6.902814"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.006278,1.005181,1.016882,1.027680,1.039954,1.055111,1.076271", \ "0.946660,0.953653,0.966639,0.979317,0.991883,1.014722,1.031373", \ "0.879195,0.888325,0.891083,0.921480,0.940727,0.974609,0.998784", \ "0.860115,0.858785,0.856679,0.879101,0.903099,0.938018,0.978538", \ "1.021023,1.017986,1.010582,0.992576,0.973713,0.960460,0.987222", \ "1.339467,1.337164,1.311872,1.282419,1.238192,1.164220,1.078710", \ "1.885524,1.874997,1.845200,1.803012,1.723656,1.596331,1.411883"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.426829,6.443387,6.455957,6.505827,6.557880,6.623241,6.588775", \ "6.380087,6.390220,6.410419,6.454127,6.502989,6.571021,6.632427", \ "6.326018,6.337010,6.359072,6.379877,6.415688,6.522335,6.570111", \ "6.300548,6.336966,6.355403,6.394784,6.408822,6.377617,6.583406", \ "6.490920,6.494433,6.505741,6.515807,6.533620,6.587666,6.607135", \ "6.780519,6.781198,6.820628,6.883837,6.903095,6.882802,6.783046", \ "7.410197,7.413639,7.404003,7.440882,7.425717,7.429596,7.263581"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.506180,1.508007,1.526398,1.539290,1.569063,1.594130,1.632623", \ "1.449380,1.461266,1.476159,1.485162,1.524008,1.556162,1.591755", \ "1.392398,1.408907,1.422398,1.448050,1.470483,1.517724,1.555901", \ "1.357014,1.370686,1.380459,1.411188,1.445848,1.485357,1.537732", \ "1.509887,1.511606,1.516937,1.500525,1.491548,1.501762,1.542650", \ "1.821144,1.810531,1.803348,1.781601,1.750078,1.699633,1.645145", \ "2.341217,2.334947,2.312300,2.272838,2.209661,2.102977,1.952925"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("7.137416,7.144103,7.159444,7.206792,7.186330,7.294850,7.337791", \ "7.090893,7.098076,7.113931,7.158853,7.135087,7.223477,7.265720", \ "7.036722,7.058300,7.064015,7.097537,7.142936,7.154652,7.201160", \ "7.038588,7.044543,7.057967,7.064113,7.076910,7.168342,7.217279", \ "7.197868,7.211177,7.208234,7.209078,7.235802,7.217920,7.262648", \ "7.545978,7.574983,7.591454,7.609432,7.554552,7.484114,7.412333", \ "8.165223,8.169322,8.166534,8.162394,8.153636,8.106566,7.941634"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("1.006278,1.005181,1.016882,1.027680,1.039954,1.055111,1.076271", \ "0.946660,0.953653,0.966639,0.979317,0.991883,1.014722,1.031373", \ "0.879195,0.888325,0.891083,0.921480,0.940727,0.974609,0.998784", \ "0.860115,0.858785,0.856679,0.879101,0.903099,0.938018,0.978538", \ "1.021023,1.017986,1.010582,0.992576,0.973713,0.960460,0.987222", \ "1.339467,1.337164,1.311872,1.282419,1.238192,1.164220,1.078710", \ "1.885524,1.874997,1.845200,1.803012,1.723656,1.596331,1.411883"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.426829,6.443387,6.455957,6.505827,6.557880,6.623241,6.588775", \ "6.380087,6.390220,6.410419,6.454127,6.502989,6.571021,6.632427", \ "6.326018,6.337010,6.359072,6.379877,6.415688,6.522335,6.570111", \ "6.300548,6.336966,6.355403,6.394784,6.408822,6.377617,6.583406", \ "6.490920,6.494433,6.505741,6.515807,6.533620,6.587666,6.607135", \ "6.780519,6.781198,6.820628,6.883837,6.903095,6.882802,6.783046", \ "7.410197,7.413639,7.404003,7.440882,7.425717,7.429596,7.263581"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("0.996065,1.005434,1.011744,1.019332,1.033195,1.053292,1.064941", \ "0.943414,0.938666,0.950670,0.967706,0.988647,1.005239,1.020962", \ "0.880632,0.885846,0.898157,0.910291,0.937896,0.967236,0.989740", \ "0.872293,0.868535,0.868211,0.870758,0.896864,0.938697,0.968913", \ "1.025099,1.022561,1.020877,1.007747,0.987416,0.952002,0.981152", \ "1.355095,1.353633,1.334075,1.298579,1.249973,1.179716,1.093746", \ "1.922817,1.900280,1.874444,1.834832,1.740338,1.615544,1.445645"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.712736,1.389420,2.708540,5.280060,10.293000,20.065300"); values ("6.808247,6.815572,6.831445,6.849086,6.911329,6.968012,6.963936", \ "6.763722,6.771547,6.793025,6.826856,6.847420,6.910600,6.893880", \ "6.708702,6.717490,6.736102,6.780779,6.815275,6.877869,6.989518", \ "6.708366,6.716095,6.731557,6.762933,6.775859,6.803842,6.842770", \ "6.875464,6.877867,6.883407,6.898280,6.922003,6.940781,6.939933", \ "7.157933,7.186262,7.225948,7.244967,7.234115,7.263131,7.215366", \ "7.795663,7.800383,7.794541,7.811653,7.785314,7.841172,7.707352"); } } } } /****************************************************************************************** Module : OAI222_X2 Cell Description : Combinational cell (OAI222_X2) with drive strength X2 *******************************************************************************************/ cell (OAI222_X2) { drive_strength : 2; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 86.355577; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 13.275020; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 43.485530; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 43.485640; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 72.726280; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 16.172090; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 99.479270; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 99.479490; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 128.729150; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 16.172090; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 99.479490; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 99.479710; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 128.729480; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 16.835170; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 128.710010; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 128.710120; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 157.966380; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 11.720857; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 51.730023; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 51.730243; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 80.971543; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 22.754938; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 97.978507; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 102.678070; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 124.484789; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 22.754938; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 102.678070; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 107.377380; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 129.184770; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 21.415568; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 124.474867; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 129.174430; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 150.984339; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 11.720857; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 51.730024; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 51.730244; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 80.971654; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 22.754940; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 102.678070; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 107.377380; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 129.184770; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 22.755048; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 107.377380; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 112.076580; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 133.884740; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 21.415679; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 129.174320; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 133.873630; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 155.684452; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 5.148888; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 45.892554; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 45.892664; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 75.134185; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 16.406310; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 124.468795; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 129.167720; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 150.978707; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 16.406308; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 129.167830; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 133.866370; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 155.678193; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 15.041961; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 150.965166; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 155.664014; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 177.479245; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.228228; fall_capacitance : 2.912121; rise_capacitance : 3.228228; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.000864; fall_capacitance : 3.000864; rise_capacitance : 2.957662; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.303845; fall_capacitance : 2.915301; rise_capacitance : 3.303845; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.999420; fall_capacitance : 2.973410; rise_capacitance : 2.999420; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.363464; fall_capacitance : 2.893452; rise_capacitance : 3.363464; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.074139; fall_capacitance : 2.963612; rise_capacitance : 3.074139; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 39.596600; function : "!(((A1 | A2) & (B1 | B2)) & (C1 | C2))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018981,0.020414,0.022419,0.026356,0.034065,0.049175,0.078973", \ "0.020356,0.021792,0.023806,0.027759,0.035492,0.050630,0.080454", \ "0.025042,0.026462,0.028465,0.032405,0.040133,0.055274,0.085110", \ "0.033198,0.034922,0.037277,0.041682,0.049637,0.064459,0.094126", \ "0.039262,0.041493,0.044573,0.050314,0.060711,0.078673,0.108849", \ "0.043008,0.045750,0.049489,0.056524,0.069291,0.091454,0.128248", \ "0.044382,0.047596,0.051989,0.060269,0.075346,0.101583,0.145353"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.021376,0.023676,0.026915,0.033331,0.046046,0.071310,0.121668", \ "0.022246,0.024579,0.027859,0.034362,0.047208,0.072619,0.123105", \ "0.027446,0.029679,0.032856,0.039225,0.051966,0.077373,0.127946", \ "0.037445,0.040168,0.043816,0.050566,0.062849,0.087749,0.137946", \ "0.048376,0.051756,0.056296,0.064787,0.079925,0.105625,0.154870", \ "0.060828,0.064782,0.070093,0.080091,0.098131,0.129132,0.180214", \ "0.075045,0.079527,0.085580,0.096980,0.117606,0.153450,0.212843"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013214,0.014351,0.015969,0.019192,0.025612,0.038408,0.063944", \ "0.013220,0.014358,0.015972,0.019194,0.025612,0.038407,0.063941", \ "0.012904,0.014046,0.015704,0.019100,0.025610,0.038407,0.063944", \ "0.016952,0.017923,0.019266,0.021846,0.026897,0.038435,0.063942", \ "0.023759,0.024943,0.026533,0.029545,0.035003,0.044788,0.065338", \ "0.032159,0.033599,0.035498,0.039093,0.045570,0.056750,0.075964", \ "0.042201,0.043913,0.046189,0.050427,0.057939,0.070815,0.092398"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028535,0.030706,0.033767,0.039819,0.051719,0.075064,0.121113", \ "0.028532,0.030707,0.033770,0.039819,0.051717,0.075066,0.121115", \ "0.028657,0.030659,0.033749,0.039817,0.051721,0.075065,0.121122", \ "0.034277,0.035642,0.037721,0.042222,0.052290,0.075065,0.121117", \ "0.045064,0.046347,0.048239,0.052042,0.059529,0.077619,0.121118", \ "0.057171,0.058464,0.060464,0.064622,0.072962,0.088589,0.124588", \ "0.071273,0.072473,0.074440,0.078748,0.087783,0.105354,0.137537"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014833,0.016238,0.018204,0.022068,0.029654,0.044594,0.074193", \ "0.016160,0.017575,0.019557,0.023446,0.031065,0.046042,0.075672", \ "0.021118,0.022406,0.024274,0.028098,0.035699,0.050678,0.080326", \ "0.027465,0.029357,0.031919,0.036663,0.045100,0.059910,0.089351", \ "0.031603,0.034060,0.037391,0.043571,0.054606,0.073396,0.104144", \ "0.033469,0.036491,0.040570,0.048142,0.061677,0.084834,0.122736", \ "0.032925,0.036469,0.041294,0.050246,0.066266,0.093666,0.138719"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020632,0.022940,0.026172,0.032575,0.045257,0.070447,0.120659", \ "0.021502,0.023831,0.027110,0.033599,0.046413,0.071754,0.122098", \ "0.026728,0.028955,0.032118,0.038468,0.051172,0.076506,0.126934", \ "0.036484,0.039257,0.042952,0.049775,0.062070,0.086888,0.136936", \ "0.047094,0.050543,0.055148,0.063724,0.078979,0.104779,0.153864", \ "0.059204,0.063245,0.068641,0.078738,0.096909,0.128061,0.179223", \ "0.072957,0.077606,0.083775,0.095308,0.116098,0.152104,0.211665"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010800,0.011925,0.013518,0.016704,0.023079,0.035810,0.061260", \ "0.010790,0.011920,0.013518,0.016705,0.023078,0.035810,0.061261", \ "0.010849,0.011860,0.013346,0.016468,0.023046,0.035812,0.061259", \ "0.015674,0.016651,0.017986,0.020540,0.025352,0.036151,0.061249", \ "0.022447,0.023650,0.025272,0.028319,0.033811,0.043559,0.063262", \ "0.030861,0.032305,0.034252,0.037866,0.044359,0.055563,0.074727", \ "0.040910,0.042682,0.044974,0.049223,0.056740,0.069619,0.091180"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019892,0.022195,0.025410,0.031686,0.043805,0.067272,0.113342", \ "0.019892,0.022193,0.025411,0.031686,0.043809,0.067277,0.113340", \ "0.020075,0.022183,0.025386,0.031683,0.043806,0.067275,0.113342", \ "0.026337,0.027553,0.029604,0.034216,0.044430,0.067274,0.113339", \ "0.035291,0.036923,0.039244,0.043739,0.051845,0.069916,0.113338", \ "0.045748,0.047415,0.049884,0.054830,0.064257,0.081001,0.116887", \ "0.058062,0.059686,0.062174,0.067340,0.077628,0.096695,0.129923"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.012366,0.013559,0.015225,0.018496,0.024901,0.037489,0.062403", \ "0.013766,0.014966,0.016645,0.019935,0.026366,0.038984,0.063921", \ "0.019025,0.020216,0.021807,0.024910,0.031277,0.043885,0.068826", \ "0.024617,0.026351,0.028696,0.033025,0.040698,0.053658,0.078355", \ "0.027867,0.030141,0.033220,0.038913,0.049049,0.066206,0.093910", \ "0.028619,0.031442,0.035252,0.042290,0.054824,0.076120,0.110742", \ "0.026685,0.030022,0.034558,0.042941,0.057877,0.083269,0.124666"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020558,0.022864,0.026097,0.032502,0.045184,0.070379,0.120596", \ "0.021367,0.023697,0.026972,0.033459,0.046276,0.071625,0.121979", \ "0.026647,0.028862,0.032015,0.038348,0.051029,0.076351,0.126783", \ "0.036552,0.039305,0.042991,0.049787,0.062045,0.086815,0.136821", \ "0.047426,0.050847,0.055431,0.063964,0.079161,0.104881,0.153894", \ "0.059957,0.063960,0.069298,0.079336,0.097417,0.128447,0.179471", \ "0.074376,0.078918,0.085008,0.096426,0.117070,0.152909,0.212255"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009060,0.010010,0.011360,0.014056,0.019434,0.030176,0.051649", \ "0.009002,0.009973,0.011341,0.014049,0.019430,0.030175,0.051646", \ "0.009503,0.010266,0.011419,0.013900,0.019277,0.030170,0.051648", \ "0.014533,0.015392,0.016557,0.018751,0.022811,0.031175,0.051616", \ "0.021165,0.022248,0.023705,0.026423,0.031290,0.039714,0.055343", \ "0.029426,0.030747,0.032507,0.035783,0.041603,0.051567,0.068215", \ "0.039327,0.040937,0.043051,0.046944,0.053758,0.065295,0.084418"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019891,0.022193,0.025411,0.031685,0.043810,0.067276,0.113339", \ "0.019892,0.022194,0.025411,0.031686,0.043809,0.067278,0.113342", \ "0.020090,0.022196,0.025386,0.031682,0.043806,0.067277,0.113344", \ "0.026293,0.027523,0.029585,0.034208,0.044438,0.067275,0.113340", \ "0.035085,0.036733,0.039079,0.043600,0.051762,0.069892,0.113339", \ "0.045274,0.046967,0.049467,0.054470,0.063984,0.080818,0.116832", \ "0.057218,0.058900,0.061419,0.066658,0.077065,0.096277,0.129667"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014833,0.016238,0.018204,0.022068,0.029654,0.044594,0.074193", \ "0.016160,0.017575,0.019557,0.023446,0.031065,0.046042,0.075672", \ "0.021118,0.022406,0.024274,0.028098,0.035699,0.050678,0.080326", \ "0.027465,0.029357,0.031919,0.036663,0.045100,0.059910,0.089351", \ "0.031603,0.034060,0.037391,0.043571,0.054606,0.073396,0.104144", \ "0.033469,0.036491,0.040570,0.048142,0.061677,0.084834,0.122736", \ "0.032925,0.036469,0.041294,0.050246,0.066266,0.093666,0.138719"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020632,0.022940,0.026172,0.032575,0.045257,0.070447,0.120659", \ "0.021502,0.023831,0.027110,0.033599,0.046413,0.071754,0.122098", \ "0.026728,0.028955,0.032118,0.038468,0.051172,0.076506,0.126934", \ "0.036484,0.039257,0.042952,0.049775,0.062070,0.086888,0.136936", \ "0.047094,0.050543,0.055148,0.063724,0.078979,0.104779,0.153864", \ "0.059204,0.063245,0.068641,0.078738,0.096909,0.128061,0.179223", \ "0.072957,0.077606,0.083775,0.095308,0.116098,0.152104,0.211665"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010800,0.011925,0.013518,0.016704,0.023079,0.035810,0.061260", \ "0.010790,0.011920,0.013518,0.016705,0.023078,0.035810,0.061261", \ "0.010849,0.011860,0.013346,0.016468,0.023046,0.035812,0.061259", \ "0.015674,0.016651,0.017986,0.020540,0.025352,0.036151,0.061249", \ "0.022447,0.023650,0.025272,0.028319,0.033811,0.043559,0.063262", \ "0.030861,0.032305,0.034252,0.037866,0.044359,0.055563,0.074727", \ "0.040910,0.042682,0.044974,0.049223,0.056740,0.069619,0.091180"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019892,0.022195,0.025410,0.031686,0.043805,0.067272,0.113342", \ "0.019892,0.022193,0.025411,0.031686,0.043809,0.067277,0.113340", \ "0.020075,0.022183,0.025386,0.031683,0.043806,0.067275,0.113342", \ "0.026337,0.027553,0.029604,0.034216,0.044430,0.067274,0.113339", \ "0.035291,0.036923,0.039244,0.043739,0.051845,0.069916,0.113338", \ "0.045748,0.047415,0.049884,0.054830,0.064257,0.081001,0.116887", \ "0.058062,0.059686,0.062174,0.067340,0.077628,0.096695,0.129923"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011108,0.012430,0.014298,0.018013,0.025400,0.040112,0.069478", \ "0.012377,0.013716,0.015605,0.019357,0.026792,0.041551,0.070953", \ "0.017031,0.018496,0.020447,0.024045,0.031416,0.046179,0.075601", \ "0.021134,0.023256,0.026093,0.031280,0.040334,0.055470,0.084654", \ "0.023162,0.025912,0.029608,0.036352,0.048172,0.067943,0.099529", \ "0.022896,0.026336,0.030881,0.039152,0.053653,0.077981,0.117125", \ "0.020210,0.024269,0.029668,0.039484,0.056689,0.085473,0.131966"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019912,0.022210,0.025439,0.031831,0.044477,0.069599,0.119674", \ "0.020774,0.023098,0.026372,0.032847,0.045629,0.070902,0.121108", \ "0.026024,0.028239,0.031393,0.037722,0.050392,0.075655,0.125939", \ "0.035511,0.038333,0.042088,0.048985,0.061304,0.086045,0.135941", \ "0.045801,0.049329,0.053995,0.062661,0.078037,0.103945,0.152880", \ "0.057594,0.061724,0.067190,0.077396,0.095700,0.127002,0.178245", \ "0.070953,0.075711,0.081980,0.093655,0.114603,0.150774,0.210501"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.008129,0.009280,0.010901,0.014110,0.020487,0.033198,0.058578", \ "0.008061,0.009235,0.010876,0.014101,0.020489,0.033196,0.058581", \ "0.009351,0.010128,0.011376,0.014145,0.020358,0.033198,0.058578", \ "0.014462,0.015438,0.016776,0.019310,0.024058,0.034047,0.058576", \ "0.021333,0.022541,0.024152,0.027184,0.032670,0.042367,0.061339", \ "0.029928,0.031339,0.033262,0.036843,0.043253,0.054425,0.073521", \ "0.040156,0.041884,0.044157,0.048340,0.055746,0.068487,0.089991"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014671,0.016691,0.019563,0.025311,0.036787,0.059734,0.105598", \ "0.014673,0.016692,0.019559,0.025312,0.036783,0.059725,0.105590", \ "0.014919,0.016723,0.019534,0.025297,0.036771,0.059725,0.105582", \ "0.020293,0.021885,0.023895,0.027967,0.037468,0.059716,0.105581", \ "0.026768,0.028643,0.031266,0.036197,0.044962,0.062463,0.105596", \ "0.034498,0.036599,0.039567,0.045264,0.055663,0.073599,0.109218", \ "0.043900,0.046173,0.049378,0.055635,0.067289,0.087894,0.122328"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009391,0.010498,0.012066,0.015190,0.021406,0.033784,0.058483", \ "0.010765,0.011876,0.013455,0.016603,0.022857,0.035272,0.060000", \ "0.015392,0.016721,0.018486,0.021692,0.027774,0.040168,0.064902", \ "0.018867,0.020818,0.023422,0.028173,0.036423,0.050022,0.074456", \ "0.020081,0.022644,0.026079,0.032318,0.043197,0.061267,0.089901", \ "0.018773,0.022001,0.026262,0.033983,0.047455,0.069874,0.105651", \ "0.014785,0.018605,0.023692,0.032913,0.049007,0.075740,0.118502"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019840,0.022137,0.025365,0.031757,0.044406,0.069528,0.119604", \ "0.020639,0.022962,0.026233,0.032706,0.045492,0.070775,0.120988", \ "0.025945,0.028150,0.031291,0.037602,0.050249,0.075501,0.125786", \ "0.035580,0.038390,0.042128,0.049000,0.061279,0.085972,0.135831", \ "0.046149,0.049642,0.054283,0.062908,0.078221,0.104049,0.152907", \ "0.058361,0.062446,0.067866,0.078004,0.096211,0.127392,0.178499", \ "0.072379,0.077057,0.083238,0.094798,0.115591,0.151587,0.211097"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.006732,0.007715,0.009099,0.011836,0.017234,0.027970,0.049397", \ "0.006629,0.007634,0.009047,0.011808,0.017234,0.027973,0.049394", \ "0.008421,0.009129,0.010051,0.012180,0.017096,0.027962,0.049396", \ "0.013528,0.014399,0.015575,0.017780,0.021815,0.029668,0.049331", \ "0.020269,0.021357,0.022805,0.025509,0.030372,0.038788,0.054004", \ "0.028718,0.030000,0.031755,0.034984,0.040736,0.050644,0.067268", \ "0.038804,0.040368,0.042462,0.046278,0.053002,0.064407,0.083462"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014676,0.016692,0.019559,0.025300,0.036791,0.059724,0.105590", \ "0.014673,0.016689,0.019559,0.025306,0.036789,0.059723,0.105590", \ "0.014935,0.016737,0.019533,0.025303,0.036785,0.059725,0.105583", \ "0.020259,0.021863,0.023875,0.027960,0.037471,0.059716,0.105591", \ "0.026580,0.028480,0.031116,0.036077,0.044874,0.062430,0.105597", \ "0.034093,0.036212,0.039198,0.044940,0.055409,0.073412,0.109151", \ "0.043239,0.045500,0.048714,0.055017,0.066772,0.087493,0.122068"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.012366,0.013559,0.015225,0.018496,0.024901,0.037489,0.062403", \ "0.013766,0.014966,0.016645,0.019935,0.026366,0.038984,0.063921", \ "0.019025,0.020216,0.021807,0.024910,0.031277,0.043885,0.068826", \ "0.024617,0.026351,0.028696,0.033025,0.040698,0.053658,0.078355", \ "0.027867,0.030141,0.033220,0.038913,0.049049,0.066206,0.093910", \ "0.028619,0.031442,0.035252,0.042290,0.054824,0.076120,0.110742", \ "0.026685,0.030022,0.034558,0.042941,0.057877,0.083269,0.124666"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020558,0.022864,0.026097,0.032502,0.045184,0.070379,0.120596", \ "0.021367,0.023697,0.026972,0.033459,0.046276,0.071625,0.121979", \ "0.026647,0.028862,0.032015,0.038348,0.051029,0.076351,0.126783", \ "0.036552,0.039305,0.042991,0.049787,0.062045,0.086815,0.136821", \ "0.047426,0.050847,0.055431,0.063964,0.079161,0.104881,0.153894", \ "0.059957,0.063960,0.069298,0.079336,0.097417,0.128447,0.179471", \ "0.074376,0.078918,0.085008,0.096426,0.117070,0.152909,0.212255"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009060,0.010010,0.011360,0.014056,0.019434,0.030176,0.051649", \ "0.009002,0.009973,0.011341,0.014049,0.019430,0.030175,0.051646", \ "0.009503,0.010266,0.011419,0.013900,0.019277,0.030170,0.051648", \ "0.014533,0.015392,0.016557,0.018751,0.022811,0.031175,0.051616", \ "0.021165,0.022248,0.023705,0.026423,0.031290,0.039714,0.055343", \ "0.029426,0.030747,0.032507,0.035783,0.041603,0.051567,0.068215", \ "0.039327,0.040937,0.043051,0.046944,0.053758,0.065295,0.084418"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019891,0.022193,0.025411,0.031685,0.043810,0.067276,0.113339", \ "0.019892,0.022194,0.025411,0.031686,0.043809,0.067278,0.113342", \ "0.020090,0.022196,0.025386,0.031682,0.043806,0.067277,0.113344", \ "0.026293,0.027523,0.029585,0.034208,0.044438,0.067275,0.113340", \ "0.035085,0.036733,0.039079,0.043600,0.051762,0.069892,0.113339", \ "0.045274,0.046967,0.049467,0.054470,0.063984,0.080818,0.116832", \ "0.057218,0.058900,0.061419,0.066658,0.077065,0.096277,0.129667"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009391,0.010498,0.012066,0.015190,0.021406,0.033784,0.058483", \ "0.010765,0.011876,0.013455,0.016603,0.022857,0.035272,0.060000", \ "0.015392,0.016721,0.018486,0.021692,0.027774,0.040168,0.064902", \ "0.018867,0.020818,0.023422,0.028173,0.036423,0.050022,0.074456", \ "0.020081,0.022644,0.026079,0.032318,0.043197,0.061267,0.089901", \ "0.018773,0.022001,0.026262,0.033983,0.047455,0.069874,0.105651", \ "0.014785,0.018605,0.023692,0.032913,0.049007,0.075740,0.118502"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019840,0.022137,0.025365,0.031757,0.044406,0.069528,0.119604", \ "0.020639,0.022962,0.026233,0.032706,0.045492,0.070775,0.120988", \ "0.025945,0.028150,0.031291,0.037602,0.050249,0.075501,0.125786", \ "0.035580,0.038390,0.042128,0.049000,0.061279,0.085972,0.135831", \ "0.046149,0.049642,0.054283,0.062908,0.078221,0.104049,0.152907", \ "0.058361,0.062446,0.067866,0.078004,0.096211,0.127392,0.178499", \ "0.072379,0.077057,0.083238,0.094798,0.115591,0.151587,0.211097"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.006732,0.007715,0.009099,0.011836,0.017234,0.027970,0.049397", \ "0.006629,0.007634,0.009047,0.011808,0.017234,0.027973,0.049394", \ "0.008421,0.009129,0.010051,0.012180,0.017096,0.027962,0.049396", \ "0.013528,0.014399,0.015575,0.017780,0.021815,0.029668,0.049331", \ "0.020269,0.021357,0.022805,0.025509,0.030372,0.038788,0.054004", \ "0.028718,0.030000,0.031755,0.034984,0.040736,0.050644,0.067268", \ "0.038804,0.040368,0.042462,0.046278,0.053002,0.064407,0.083462"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014676,0.016692,0.019559,0.025300,0.036791,0.059724,0.105590", \ "0.014673,0.016689,0.019559,0.025306,0.036789,0.059723,0.105590", \ "0.014935,0.016737,0.019533,0.025303,0.036785,0.059725,0.105583", \ "0.020259,0.021863,0.023875,0.027960,0.037471,0.059716,0.105591", \ "0.026580,0.028480,0.031116,0.036077,0.044874,0.062430,0.105597", \ "0.034093,0.036212,0.039198,0.044940,0.055409,0.073412,0.109151", \ "0.043239,0.045500,0.048714,0.055017,0.066772,0.087493,0.122068"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.008368,0.009271,0.010547,0.013086,0.018134,0.028190,0.048263", \ "0.009784,0.010698,0.011985,0.014545,0.019623,0.029707,0.049802", \ "0.014034,0.015244,0.016844,0.019738,0.024816,0.034866,0.054951", \ "0.016714,0.018499,0.020879,0.025199,0.032659,0.044865,0.065039", \ "0.016972,0.019348,0.022513,0.028241,0.038165,0.054521,0.080204", \ "0.014477,0.017482,0.021432,0.028591,0.040997,0.061446,0.093768", \ "0.009041,0.012626,0.017359,0.025942,0.040857,0.065447,0.104340"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019829,0.022126,0.025355,0.031744,0.044396,0.069520,0.119600", \ "0.020540,0.022861,0.026130,0.032606,0.045395,0.070681,0.120901", \ "0.025851,0.028044,0.031171,0.037461,0.050085,0.075318,0.125613", \ "0.035661,0.038450,0.042170,0.049016,0.061254,0.085888,0.135695", \ "0.046531,0.049998,0.054609,0.063189,0.078434,0.104169,0.152943", \ "0.059222,0.063239,0.068617,0.078680,0.096794,0.127840,0.178800", \ "0.073942,0.078528,0.084619,0.096047,0.116683,0.152499,0.211785"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.005408,0.006183,0.007285,0.009480,0.013856,0.022593,0.040054", \ "0.005370,0.006157,0.007269,0.009473,0.013855,0.022594,0.040051", \ "0.007632,0.008210,0.009010,0.010501,0.014088,0.022587,0.040055", \ "0.012562,0.013334,0.014366,0.016276,0.019697,0.025713,0.040296", \ "0.019106,0.020075,0.021365,0.023751,0.028002,0.035258,0.047394", \ "0.027335,0.028500,0.030081,0.032965,0.038057,0.046720,0.061035", \ "0.037189,0.038649,0.040532,0.043980,0.050007,0.060083,0.076683"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014676,0.016693,0.019564,0.025302,0.036800,0.059724,0.105601", \ "0.014675,0.016688,0.019561,0.025306,0.036795,0.059729,0.105588", \ "0.014956,0.016753,0.019531,0.025299,0.036774,0.059729,0.105590", \ "0.020217,0.021831,0.023855,0.027954,0.037479,0.059718,0.105595", \ "0.026379,0.028292,0.030953,0.035943,0.044773,0.062385,0.105583", \ "0.033662,0.035787,0.038799,0.044589,0.055127,0.073200,0.109080", \ "0.042515,0.044743,0.048006,0.054352,0.066198,0.087048,0.121772"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023042,0.024476,0.026492,0.030450,0.038216,0.053433,0.083378", \ "0.024135,0.025568,0.027588,0.031556,0.039328,0.054550,0.084502", \ "0.028712,0.030151,0.032172,0.036135,0.043892,0.059098,0.089036", \ "0.037736,0.039335,0.041546,0.045697,0.053303,0.068333,0.098093", \ "0.045599,0.047666,0.050551,0.055955,0.065816,0.083066,0.112878", \ "0.051376,0.053890,0.057374,0.063953,0.076032,0.097272,0.132984", \ "0.055181,0.058129,0.062156,0.069821,0.083990,0.109017,0.151396"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025558,0.027831,0.031035,0.037408,0.050074,0.075296,0.125628", \ "0.027140,0.029447,0.032707,0.039161,0.051946,0.077290,0.127725", \ "0.032189,0.034479,0.037729,0.044199,0.057054,0.082548,0.133167", \ "0.040312,0.042891,0.046442,0.053196,0.065952,0.091378,0.142032", \ "0.049600,0.052650,0.056832,0.064766,0.079413,0.105781,0.156214", \ "0.060622,0.064196,0.069049,0.078155,0.094815,0.124555,0.176957", \ "0.073489,0.077626,0.083186,0.093562,0.112307,0.145379,0.203056"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.015545,0.016706,0.018348,0.021614,0.028097,0.040979,0.066615", \ "0.015546,0.016709,0.018351,0.021616,0.028099,0.040979,0.066614", \ "0.015380,0.016581,0.018322,0.021633,0.028105,0.040978,0.066611", \ "0.018554,0.019521,0.020860,0.023445,0.028905,0.040962,0.066613", \ "0.025451,0.026621,0.028164,0.031118,0.036536,0.046343,0.067670", \ "0.033703,0.035133,0.037042,0.040633,0.047088,0.058252,0.077495", \ "0.043221,0.044924,0.047225,0.051508,0.059145,0.072185,0.093839"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028532,0.030706,0.033768,0.039820,0.051723,0.075062,0.121115", \ "0.028533,0.030707,0.033767,0.039820,0.051718,0.075067,0.121115", \ "0.028564,0.030720,0.033770,0.039820,0.051723,0.075064,0.121120", \ "0.031747,0.033420,0.035905,0.041091,0.052007,0.075065,0.121114", \ "0.039428,0.040902,0.043060,0.047505,0.056515,0.076700,0.121114", \ "0.048600,0.049926,0.051979,0.056319,0.065410,0.083691,0.123521", \ "0.059508,0.060696,0.062577,0.066729,0.075729,0.094488,0.131723"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018702,0.020121,0.022109,0.026022,0.033705,0.048785,0.078554", \ "0.019784,0.021207,0.023203,0.027124,0.034812,0.049902,0.079678", \ "0.024392,0.025797,0.027789,0.031709,0.039381,0.054452,0.084212", \ "0.032431,0.034168,0.036533,0.040957,0.048930,0.063731,0.093290", \ "0.038530,0.040765,0.043855,0.049610,0.060010,0.077971,0.108140", \ "0.042657,0.045372,0.049106,0.056109,0.068806,0.090885,0.127597", \ "0.044883,0.048047,0.052385,0.060550,0.075436,0.101424,0.144926"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024827,0.027097,0.030296,0.036651,0.049283,0.074435,0.124621", \ "0.026400,0.028709,0.031960,0.038403,0.051152,0.076428,0.126718", \ "0.031452,0.033743,0.036984,0.043435,0.056257,0.081680,0.132155", \ "0.039433,0.042046,0.045618,0.052420,0.065157,0.090510,0.141020", \ "0.048495,0.051608,0.055827,0.063810,0.078510,0.104914,0.155203", \ "0.059255,0.062923,0.067825,0.077012,0.093752,0.123549,0.175946", \ "0.071798,0.076046,0.081703,0.092189,0.111051,0.144213,0.201918"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013199,0.014344,0.015958,0.019177,0.025598,0.038393,0.063923", \ "0.013211,0.014347,0.015958,0.019182,0.025598,0.038393,0.063919", \ "0.013049,0.014168,0.015783,0.019112,0.025608,0.038395,0.063922", \ "0.017273,0.018231,0.019557,0.022116,0.027142,0.038538,0.063922", \ "0.024086,0.025283,0.026871,0.029868,0.035310,0.045067,0.065498", \ "0.032166,0.033639,0.035584,0.039250,0.045786,0.057012,0.076214", \ "0.041560,0.043329,0.045668,0.050003,0.057725,0.070842,0.092575"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019890,0.022194,0.025410,0.031686,0.043804,0.067269,0.113340", \ "0.019892,0.022194,0.025410,0.031685,0.043809,0.067275,0.113339", \ "0.019927,0.022210,0.025415,0.031684,0.043809,0.067274,0.113338", \ "0.023543,0.025181,0.027691,0.033027,0.044124,0.067275,0.113339", \ "0.030277,0.031973,0.034407,0.039302,0.048773,0.068959,0.113340", \ "0.038507,0.040102,0.042420,0.047249,0.057012,0.076068,0.115785", \ "0.048288,0.049774,0.051987,0.056713,0.066483,0.086118,0.124064"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.015552,0.016759,0.018447,0.021764,0.028257,0.040979,0.066055", \ "0.016734,0.017948,0.019642,0.022968,0.029467,0.042196,0.067278", \ "0.021782,0.022903,0.024538,0.027829,0.034314,0.047021,0.072082", \ "0.029085,0.030686,0.032852,0.036892,0.044130,0.056780,0.081646", \ "0.034186,0.036265,0.039111,0.044410,0.053954,0.070343,0.097255", \ "0.037123,0.039656,0.043134,0.049622,0.061368,0.081658,0.115170", \ "0.037901,0.040864,0.044935,0.052559,0.066401,0.090438,0.130372"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024757,0.027028,0.030226,0.036582,0.049215,0.074367,0.124555", \ "0.026284,0.028594,0.031841,0.038279,0.051030,0.076311,0.126605", \ "0.031369,0.033650,0.036880,0.043315,0.056117,0.081534,0.132012", \ "0.039386,0.041991,0.045558,0.052348,0.065060,0.090379,0.140870", \ "0.048581,0.051669,0.055875,0.063835,0.078497,0.104861,0.155096", \ "0.059688,0.063314,0.068179,0.077308,0.093965,0.123670,0.175974", \ "0.072830,0.077011,0.082587,0.092959,0.111674,0.144663,0.202195"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011125,0.012076,0.013436,0.016151,0.021560,0.032355,0.053897", \ "0.011124,0.012082,0.013441,0.016153,0.021561,0.032356,0.053898", \ "0.011119,0.012011,0.013298,0.015967,0.021533,0.032356,0.053898", \ "0.015909,0.016744,0.017877,0.020038,0.024089,0.033002,0.053898", \ "0.022580,0.023645,0.025077,0.027763,0.032582,0.040953,0.057015", \ "0.030526,0.031850,0.033606,0.036904,0.042794,0.052783,0.069425", \ "0.039806,0.041421,0.043545,0.047493,0.054467,0.066265,0.085576"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019890,0.022194,0.025410,0.031685,0.043809,0.067276,0.113339", \ "0.019891,0.022194,0.025410,0.031686,0.043810,0.067277,0.113340", \ "0.019930,0.022210,0.025415,0.031684,0.043811,0.067276,0.113342", \ "0.023561,0.025198,0.027706,0.033044,0.044133,0.067274,0.113340", \ "0.030239,0.031944,0.034389,0.039291,0.048777,0.068966,0.113336", \ "0.038300,0.039901,0.042259,0.047120,0.056928,0.076031,0.115782", \ "0.047824,0.049312,0.051593,0.056360,0.066223,0.085959,0.123994"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018702,0.020121,0.022109,0.026022,0.033705,0.048785,0.078554", \ "0.019784,0.021207,0.023203,0.027124,0.034812,0.049902,0.079678", \ "0.024392,0.025797,0.027789,0.031709,0.039381,0.054452,0.084212", \ "0.032431,0.034168,0.036533,0.040957,0.048930,0.063731,0.093290", \ "0.038530,0.040765,0.043855,0.049610,0.060010,0.077971,0.108140", \ "0.042657,0.045372,0.049106,0.056109,0.068806,0.090885,0.127597", \ "0.044883,0.048047,0.052385,0.060550,0.075436,0.101424,0.144926"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024827,0.027097,0.030296,0.036651,0.049283,0.074435,0.124621", \ "0.026400,0.028709,0.031960,0.038403,0.051152,0.076428,0.126718", \ "0.031452,0.033743,0.036984,0.043435,0.056257,0.081680,0.132155", \ "0.039433,0.042046,0.045618,0.052420,0.065157,0.090510,0.141020", \ "0.048495,0.051608,0.055827,0.063810,0.078510,0.104914,0.155203", \ "0.059255,0.062923,0.067825,0.077012,0.093752,0.123549,0.175946", \ "0.071798,0.076046,0.081703,0.092189,0.111051,0.144213,0.201918"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013199,0.014344,0.015958,0.019177,0.025598,0.038393,0.063923", \ "0.013211,0.014347,0.015958,0.019182,0.025598,0.038393,0.063919", \ "0.013049,0.014168,0.015783,0.019112,0.025608,0.038395,0.063922", \ "0.017273,0.018231,0.019557,0.022116,0.027142,0.038538,0.063922", \ "0.024086,0.025283,0.026871,0.029868,0.035310,0.045067,0.065498", \ "0.032166,0.033639,0.035584,0.039250,0.045786,0.057012,0.076214", \ "0.041560,0.043329,0.045668,0.050003,0.057725,0.070842,0.092575"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019890,0.022194,0.025410,0.031686,0.043804,0.067269,0.113340", \ "0.019892,0.022194,0.025410,0.031685,0.043809,0.067275,0.113339", \ "0.019927,0.022210,0.025415,0.031684,0.043809,0.067274,0.113338", \ "0.023543,0.025181,0.027691,0.033027,0.044124,0.067275,0.113339", \ "0.030277,0.031973,0.034407,0.039302,0.048773,0.068959,0.113340", \ "0.038507,0.040102,0.042420,0.047249,0.057012,0.076068,0.115785", \ "0.048288,0.049774,0.051987,0.056713,0.066483,0.086118,0.124064"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014583,0.015972,0.017921,0.021759,0.029316,0.044230,0.073812", \ "0.015647,0.017045,0.019002,0.022852,0.030421,0.045347,0.074935", \ "0.020459,0.021783,0.023638,0.027443,0.034996,0.049899,0.079472", \ "0.026670,0.028579,0.031159,0.035936,0.044405,0.059223,0.088568", \ "0.030890,0.033361,0.036705,0.042900,0.053939,0.072727,0.103480", \ "0.033266,0.036245,0.040306,0.047826,0.061276,0.084336,0.122142", \ "0.033761,0.037239,0.041986,0.050776,0.066545,0.093635,0.138374"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024115,0.026378,0.029567,0.035908,0.048507,0.073582,0.123630", \ "0.025673,0.027978,0.031223,0.037653,0.050372,0.075579,0.125723", \ "0.030732,0.033014,0.036247,0.042683,0.055470,0.080829,0.131167", \ "0.038571,0.041198,0.044797,0.051645,0.064372,0.089658,0.140023", \ "0.047419,0.050560,0.054824,0.062861,0.077613,0.104059,0.154213", \ "0.057932,0.061646,0.066606,0.075876,0.092696,0.122552,0.174949", \ "0.070171,0.074500,0.080235,0.090826,0.109812,0.143064,0.200797"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010813,0.011935,0.013521,0.016707,0.023077,0.035813,0.061271", \ "0.010812,0.011935,0.013528,0.016712,0.023079,0.035813,0.061264", \ "0.011123,0.012103,0.013544,0.016591,0.023072,0.035814,0.061267", \ "0.016093,0.017049,0.018359,0.020877,0.025655,0.036310,0.061262", \ "0.022821,0.024025,0.025651,0.028692,0.034149,0.043856,0.063468", \ "0.030807,0.032298,0.034278,0.037975,0.044561,0.055838,0.075004", \ "0.040133,0.041943,0.044296,0.048679,0.056431,0.069605,0.091376"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014671,0.016689,0.019558,0.025299,0.036793,0.059740,0.105599", \ "0.014672,0.016691,0.019560,0.025308,0.036777,0.059723,0.105586", \ "0.014712,0.016710,0.019568,0.025309,0.036775,0.059719,0.105584", \ "0.017934,0.019634,0.021919,0.026709,0.037130,0.059723,0.105579", \ "0.022875,0.024634,0.027145,0.032144,0.041819,0.061450,0.105588", \ "0.029224,0.031033,0.033605,0.038770,0.048920,0.068488,0.108065", \ "0.036867,0.038736,0.041433,0.046804,0.057309,0.077712,0.116411"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.012171,0.013352,0.015005,0.018255,0.024636,0.037205,0.062108", \ "0.013347,0.014533,0.016193,0.019452,0.025843,0.038421,0.063331", \ "0.018427,0.019633,0.021255,0.024358,0.030697,0.043249,0.068135", \ "0.023861,0.025617,0.027988,0.032356,0.040071,0.053078,0.077719", \ "0.027172,0.029466,0.032566,0.038283,0.048430,0.065602,0.093336", \ "0.028414,0.031210,0.035000,0.041994,0.054461,0.075682,0.110224", \ "0.027521,0.030802,0.035252,0.043488,0.058183,0.083288,0.124397"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024045,0.026308,0.029497,0.035839,0.048436,0.073515,0.123564", \ "0.025561,0.027861,0.031103,0.037531,0.050246,0.075455,0.125612", \ "0.030649,0.032923,0.036145,0.042564,0.055335,0.080679,0.131019", \ "0.038525,0.041146,0.044737,0.051573,0.064275,0.089526,0.139872", \ "0.047502,0.050630,0.054872,0.062887,0.077603,0.104006,0.154104", \ "0.058384,0.062054,0.066973,0.076175,0.092912,0.122676,0.174978", \ "0.071220,0.075486,0.081138,0.091613,0.110445,0.143517,0.201076"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009083,0.010032,0.011380,0.014066,0.019437,0.030184,0.051665", \ "0.009036,0.010003,0.011362,0.014061,0.019439,0.030182,0.051665", \ "0.009800,0.010537,0.011659,0.014068,0.019320,0.030186,0.051664", \ "0.014956,0.015798,0.016941,0.019095,0.023093,0.031381,0.051647", \ "0.021542,0.022624,0.024081,0.026790,0.031641,0.040030,0.055592", \ "0.029426,0.030769,0.032552,0.035891,0.041802,0.051830,0.068493", \ "0.038683,0.040316,0.042463,0.046450,0.053447,0.065253,0.084595"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014672,0.016688,0.019558,0.025299,0.036784,0.059731,0.105602", \ "0.014670,0.016688,0.019562,0.025308,0.036779,0.059731,0.105584", \ "0.014714,0.016712,0.019568,0.025303,0.036779,0.059725,0.105583", \ "0.017947,0.019651,0.021938,0.026726,0.037131,0.059724,0.105580", \ "0.022839,0.024608,0.027128,0.032132,0.041822,0.061454,0.105585", \ "0.029049,0.030860,0.033458,0.038650,0.048855,0.068453,0.108062", \ "0.036493,0.038381,0.041099,0.046486,0.057064,0.077564,0.116335"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.015552,0.016759,0.018447,0.021764,0.028257,0.040979,0.066055", \ "0.016734,0.017948,0.019642,0.022968,0.029467,0.042196,0.067278", \ "0.021782,0.022903,0.024538,0.027829,0.034314,0.047021,0.072082", \ "0.029085,0.030686,0.032852,0.036892,0.044130,0.056780,0.081646", \ "0.034186,0.036265,0.039111,0.044410,0.053954,0.070343,0.097255", \ "0.037123,0.039656,0.043134,0.049622,0.061368,0.081658,0.115170", \ "0.037901,0.040864,0.044935,0.052559,0.066401,0.090438,0.130372"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024757,0.027028,0.030226,0.036582,0.049215,0.074367,0.124555", \ "0.026284,0.028594,0.031841,0.038279,0.051030,0.076311,0.126605", \ "0.031369,0.033650,0.036880,0.043315,0.056117,0.081534,0.132012", \ "0.039386,0.041991,0.045558,0.052348,0.065060,0.090379,0.140870", \ "0.048581,0.051669,0.055875,0.063835,0.078497,0.104861,0.155096", \ "0.059688,0.063314,0.068179,0.077308,0.093965,0.123670,0.175974", \ "0.072830,0.077011,0.082587,0.092959,0.111674,0.144663,0.202195"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011125,0.012076,0.013436,0.016151,0.021560,0.032355,0.053897", \ "0.011124,0.012082,0.013441,0.016153,0.021561,0.032356,0.053898", \ "0.011119,0.012011,0.013298,0.015967,0.021533,0.032356,0.053898", \ "0.015909,0.016744,0.017877,0.020038,0.024089,0.033002,0.053898", \ "0.022580,0.023645,0.025077,0.027763,0.032582,0.040953,0.057015", \ "0.030526,0.031850,0.033606,0.036904,0.042794,0.052783,0.069425", \ "0.039806,0.041421,0.043545,0.047493,0.054467,0.066265,0.085576"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019890,0.022194,0.025410,0.031685,0.043809,0.067276,0.113339", \ "0.019891,0.022194,0.025410,0.031686,0.043810,0.067277,0.113340", \ "0.019930,0.022210,0.025415,0.031684,0.043811,0.067276,0.113342", \ "0.023561,0.025198,0.027706,0.033044,0.044133,0.067274,0.113340", \ "0.030239,0.031944,0.034389,0.039291,0.048777,0.068966,0.113336", \ "0.038300,0.039901,0.042259,0.047120,0.056928,0.076031,0.115782", \ "0.047824,0.049312,0.051593,0.056360,0.066223,0.085959,0.123994"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.012171,0.013352,0.015005,0.018255,0.024636,0.037205,0.062108", \ "0.013347,0.014533,0.016193,0.019452,0.025843,0.038421,0.063331", \ "0.018427,0.019633,0.021255,0.024358,0.030697,0.043249,0.068135", \ "0.023861,0.025617,0.027988,0.032356,0.040071,0.053078,0.077719", \ "0.027172,0.029466,0.032566,0.038283,0.048430,0.065602,0.093336", \ "0.028414,0.031210,0.035000,0.041994,0.054461,0.075682,0.110224", \ "0.027521,0.030802,0.035252,0.043488,0.058183,0.083288,0.124397"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024045,0.026308,0.029497,0.035839,0.048436,0.073515,0.123564", \ "0.025561,0.027861,0.031103,0.037531,0.050246,0.075455,0.125612", \ "0.030649,0.032923,0.036145,0.042564,0.055335,0.080679,0.131019", \ "0.038525,0.041146,0.044737,0.051573,0.064275,0.089526,0.139872", \ "0.047502,0.050630,0.054872,0.062887,0.077603,0.104006,0.154104", \ "0.058384,0.062054,0.066973,0.076175,0.092912,0.122676,0.174978", \ "0.071220,0.075486,0.081138,0.091613,0.110445,0.143517,0.201076"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009083,0.010032,0.011380,0.014066,0.019437,0.030184,0.051665", \ "0.009036,0.010003,0.011362,0.014061,0.019439,0.030182,0.051665", \ "0.009800,0.010537,0.011659,0.014068,0.019320,0.030186,0.051664", \ "0.014956,0.015798,0.016941,0.019095,0.023093,0.031381,0.051647", \ "0.021542,0.022624,0.024081,0.026790,0.031641,0.040030,0.055592", \ "0.029426,0.030769,0.032552,0.035891,0.041802,0.051830,0.068493", \ "0.038683,0.040316,0.042463,0.046450,0.053447,0.065253,0.084595"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014672,0.016688,0.019558,0.025299,0.036784,0.059731,0.105602", \ "0.014670,0.016688,0.019562,0.025308,0.036779,0.059731,0.105584", \ "0.014714,0.016712,0.019568,0.025303,0.036779,0.059725,0.105583", \ "0.017947,0.019651,0.021938,0.026726,0.037131,0.059724,0.105580", \ "0.022839,0.024608,0.027128,0.032132,0.041822,0.061454,0.105585", \ "0.029049,0.030860,0.033458,0.038650,0.048855,0.068453,0.108062", \ "0.036493,0.038381,0.041099,0.046486,0.057064,0.077564,0.116335"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010498,0.011466,0.012820,0.015477,0.020684,0.030923,0.051189", \ "0.011767,0.012738,0.014097,0.016760,0.021974,0.032220,0.052489", \ "0.016727,0.017823,0.019288,0.021970,0.027108,0.037317,0.057556", \ "0.021189,0.022797,0.024960,0.028937,0.035913,0.047556,0.067661", \ "0.023422,0.025537,0.028387,0.033617,0.042870,0.058408,0.083262", \ "0.023358,0.025950,0.029465,0.035921,0.047367,0.066698,0.097884", \ "0.020874,0.023938,0.028093,0.035743,0.049330,0.072364,0.109694"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024034,0.026298,0.029486,0.035827,0.048426,0.073507,0.123558", \ "0.025476,0.027775,0.031015,0.037442,0.050162,0.075377,0.125533", \ "0.030561,0.032827,0.036035,0.042435,0.055184,0.080515,0.130859", \ "0.038471,0.041090,0.044674,0.051497,0.064174,0.089383,0.139699", \ "0.047583,0.050700,0.054923,0.062913,0.077593,0.103953,0.153992", \ "0.058874,0.062506,0.067378,0.076512,0.093155,0.122820,0.175020", \ "0.072376,0.076564,0.082136,0.092488,0.111150,0.144036,0.201405"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.007273,0.008034,0.009122,0.011300,0.015662,0.024405,0.041909", \ "0.007246,0.008021,0.009116,0.011300,0.015663,0.024404,0.041910", \ "0.008767,0.009310,0.010090,0.011820,0.015723,0.024414,0.041913", \ "0.013800,0.014543,0.015540,0.017388,0.020732,0.026884,0.042043", \ "0.020179,0.021135,0.022425,0.024818,0.029072,0.036276,0.048455", \ "0.027896,0.029103,0.030697,0.033658,0.038881,0.047681,0.062023", \ "0.037026,0.038502,0.040443,0.044022,0.050255,0.060673,0.077581"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014672,0.016688,0.019558,0.025301,0.036781,0.059721,0.105603", \ "0.014669,0.016690,0.019563,0.025309,0.036777,0.059724,0.105584", \ "0.014715,0.016714,0.019568,0.025305,0.036781,0.059727,0.105589", \ "0.017965,0.019665,0.021955,0.026743,0.037145,0.059726,0.105582", \ "0.022807,0.024578,0.027114,0.032119,0.041825,0.061467,0.105586", \ "0.028862,0.030678,0.033299,0.038522,0.048767,0.068417,0.108058", \ "0.036091,0.038001,0.040713,0.046144,0.056791,0.077390,0.116250"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024279,0.025707,0.027706,0.031636,0.039335,0.054432,0.084217", \ "0.025835,0.027270,0.029282,0.033232,0.040957,0.056088,0.085900", \ "0.030145,0.031593,0.033614,0.037589,0.045366,0.060562,0.090439", \ "0.037094,0.038715,0.040948,0.045239,0.053294,0.068550,0.098536", \ "0.043801,0.045824,0.048592,0.053792,0.063304,0.080346,0.111111", \ "0.048523,0.051050,0.054516,0.060957,0.072644,0.092928,0.127410", \ "0.050835,0.053899,0.058107,0.065886,0.079913,0.104146,0.144306"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.030933,0.033236,0.036477,0.042923,0.055715,0.081090,0.131612", \ "0.031816,0.034144,0.037423,0.043925,0.056786,0.082239,0.132827", \ "0.036583,0.038886,0.042137,0.048611,0.061468,0.086958,0.137625", \ "0.047972,0.050325,0.053455,0.059678,0.072179,0.097312,0.147661", \ "0.062053,0.064999,0.069017,0.076585,0.090390,0.115098,0.164604", \ "0.077406,0.080953,0.085678,0.094664,0.111199,0.140214,0.189950", \ "0.094571,0.098563,0.104008,0.114279,0.133252,0.166893,0.223747"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013189,0.014331,0.015948,0.019173,0.025598,0.038392,0.063920", \ "0.013188,0.014328,0.015948,0.019177,0.025597,0.038391,0.063918", \ "0.013138,0.014291,0.015921,0.019162,0.025595,0.038393,0.063918", \ "0.015153,0.016184,0.017639,0.020467,0.026246,0.038457,0.063922", \ "0.019989,0.021020,0.022443,0.025225,0.030683,0.041568,0.064666", \ "0.026846,0.028020,0.029607,0.032635,0.038272,0.048873,0.069991", \ "0.035217,0.036561,0.038364,0.041812,0.048096,0.059270,0.080035"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034457,0.036585,0.039601,0.045594,0.057450,0.080819,0.127038", \ "0.034456,0.036586,0.039600,0.045593,0.057451,0.080815,0.127035", \ "0.034454,0.036582,0.039599,0.045591,0.057449,0.080813,0.127036", \ "0.037040,0.038727,0.041191,0.046335,0.057440,0.080815,0.127033", \ "0.046417,0.047872,0.049835,0.053781,0.062531,0.082125,0.127030", \ "0.057704,0.059348,0.061667,0.066252,0.074941,0.091338,0.129319", \ "0.069995,0.071846,0.074432,0.079587,0.089468,0.107519,0.140780"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020126,0.021524,0.023483,0.027339,0.034917,0.049851,0.079448", \ "0.021633,0.023047,0.025024,0.028910,0.036527,0.051501,0.081132", \ "0.025882,0.027309,0.029300,0.033222,0.040901,0.055959,0.085665", \ "0.031907,0.033626,0.035969,0.040410,0.048661,0.063926,0.093753", \ "0.036873,0.039107,0.042123,0.047736,0.057782,0.075294,0.106316", \ "0.039630,0.042435,0.046244,0.053251,0.065726,0.086969,0.122168", \ "0.039880,0.043323,0.047956,0.056446,0.071492,0.096949,0.138282"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.030144,0.032441,0.035682,0.042117,0.054882,0.080205,0.130579", \ "0.031018,0.033346,0.036623,0.043111,0.055954,0.081361,0.131790", \ "0.035794,0.038091,0.041340,0.047799,0.060630,0.086071,0.136584", \ "0.047123,0.049500,0.052681,0.058887,0.071357,0.096419,0.146631", \ "0.060905,0.063890,0.067948,0.075598,0.089497,0.114215,0.163569", \ "0.075951,0.079535,0.084312,0.093391,0.110042,0.139185,0.188922", \ "0.092769,0.096807,0.102333,0.112699,0.131809,0.165595,0.222588"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010784,0.011909,0.013508,0.016705,0.023076,0.035810,0.061258", \ "0.010784,0.011908,0.013510,0.016700,0.023078,0.035811,0.061260", \ "0.010839,0.011909,0.013492,0.016687,0.023075,0.035808,0.061259", \ "0.013516,0.014492,0.015876,0.018673,0.024170,0.036029,0.061255", \ "0.018757,0.019773,0.021178,0.023884,0.029152,0.039745,0.062271", \ "0.025760,0.026929,0.028507,0.031523,0.037087,0.047472,0.068142", \ "0.034240,0.035559,0.037353,0.040793,0.047036,0.058101,0.078508"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025741,0.027990,0.031147,0.037348,0.049428,0.072943,0.119204", \ "0.025741,0.027990,0.031147,0.037346,0.049429,0.072948,0.119200", \ "0.025735,0.027986,0.031144,0.037346,0.049424,0.072939,0.119193", \ "0.028467,0.030246,0.032835,0.038184,0.049430,0.072933,0.119202", \ "0.037246,0.038997,0.041392,0.045859,0.054650,0.074302,0.119191", \ "0.046947,0.048928,0.051672,0.056932,0.066561,0.083614,0.121537", \ "0.057499,0.059726,0.062795,0.068727,0.079718,0.099099,0.133074"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016983,0.018171,0.019834,0.023105,0.029524,0.042159,0.067179", \ "0.018561,0.019761,0.021440,0.024736,0.031187,0.043855,0.068902", \ "0.022856,0.024065,0.025751,0.029065,0.035563,0.048300,0.073411", \ "0.028241,0.029772,0.031852,0.035767,0.042983,0.056175,0.081401", \ "0.032262,0.034278,0.037004,0.042052,0.051047,0.066564,0.093650", \ "0.033852,0.036432,0.039905,0.046288,0.057576,0.076645,0.107916", \ "0.032710,0.035885,0.040139,0.047948,0.061687,0.084760,0.121873"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.030038,0.032334,0.035575,0.042007,0.054773,0.080101,0.130464", \ "0.030796,0.033121,0.036399,0.042887,0.055725,0.081130,0.131559", \ "0.035639,0.037927,0.041165,0.047604,0.060412,0.085829,0.136327", \ "0.047154,0.049524,0.052691,0.058871,0.071299,0.096302,0.146445", \ "0.061205,0.064181,0.068209,0.075822,0.089661,0.114302,0.163565", \ "0.076669,0.080221,0.084962,0.093989,0.110561,0.139574,0.189175", \ "0.094072,0.098069,0.103545,0.113828,0.132818,0.166438,0.223202"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.008968,0.009921,0.011272,0.013972,0.019358,0.030131,0.051670", \ "0.008968,0.009922,0.011270,0.013968,0.019359,0.030131,0.051676", \ "0.009182,0.010067,0.011336,0.013951,0.019348,0.030129,0.051670", \ "0.011944,0.012769,0.013938,0.016269,0.020938,0.030645,0.051662", \ "0.016955,0.017851,0.019073,0.021412,0.025909,0.034848,0.053436", \ "0.023580,0.024613,0.026010,0.028665,0.033517,0.042433,0.059932", \ "0.031567,0.032763,0.034380,0.037443,0.042965,0.052601,0.070097"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025771,0.028017,0.031169,0.037364,0.049441,0.072959,0.119207", \ "0.025769,0.028017,0.031169,0.037365,0.049440,0.072955,0.119203", \ "0.025765,0.028013,0.031167,0.037363,0.049436,0.072943,0.119200", \ "0.028484,0.030268,0.032858,0.038192,0.049443,0.072939,0.119201", \ "0.037127,0.038872,0.041283,0.045759,0.054594,0.074286,0.119197", \ "0.046566,0.048553,0.051338,0.056637,0.066318,0.083452,0.121489", \ "0.056793,0.059033,0.062139,0.068123,0.079195,0.098692,0.132836"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020126,0.021524,0.023483,0.027339,0.034917,0.049851,0.079448", \ "0.021633,0.023047,0.025024,0.028910,0.036527,0.051501,0.081132", \ "0.025882,0.027309,0.029300,0.033222,0.040901,0.055959,0.085665", \ "0.031907,0.033626,0.035969,0.040410,0.048661,0.063926,0.093753", \ "0.036873,0.039107,0.042123,0.047736,0.057782,0.075294,0.106316", \ "0.039630,0.042435,0.046244,0.053251,0.065726,0.086969,0.122168", \ "0.039880,0.043323,0.047956,0.056446,0.071492,0.096949,0.138282"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.030144,0.032441,0.035682,0.042117,0.054882,0.080205,0.130579", \ "0.031018,0.033346,0.036623,0.043111,0.055954,0.081361,0.131790", \ "0.035794,0.038091,0.041340,0.047799,0.060630,0.086071,0.136584", \ "0.047123,0.049500,0.052681,0.058887,0.071357,0.096419,0.146631", \ "0.060905,0.063890,0.067948,0.075598,0.089497,0.114215,0.163569", \ "0.075951,0.079535,0.084312,0.093391,0.110042,0.139185,0.188922", \ "0.092769,0.096807,0.102333,0.112699,0.131809,0.165595,0.222588"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010784,0.011909,0.013508,0.016705,0.023076,0.035810,0.061258", \ "0.010784,0.011908,0.013510,0.016700,0.023078,0.035811,0.061260", \ "0.010839,0.011909,0.013492,0.016687,0.023075,0.035808,0.061259", \ "0.013516,0.014492,0.015876,0.018673,0.024170,0.036029,0.061255", \ "0.018757,0.019773,0.021178,0.023884,0.029152,0.039745,0.062271", \ "0.025760,0.026929,0.028507,0.031523,0.037087,0.047472,0.068142", \ "0.034240,0.035559,0.037353,0.040793,0.047036,0.058101,0.078508"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025741,0.027990,0.031147,0.037348,0.049428,0.072943,0.119204", \ "0.025741,0.027990,0.031147,0.037346,0.049429,0.072948,0.119200", \ "0.025735,0.027986,0.031144,0.037346,0.049424,0.072939,0.119193", \ "0.028467,0.030246,0.032835,0.038184,0.049430,0.072933,0.119202", \ "0.037246,0.038997,0.041392,0.045859,0.054650,0.074302,0.119191", \ "0.046947,0.048928,0.051672,0.056932,0.066561,0.083614,0.121537", \ "0.057499,0.059726,0.062795,0.068727,0.079718,0.099099,0.133074"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016385,0.017705,0.019569,0.023277,0.030656,0.045362,0.074726", \ "0.017814,0.019163,0.021060,0.024815,0.032249,0.047005,0.076405", \ "0.021833,0.023249,0.025207,0.029040,0.036571,0.051437,0.080928", \ "0.026341,0.028229,0.030763,0.035454,0.043960,0.059364,0.089001", \ "0.029130,0.031657,0.035036,0.041207,0.051968,0.070125,0.101532", \ "0.029553,0.032784,0.037081,0.044856,0.058374,0.080699,0.116824", \ "0.027479,0.031422,0.036672,0.046127,0.062471,0.089393,0.132069"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.029360,0.031655,0.034891,0.041309,0.054037,0.079295,0.129569", \ "0.030227,0.032552,0.035825,0.042303,0.055104,0.080447,0.130792", \ "0.035010,0.037306,0.040548,0.046993,0.059783,0.085161,0.135575", \ "0.046269,0.048678,0.051920,0.058103,0.070537,0.095514,0.145623", \ "0.059767,0.062785,0.066878,0.074590,0.088579,0.113333,0.162560", \ "0.074512,0.078131,0.082953,0.092102,0.108853,0.138143,0.187902", \ "0.090993,0.095077,0.100662,0.111115,0.130349,0.164280,0.221450"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.008202,0.009325,0.010925,0.014117,0.020489,0.033202,0.058581", \ "0.008203,0.009325,0.010929,0.014122,0.020492,0.033201,0.058584", \ "0.008705,0.009703,0.011154,0.014180,0.020496,0.033199,0.058579", \ "0.012012,0.012937,0.014250,0.016897,0.022240,0.033662,0.058578", \ "0.017620,0.018625,0.020007,0.022654,0.027740,0.037989,0.059943", \ "0.024892,0.026023,0.027577,0.030541,0.035979,0.046123,0.066362", \ "0.033606,0.034883,0.036620,0.039978,0.046115,0.056989,0.077046"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019695,0.021740,0.024656,0.030474,0.042088,0.065229,0.111411", \ "0.019689,0.021741,0.024657,0.030471,0.042080,0.065244,0.111433", \ "0.019687,0.021742,0.024656,0.030469,0.042089,0.065230,0.111426", \ "0.022551,0.024119,0.026447,0.031368,0.042117,0.065226,0.111441", \ "0.029419,0.031314,0.033893,0.038729,0.047434,0.066644,0.111402", \ "0.036780,0.039039,0.042108,0.047912,0.058291,0.076062,0.113756", \ "0.044864,0.047481,0.051034,0.057747,0.069827,0.090531,0.125407"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013947,0.015060,0.016632,0.019761,0.025990,0.038408,0.063205", \ "0.015459,0.016597,0.018198,0.021365,0.027639,0.040099,0.064927", \ "0.019394,0.020656,0.022369,0.025623,0.031980,0.044531,0.069437", \ "0.023343,0.025037,0.027305,0.031479,0.038957,0.052367,0.077424", \ "0.025326,0.027623,0.030689,0.036265,0.045934,0.062081,0.089545", \ "0.024708,0.027680,0.031625,0.038740,0.051014,0.071127,0.103280", \ "0.021310,0.024981,0.029829,0.038559,0.053548,0.078027,0.116418"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.029255,0.031551,0.034787,0.041204,0.053930,0.079196,0.129461", \ "0.030008,0.032331,0.035605,0.042080,0.054882,0.080218,0.130571", \ "0.034858,0.037146,0.040376,0.046803,0.059571,0.084927,0.135333", \ "0.046308,0.048707,0.051934,0.058093,0.070479,0.095401,0.145439", \ "0.060069,0.063079,0.067149,0.074825,0.088753,0.113427,0.162567", \ "0.075240,0.078829,0.083618,0.092715,0.109376,0.138538,0.188164", \ "0.092321,0.096366,0.101894,0.112265,0.131367,0.165132,0.222073"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.006786,0.007739,0.009084,0.011783,0.017164,0.027916,0.049395", \ "0.006788,0.007735,0.009084,0.011779,0.017167,0.027915,0.049389", \ "0.007503,0.008310,0.009495,0.011961,0.017177,0.027917,0.049397", \ "0.010750,0.011545,0.012651,0.014867,0.019379,0.028739,0.049397", \ "0.016054,0.016945,0.018147,0.020446,0.024809,0.033436,0.051588", \ "0.022922,0.023931,0.025307,0.027914,0.032680,0.041384,0.058502", \ "0.031176,0.032310,0.033876,0.036856,0.042280,0.051769,0.068956"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019695,0.021741,0.024657,0.030472,0.042089,0.065242,0.111407", \ "0.019692,0.021742,0.024654,0.030473,0.042090,0.065232,0.111431", \ "0.019685,0.021743,0.024653,0.030473,0.042078,0.065230,0.111436", \ "0.022537,0.024111,0.026445,0.031374,0.042118,0.065221,0.111407", \ "0.029292,0.031185,0.033772,0.038633,0.047366,0.066627,0.111402", \ "0.036440,0.038690,0.041801,0.047626,0.058050,0.075890,0.113710", \ "0.044247,0.046867,0.050447,0.057195,0.069332,0.090135,0.125160"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.017673,0.018867,0.020541,0.023832,0.030294,0.043014,0.068201", \ "0.019192,0.020400,0.022089,0.025406,0.031900,0.044654,0.069869", \ "0.024122,0.025334,0.027034,0.030379,0.036928,0.049757,0.075041", \ "0.030948,0.032568,0.034763,0.038848,0.046229,0.059380,0.084774", \ "0.035910,0.038076,0.041006,0.046445,0.056119,0.072556,0.099943", \ "0.038479,0.041224,0.044937,0.051780,0.063963,0.084595,0.117939", \ "0.038428,0.041803,0.046336,0.054656,0.069397,0.094331,0.134567"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.032463,0.034745,0.037966,0.044371,0.057102,0.082406,0.132717", \ "0.033358,0.035670,0.038928,0.045396,0.058209,0.083603,0.134011", \ "0.038111,0.040393,0.043623,0.050055,0.062849,0.088287,0.138778", \ "0.049558,0.051840,0.054926,0.061125,0.073577,0.098623,0.148802", \ "0.064014,0.066897,0.070831,0.078282,0.091896,0.116445,0.165779", \ "0.079664,0.083140,0.087795,0.096667,0.113015,0.141773,0.191220", \ "0.097088,0.100995,0.106383,0.116541,0.135327,0.168713,0.225203"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009825,0.010766,0.012107,0.014783,0.020135,0.030828,0.052185", \ "0.009824,0.010765,0.012105,0.014783,0.020135,0.030828,0.052189", \ "0.009915,0.010800,0.012071,0.014745,0.020120,0.030826,0.052181", \ "0.013581,0.014364,0.015457,0.017624,0.021855,0.031216,0.052181", \ "0.019460,0.020365,0.021611,0.023988,0.028442,0.036819,0.054035", \ "0.026861,0.027908,0.029344,0.032114,0.037198,0.046289,0.062845", \ "0.035714,0.036901,0.038546,0.041718,0.047542,0.057808,0.075637"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026929,0.029193,0.032360,0.038582,0.050687,0.074233,0.120506", \ "0.026928,0.029190,0.032362,0.038583,0.050685,0.074223,0.120513", \ "0.026923,0.029188,0.032361,0.038581,0.050679,0.074224,0.120502", \ "0.029185,0.031033,0.033705,0.039176,0.050665,0.074218,0.120511", \ "0.037823,0.039568,0.041964,0.046360,0.055392,0.075356,0.120493", \ "0.047553,0.049538,0.052289,0.057543,0.067141,0.084268,0.122586", \ "0.058107,0.060349,0.063428,0.069360,0.080323,0.099632,0.133744"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014606,0.015728,0.017314,0.020469,0.026746,0.039254,0.064225", \ "0.016057,0.017206,0.018821,0.022014,0.028338,0.040888,0.065890", \ "0.020706,0.021957,0.023636,0.026905,0.033317,0.045968,0.071054", \ "0.025641,0.027450,0.029869,0.034307,0.042105,0.055546,0.080772", \ "0.028388,0.030839,0.034122,0.040117,0.050545,0.067802,0.095856", \ "0.028650,0.031793,0.035984,0.043575,0.056782,0.078550,0.113002", \ "0.026309,0.030156,0.035271,0.044530,0.060547,0.086942,0.128569"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031680,0.033958,0.037173,0.043565,0.056263,0.081478,0.131757", \ "0.032567,0.034877,0.038132,0.044586,0.057366,0.082678,0.133011", \ "0.037329,0.039607,0.042831,0.049248,0.062007,0.087358,0.137767", \ "0.048746,0.051066,0.054155,0.060341,0.072752,0.097701,0.147777", \ "0.062908,0.065825,0.069803,0.077302,0.090997,0.115556,0.164758", \ "0.078271,0.081776,0.086469,0.095404,0.111841,0.140714,0.190200", \ "0.095378,0.099326,0.104759,0.114985,0.133880,0.167401,0.224085"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.007579,0.008530,0.009883,0.012572,0.017935,0.028617,0.049934", \ "0.007579,0.008531,0.009882,0.012572,0.017934,0.028619,0.049938", \ "0.008224,0.009013,0.010188,0.012654,0.017940,0.028628,0.049942", \ "0.012425,0.013206,0.014287,0.016412,0.020551,0.029389,0.049938", \ "0.018505,0.019402,0.020636,0.023000,0.027416,0.035649,0.052340", \ "0.026180,0.027185,0.028580,0.031277,0.036285,0.045310,0.061670", \ "0.035317,0.036433,0.038007,0.041060,0.046732,0.056894,0.074584"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020838,0.022903,0.025831,0.031681,0.043324,0.066520,0.112798", \ "0.020836,0.022899,0.025832,0.031677,0.043323,0.066508,0.112740", \ "0.020832,0.022900,0.025829,0.031676,0.043321,0.066503,0.112721", \ "0.023204,0.024846,0.027256,0.032331,0.043296,0.066494,0.112721", \ "0.030217,0.032081,0.034623,0.039411,0.048158,0.067691,0.112685", \ "0.037708,0.039922,0.042956,0.048689,0.058970,0.076677,0.114819", \ "0.045875,0.048460,0.051960,0.058594,0.070575,0.091144,0.126094"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.012146,0.013052,0.014331,0.016876,0.021940,0.032032,0.052180", \ "0.013700,0.014626,0.015928,0.018504,0.023605,0.033730,0.053904", \ "0.018343,0.019428,0.020897,0.023615,0.028798,0.039009,0.059252", \ "0.022582,0.024199,0.026357,0.030302,0.037174,0.048777,0.069282", \ "0.024486,0.026706,0.029676,0.035074,0.044408,0.059741,0.084189", \ "0.023672,0.026556,0.030396,0.037323,0.049280,0.068823,0.099437", \ "0.019961,0.023543,0.028265,0.036792,0.051440,0.075367,0.112684"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031576,0.033852,0.037068,0.043459,0.056148,0.081375,0.131604", \ "0.032339,0.034648,0.037903,0.044354,0.057130,0.082450,0.132799", \ "0.037168,0.039438,0.042650,0.049050,0.061785,0.087113,0.137506", \ "0.048784,0.051098,0.054169,0.060341,0.072703,0.097592,0.147602", \ "0.063213,0.066119,0.070073,0.077544,0.091185,0.115677,0.164791", \ "0.079000,0.082486,0.087128,0.096022,0.112388,0.141147,0.190506", \ "0.096685,0.100597,0.105989,0.116135,0.134914,0.168294,0.224761"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.005947,0.006715,0.007804,0.009977,0.014310,0.022947,0.040193", \ "0.005947,0.006716,0.007804,0.009977,0.014311,0.022955,0.040193", \ "0.007009,0.007585,0.008450,0.010301,0.014340,0.022949,0.040194", \ "0.011076,0.011746,0.012659,0.014416,0.017785,0.024478,0.040245", \ "0.016795,0.017582,0.018648,0.020691,0.024462,0.031309,0.044334", \ "0.024043,0.024930,0.026149,0.028503,0.032836,0.040568,0.054216", \ "0.032707,0.033672,0.035053,0.037760,0.042741,0.051527,0.066614"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020839,0.022903,0.025834,0.031680,0.043326,0.066514,0.112723", \ "0.020837,0.022904,0.025828,0.031679,0.043321,0.066508,0.112753", \ "0.020834,0.022899,0.025828,0.031677,0.043320,0.066505,0.112713", \ "0.023191,0.024835,0.027257,0.032315,0.043298,0.066499,0.112703", \ "0.030088,0.031958,0.034511,0.039308,0.048085,0.067670,0.112685", \ "0.037382,0.039601,0.042657,0.048408,0.058731,0.076505,0.114772", \ "0.045284,0.047872,0.051393,0.058058,0.070080,0.090743,0.125821"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.028308,0.029742,0.031756,0.035719,0.043486,0.058700,0.088648", \ "0.029606,0.031046,0.033064,0.037031,0.044802,0.060024,0.089975", \ "0.033939,0.035386,0.037406,0.041385,0.049177,0.064427,0.094407", \ "0.041428,0.042983,0.045148,0.049333,0.057220,0.072513,0.102562", \ "0.049475,0.051357,0.053942,0.058856,0.068003,0.084676,0.115251", \ "0.055928,0.058269,0.061498,0.067540,0.078591,0.098132,0.131980", \ "0.060350,0.063156,0.067030,0.074277,0.087483,0.110667,0.149710"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034933,0.037224,0.040460,0.046894,0.059679,0.085041,0.135554", \ "0.036585,0.038898,0.042156,0.048628,0.061459,0.086871,0.137428", \ "0.041654,0.043983,0.047260,0.053773,0.066677,0.092206,0.142875", \ "0.050694,0.053064,0.056313,0.062763,0.075616,0.101147,0.151863", \ "0.062021,0.064822,0.068630,0.075994,0.089866,0.115552,0.166116", \ "0.075311,0.078528,0.082913,0.091247,0.106868,0.135431,0.186902", \ "0.090776,0.094445,0.099423,0.108824,0.126204,0.157741,0.213901"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.015541,0.016704,0.018345,0.021611,0.028101,0.040976,0.066615", \ "0.015542,0.016705,0.018347,0.021617,0.028100,0.040978,0.066611", \ "0.015525,0.016687,0.018339,0.021610,0.028099,0.040978,0.066610", \ "0.017080,0.018140,0.019612,0.022551,0.028561,0.041003,0.066613", \ "0.021605,0.022640,0.024090,0.026938,0.032520,0.043630,0.067213", \ "0.028307,0.029489,0.031074,0.034129,0.039838,0.050625,0.072054", \ "0.036331,0.037707,0.039530,0.043018,0.049380,0.060738,0.081829"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034458,0.036587,0.039598,0.045593,0.057451,0.080815,0.127030", \ "0.034457,0.036585,0.039600,0.045592,0.057451,0.080820,0.127040", \ "0.034455,0.036583,0.039598,0.045592,0.057448,0.080815,0.127034", \ "0.035837,0.037727,0.040445,0.045989,0.057454,0.080818,0.127037", \ "0.042086,0.043746,0.046092,0.050708,0.060596,0.081715,0.127031", \ "0.050189,0.051879,0.054283,0.059103,0.068680,0.087568,0.128718", \ "0.059396,0.061102,0.063543,0.068512,0.078449,0.097947,0.136014"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023954,0.025372,0.027363,0.031280,0.038962,0.054052,0.083836", \ "0.025246,0.026668,0.028662,0.032585,0.040278,0.055374,0.085163", \ "0.029559,0.030987,0.032987,0.036928,0.044648,0.059778,0.089597", \ "0.036460,0.038073,0.040306,0.044589,0.052640,0.067859,0.097755", \ "0.043079,0.045114,0.047898,0.053124,0.062665,0.079691,0.110437", \ "0.047800,0.050347,0.053833,0.060313,0.072029,0.092345,0.126806", \ "0.050438,0.053510,0.057728,0.065521,0.079535,0.103738,0.143853"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034144,0.036432,0.039666,0.046088,0.058840,0.084155,0.134515", \ "0.035791,0.038102,0.041359,0.047819,0.060622,0.085983,0.136384", \ "0.040857,0.043182,0.046458,0.052961,0.065838,0.091312,0.141836", \ "0.049849,0.052252,0.055516,0.061958,0.074783,0.100248,0.150826", \ "0.060996,0.063820,0.067656,0.075064,0.088979,0.114648,0.165068", \ "0.074086,0.077324,0.081762,0.090150,0.105826,0.134425,0.185858", \ "0.089300,0.093016,0.098051,0.107550,0.124988,0.156583,0.212757"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013203,0.014345,0.015966,0.019186,0.025611,0.038407,0.063943", \ "0.013206,0.014343,0.015966,0.019188,0.025610,0.038410,0.063940", \ "0.013205,0.014337,0.015956,0.019184,0.025611,0.038408,0.063939", \ "0.015334,0.016352,0.017798,0.020654,0.026401,0.038549,0.063943", \ "0.020293,0.021315,0.022733,0.025500,0.030916,0.041742,0.064778", \ "0.027055,0.028237,0.029837,0.032895,0.038555,0.049137,0.070166", \ "0.035069,0.036439,0.038275,0.041787,0.048172,0.059471,0.080273"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025740,0.027990,0.031145,0.037347,0.049428,0.072945,0.119199", \ "0.025740,0.027990,0.031146,0.037347,0.049428,0.072942,0.119203", \ "0.025737,0.027988,0.031144,0.037345,0.049424,0.072938,0.119198", \ "0.027201,0.029194,0.032039,0.037776,0.049431,0.072937,0.119201", \ "0.033199,0.035054,0.037647,0.042711,0.052663,0.073862,0.119188", \ "0.040384,0.042286,0.044967,0.050227,0.060407,0.079794,0.120908", \ "0.048549,0.050501,0.053262,0.058744,0.069366,0.089667,0.128265"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020138,0.021347,0.023041,0.026371,0.032891,0.045673,0.070873", \ "0.021534,0.022745,0.024443,0.027780,0.034307,0.047095,0.072299", \ "0.025861,0.027078,0.028780,0.032124,0.038675,0.051493,0.076724", \ "0.032191,0.033626,0.035592,0.039356,0.046382,0.059455,0.084770", \ "0.037751,0.039598,0.042112,0.046813,0.055335,0.070391,0.097155", \ "0.041204,0.043531,0.046712,0.052610,0.063185,0.081410,0.111989", \ "0.042323,0.045163,0.049036,0.056178,0.068957,0.090858,0.126842"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034037,0.036326,0.039558,0.045979,0.058731,0.084044,0.134403", \ "0.035585,0.037893,0.041148,0.047606,0.060402,0.085750,0.136160", \ "0.040707,0.043024,0.046292,0.052777,0.065631,0.091085,0.141588", \ "0.049775,0.052171,0.055431,0.061857,0.074652,0.100080,0.150615", \ "0.061045,0.063859,0.067676,0.075062,0.088945,0.114573,0.164934", \ "0.074453,0.077674,0.082071,0.090416,0.106025,0.134536,0.185871", \ "0.090237,0.093909,0.098895,0.108285,0.125609,0.157045,0.213038"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011012,0.011982,0.013346,0.016071,0.021501,0.032329,0.053945", \ "0.011014,0.011980,0.013345,0.016072,0.021501,0.032327,0.053945", \ "0.011105,0.012032,0.013359,0.016061,0.021496,0.032327,0.053948", \ "0.013446,0.014297,0.015511,0.017931,0.022700,0.032715,0.053948", \ "0.018245,0.019145,0.020374,0.022755,0.027370,0.036508,0.055472", \ "0.024638,0.025685,0.027096,0.029781,0.034720,0.043803,0.061613", \ "0.032251,0.033470,0.035105,0.038221,0.043840,0.053694,0.071551"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025770,0.028016,0.031168,0.037365,0.049440,0.072951,0.119202", \ "0.025770,0.028017,0.031168,0.037366,0.049439,0.072944,0.119208", \ "0.025767,0.028015,0.031168,0.037363,0.049435,0.072948,0.119205", \ "0.027246,0.029235,0.032074,0.037802,0.049443,0.072943,0.119204", \ "0.033215,0.035067,0.037663,0.042725,0.052677,0.073876,0.119193", \ "0.040253,0.042170,0.044852,0.050141,0.060356,0.079770,0.120907", \ "0.048174,0.050149,0.052923,0.058446,0.069138,0.089526,0.128197"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.023954,0.025372,0.027363,0.031280,0.038962,0.054052,0.083836", \ "0.025246,0.026668,0.028662,0.032585,0.040278,0.055374,0.085163", \ "0.029559,0.030987,0.032987,0.036928,0.044648,0.059778,0.089597", \ "0.036460,0.038073,0.040306,0.044589,0.052640,0.067859,0.097755", \ "0.043079,0.045114,0.047898,0.053124,0.062665,0.079691,0.110437", \ "0.047800,0.050347,0.053833,0.060313,0.072029,0.092345,0.126806", \ "0.050438,0.053510,0.057728,0.065521,0.079535,0.103738,0.143853"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.034144,0.036432,0.039666,0.046088,0.058840,0.084155,0.134515", \ "0.035791,0.038102,0.041359,0.047819,0.060622,0.085983,0.136384", \ "0.040857,0.043182,0.046458,0.052961,0.065838,0.091312,0.141836", \ "0.049849,0.052252,0.055516,0.061958,0.074783,0.100248,0.150826", \ "0.060996,0.063820,0.067656,0.075064,0.088979,0.114648,0.165068", \ "0.074086,0.077324,0.081762,0.090150,0.105826,0.134425,0.185858", \ "0.089300,0.093016,0.098051,0.107550,0.124988,0.156583,0.212757"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013203,0.014345,0.015966,0.019186,0.025611,0.038407,0.063943", \ "0.013206,0.014343,0.015966,0.019188,0.025610,0.038410,0.063940", \ "0.013205,0.014337,0.015956,0.019184,0.025611,0.038408,0.063939", \ "0.015334,0.016352,0.017798,0.020654,0.026401,0.038549,0.063943", \ "0.020293,0.021315,0.022733,0.025500,0.030916,0.041742,0.064778", \ "0.027055,0.028237,0.029837,0.032895,0.038555,0.049137,0.070166", \ "0.035069,0.036439,0.038275,0.041787,0.048172,0.059471,0.080273"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025740,0.027990,0.031145,0.037347,0.049428,0.072945,0.119199", \ "0.025740,0.027990,0.031146,0.037347,0.049428,0.072942,0.119203", \ "0.025737,0.027988,0.031144,0.037345,0.049424,0.072938,0.119198", \ "0.027201,0.029194,0.032039,0.037776,0.049431,0.072937,0.119201", \ "0.033199,0.035054,0.037647,0.042711,0.052663,0.073862,0.119188", \ "0.040384,0.042286,0.044967,0.050227,0.060407,0.079794,0.120908", \ "0.048549,0.050501,0.053262,0.058744,0.069366,0.089667,0.128265"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019835,0.021222,0.023168,0.027007,0.034567,0.049490,0.079089", \ "0.021120,0.022511,0.024463,0.028310,0.035880,0.050812,0.080416", \ "0.025374,0.026785,0.028752,0.032625,0.040232,0.055206,0.084843", \ "0.031286,0.033006,0.035349,0.039781,0.048006,0.063258,0.092989", \ "0.036075,0.038338,0.041387,0.047035,0.057121,0.074623,0.105633", \ "0.038809,0.041658,0.045500,0.052553,0.065085,0.086333,0.121530", \ "0.039526,0.042969,0.047602,0.056087,0.071104,0.096511,0.137768"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.033361,0.035648,0.038876,0.045282,0.057994,0.083243,0.133506", \ "0.035004,0.037313,0.040565,0.047012,0.059774,0.085072,0.135375", \ "0.040069,0.042390,0.045662,0.052150,0.064988,0.090401,0.140825", \ "0.049009,0.051432,0.054726,0.061158,0.073946,0.099337,0.149810", \ "0.059977,0.062824,0.066683,0.074122,0.088082,0.113753,0.164054", \ "0.072865,0.076131,0.080620,0.089044,0.104787,0.133428,0.184824", \ "0.087838,0.091601,0.096677,0.106229,0.123784,0.155441,0.211626"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010782,0.011913,0.013511,0.016707,0.023090,0.035829,0.061292", \ "0.010786,0.011911,0.013514,0.016706,0.023086,0.035829,0.061292", \ "0.011007,0.012065,0.013589,0.016727,0.023089,0.035826,0.061288", \ "0.013744,0.014708,0.016080,0.018834,0.024344,0.036139,0.061287", \ "0.019068,0.020081,0.021478,0.024178,0.029408,0.039928,0.062402", \ "0.025927,0.027099,0.028695,0.031744,0.037330,0.047730,0.068325", \ "0.033984,0.035334,0.037174,0.040676,0.047038,0.058251,0.078726"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019695,0.021741,0.024656,0.030471,0.042083,0.065233,0.111414", \ "0.019694,0.021742,0.024656,0.030472,0.042087,0.065238,0.111415", \ "0.019690,0.021740,0.024654,0.030472,0.042080,0.065239,0.111418", \ "0.021221,0.023010,0.025602,0.030939,0.042095,0.065221,0.111414", \ "0.025961,0.027847,0.030476,0.035596,0.045401,0.066186,0.111394", \ "0.031592,0.033608,0.036448,0.041924,0.052416,0.072190,0.113118", \ "0.038102,0.040274,0.043309,0.049211,0.060375,0.081351,0.120526"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016733,0.017912,0.019565,0.022822,0.029227,0.041852,0.066870", \ "0.018122,0.019306,0.020964,0.024228,0.030641,0.043273,0.068297", \ "0.022371,0.023588,0.025268,0.028556,0.035001,0.047671,0.072724", \ "0.027659,0.029198,0.031289,0.035211,0.042417,0.055608,0.080772", \ "0.031496,0.033548,0.036318,0.041413,0.050465,0.066002,0.093069", \ "0.033072,0.035687,0.039207,0.045647,0.057007,0.076122,0.107419", \ "0.032363,0.035545,0.039816,0.047635,0.061372,0.084426,0.121511"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.033255,0.035544,0.038771,0.045178,0.057889,0.083139,0.133410", \ "0.034799,0.037107,0.040358,0.046800,0.059559,0.084857,0.135158", \ "0.039922,0.042235,0.045498,0.051970,0.064787,0.090176,0.140586", \ "0.048937,0.051353,0.054644,0.061061,0.073819,0.099171,0.149607", \ "0.060039,0.062860,0.066707,0.074128,0.088057,0.113683,0.163925", \ "0.073245,0.076489,0.080921,0.089320,0.104992,0.133544,0.184850", \ "0.088790,0.092511,0.097535,0.106993,0.124414,0.155911,0.211915"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.008980,0.009933,0.011283,0.013980,0.019370,0.030144,0.051687", \ "0.008982,0.009930,0.011284,0.013978,0.019368,0.030143,0.051690", \ "0.009361,0.010228,0.011487,0.014054,0.019377,0.030141,0.051687", \ "0.012204,0.013012,0.014160,0.016463,0.021097,0.030766,0.051691", \ "0.017278,0.018169,0.019385,0.021715,0.026193,0.035044,0.053575", \ "0.023776,0.024814,0.026221,0.028896,0.033782,0.042702,0.060138", \ "0.031452,0.032659,0.034292,0.037390,0.042991,0.052754,0.070331"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019693,0.021741,0.024656,0.030478,0.042082,0.065232,0.111422", \ "0.019693,0.021740,0.024657,0.030473,0.042079,0.065238,0.111409", \ "0.019687,0.021743,0.024655,0.030473,0.042082,0.065226,0.111424", \ "0.021235,0.023024,0.025618,0.030950,0.042100,0.065220,0.111412", \ "0.025955,0.027836,0.030471,0.035596,0.045409,0.066188,0.111398", \ "0.031452,0.033483,0.036316,0.041832,0.052357,0.072159,0.113116", \ "0.037754,0.039942,0.042989,0.048913,0.060161,0.081209,0.120461"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020845,0.022060,0.023764,0.027114,0.033676,0.046541,0.071905", \ "0.022177,0.023395,0.025103,0.028458,0.035026,0.047898,0.073268", \ "0.027144,0.028368,0.030084,0.033455,0.040048,0.052953,0.078352", \ "0.035179,0.036670,0.038702,0.042545,0.049646,0.062674,0.088145", \ "0.041907,0.043887,0.046584,0.051635,0.060744,0.076521,0.103410", \ "0.046448,0.048933,0.052343,0.058672,0.070100,0.089775,0.122190", \ "0.048781,0.051798,0.055921,0.063547,0.077282,0.100974,0.139883"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036478,0.038754,0.041966,0.048357,0.061071,0.086361,0.136664", \ "0.038144,0.040442,0.043683,0.050120,0.062897,0.088253,0.138613", \ "0.043171,0.045478,0.048736,0.055207,0.068059,0.093527,0.144035", \ "0.052267,0.054566,0.057779,0.064189,0.076976,0.102413,0.152971", \ "0.063893,0.066625,0.070357,0.077609,0.091329,0.116818,0.167197", \ "0.077471,0.080640,0.084923,0.093135,0.108563,0.136860,0.188043", \ "0.093266,0.096857,0.101759,0.111008,0.128174,0.159409,0.215208"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011907,0.012853,0.014206,0.016899,0.022277,0.033009,0.054446", \ "0.011903,0.012853,0.014203,0.016895,0.022280,0.033014,0.054443", \ "0.011893,0.012825,0.014175,0.016882,0.022281,0.033015,0.054446", \ "0.014974,0.015765,0.016875,0.019078,0.023508,0.033260,0.054446", \ "0.020809,0.021711,0.022947,0.025320,0.029779,0.038248,0.055971", \ "0.027992,0.029070,0.030530,0.033338,0.038472,0.047612,0.064325", \ "0.036369,0.037629,0.039311,0.042575,0.048553,0.058979,0.076958"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026926,0.029192,0.032360,0.038581,0.050685,0.074234,0.120504", \ "0.026925,0.029192,0.032362,0.038581,0.050683,0.074232,0.120508", \ "0.026925,0.029190,0.032360,0.038582,0.050682,0.074226,0.120512", \ "0.028136,0.030177,0.033074,0.038888,0.050684,0.074222,0.120513", \ "0.033967,0.035850,0.038451,0.043514,0.053639,0.075018,0.120498", \ "0.041088,0.043034,0.045725,0.051031,0.061261,0.080724,0.122072", \ "0.049170,0.051148,0.053945,0.059471,0.070162,0.090520,0.129220"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.017427,0.018612,0.020275,0.023552,0.030000,0.042711,0.067901", \ "0.018750,0.019940,0.021607,0.024892,0.031348,0.044067,0.069262", \ "0.023663,0.024868,0.026552,0.029861,0.036353,0.049113,0.074341", \ "0.030338,0.031969,0.034169,0.038263,0.045648,0.058802,0.084122", \ "0.035113,0.037305,0.040270,0.045752,0.055473,0.071936,0.099343", \ "0.037668,0.040446,0.044200,0.051094,0.063324,0.083982,0.117347", \ "0.038101,0.041467,0.046001,0.054309,0.069020,0.093905,0.134070"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035696,0.037967,0.041175,0.047552,0.060223,0.085433,0.135696", \ "0.037358,0.039651,0.042888,0.049312,0.062049,0.087319,0.137639", \ "0.042384,0.044687,0.047939,0.054397,0.067207,0.092601,0.143059", \ "0.051447,0.053775,0.056987,0.063387,0.076133,0.101485,0.151936", \ "0.062903,0.065649,0.069402,0.076680,0.090432,0.115919,0.166167", \ "0.076292,0.079480,0.083791,0.092038,0.107528,0.135874,0.187002", \ "0.091856,0.095481,0.100417,0.109730,0.126968,0.158282,0.214082"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009825,0.010769,0.012108,0.014789,0.020140,0.030837,0.052216", \ "0.009825,0.010771,0.012108,0.014788,0.020140,0.030846,0.052212", \ "0.010085,0.010948,0.012205,0.014804,0.020145,0.030846,0.052216", \ "0.013845,0.014621,0.015701,0.017845,0.022066,0.031350,0.052211", \ "0.019789,0.020693,0.021932,0.024304,0.028725,0.037049,0.054209", \ "0.027035,0.028101,0.029550,0.032343,0.037473,0.046582,0.063091", \ "0.035486,0.036706,0.038373,0.041610,0.047550,0.057963,0.075882"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020835,0.022905,0.025829,0.031679,0.043315,0.066512,0.112777", \ "0.020837,0.022901,0.025834,0.031682,0.043315,0.066505,0.112781", \ "0.020832,0.022900,0.025828,0.031674,0.043321,0.066514,0.112773", \ "0.022104,0.023942,0.026587,0.032020,0.043324,0.066504,0.112710", \ "0.026854,0.028747,0.031365,0.036488,0.046357,0.067329,0.112680", \ "0.032475,0.034518,0.037321,0.042813,0.053323,0.073111,0.114271", \ "0.038977,0.041145,0.044168,0.050054,0.061241,0.082257,0.121484"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014279,0.015245,0.016599,0.019262,0.024489,0.034774,0.055129", \ "0.015728,0.016698,0.018055,0.020724,0.025957,0.036249,0.056608", \ "0.020789,0.021817,0.023211,0.025905,0.031168,0.041496,0.061886", \ "0.026666,0.028123,0.030088,0.033722,0.040198,0.051435,0.071964", \ "0.030433,0.032422,0.035104,0.040030,0.048732,0.063348,0.087162", \ "0.031796,0.034343,0.037769,0.044048,0.055101,0.073620,0.103272", \ "0.030756,0.033862,0.038038,0.045672,0.059097,0.081610,0.117592"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.035592,0.037861,0.041070,0.047447,0.060118,0.085330,0.135593", \ "0.037151,0.039442,0.042676,0.049097,0.061832,0.087108,0.137433", \ "0.042226,0.044524,0.047764,0.054209,0.066997,0.092372,0.142766", \ "0.051372,0.053699,0.056902,0.063285,0.076003,0.101313,0.151722", \ "0.062933,0.065690,0.069426,0.076684,0.090409,0.115852,0.166033", \ "0.076663,0.079816,0.084115,0.092317,0.107743,0.136003,0.187037", \ "0.092789,0.096379,0.101272,0.110488,0.127616,0.158780,0.214407"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.007769,0.008534,0.009617,0.011784,0.016109,0.024754,0.042046", \ "0.007765,0.008531,0.009614,0.011784,0.016110,0.024753,0.042045", \ "0.008339,0.008986,0.009937,0.011922,0.016119,0.024756,0.042044", \ "0.012275,0.012927,0.013823,0.015569,0.018963,0.025922,0.042069", \ "0.017873,0.018655,0.019729,0.021781,0.025544,0.032425,0.045671", \ "0.024729,0.025657,0.026920,0.029346,0.033799,0.041608,0.055352", \ "0.032776,0.033848,0.035319,0.038152,0.043343,0.052358,0.067676"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.020835,0.022906,0.025828,0.031675,0.043318,0.066509,0.112778", \ "0.020836,0.022901,0.025830,0.031680,0.043315,0.066510,0.112801", \ "0.020834,0.022903,0.025828,0.031673,0.043322,0.066511,0.112714", \ "0.022120,0.023957,0.026600,0.032023,0.043321,0.066503,0.112712", \ "0.026834,0.028737,0.031360,0.036485,0.046361,0.067342,0.112685", \ "0.032339,0.034384,0.037208,0.042717,0.053261,0.073077,0.114268", \ "0.038637,0.040815,0.043861,0.049774,0.061026,0.082101,0.121407"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026866,0.028295,0.030295,0.034226,0.041927,0.057031,0.086829", \ "0.028498,0.029934,0.031946,0.035897,0.043624,0.058763,0.088589", \ "0.032053,0.033502,0.035527,0.039506,0.047285,0.062487,0.092380", \ "0.036516,0.038056,0.040195,0.044353,0.052350,0.067596,0.097564", \ "0.040709,0.042440,0.044834,0.049439,0.058106,0.074416,0.105059", \ "0.043423,0.045513,0.048381,0.053768,0.063703,0.081614,0.114279", \ "0.043364,0.045946,0.049465,0.055991,0.067759,0.088334,0.123878"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.038601,0.040986,0.044333,0.050957,0.064015,0.089770,0.140662", \ "0.039426,0.041822,0.045184,0.051832,0.064917,0.090697,0.141619", \ "0.044184,0.046568,0.049923,0.056557,0.069642,0.095435,0.146384", \ "0.055523,0.057819,0.061063,0.067522,0.080341,0.105813,0.156516", \ "0.072331,0.075063,0.078777,0.085835,0.098825,0.123576,0.173464", \ "0.090415,0.093667,0.098100,0.106445,0.122020,0.149756,0.198839", \ "0.110303,0.113953,0.119016,0.128650,0.146543,0.178582,0.233425"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013194,0.014337,0.015955,0.019180,0.025608,0.038412,0.063954", \ "0.013190,0.014333,0.015954,0.019185,0.025608,0.038409,0.063951", \ "0.013168,0.014318,0.015942,0.019181,0.025609,0.038410,0.063954", \ "0.014295,0.015404,0.016966,0.020016,0.026075,0.038485,0.063953", \ "0.016956,0.018023,0.019533,0.022546,0.028552,0.040571,0.064662", \ "0.022117,0.023163,0.024613,0.027466,0.033133,0.044589,0.068101", \ "0.029359,0.030487,0.032020,0.034990,0.040579,0.051413,0.073740"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.039961,0.042030,0.044958,0.050827,0.062538,0.085814,0.132045", \ "0.039968,0.042025,0.044958,0.050827,0.062538,0.085813,0.132052", \ "0.039958,0.042021,0.044955,0.050824,0.062537,0.085810,0.132045", \ "0.040761,0.042590,0.045293,0.050862,0.062527,0.085807,0.132051", \ "0.048174,0.049608,0.051716,0.056165,0.065593,0.086302,0.132037", \ "0.059303,0.060988,0.063340,0.067951,0.076619,0.093849,0.133430", \ "0.071015,0.072976,0.075692,0.080986,0.091003,0.109146,0.143429"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022712,0.024109,0.026066,0.029921,0.037498,0.052432,0.082031", \ "0.024295,0.025707,0.027684,0.031567,0.039183,0.054158,0.083790", \ "0.027778,0.029205,0.031202,0.035129,0.042810,0.057868,0.087580", \ "0.031772,0.033341,0.035509,0.039703,0.047743,0.062963,0.092758", \ "0.035056,0.036900,0.039422,0.044193,0.053051,0.069509,0.100250", \ "0.036192,0.038498,0.041636,0.047444,0.057891,0.076257,0.109177", \ "0.034228,0.037116,0.041007,0.048153,0.060756,0.082246,0.118425"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.037726,0.040112,0.043464,0.050087,0.063124,0.088801,0.139594", \ "0.038546,0.040945,0.044313,0.050959,0.064023,0.089732,0.140565", \ "0.043306,0.045695,0.049052,0.055686,0.068748,0.094472,0.145326", \ "0.054689,0.056967,0.060210,0.066666,0.079456,0.104858,0.155427", \ "0.071235,0.073992,0.077755,0.084876,0.097958,0.122640,0.172398", \ "0.089007,0.092298,0.096759,0.105201,0.120863,0.148651,0.197766", \ "0.108570,0.112259,0.117375,0.127082,0.145067,0.177272,0.232314"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010776,0.011904,0.013501,0.016694,0.023078,0.035815,0.061267", \ "0.010776,0.011900,0.013506,0.016694,0.023075,0.035814,0.061272", \ "0.010769,0.011890,0.013494,0.016691,0.023072,0.035817,0.061274", \ "0.012184,0.013261,0.014791,0.017839,0.023794,0.036001,0.061262", \ "0.015357,0.016369,0.017795,0.020675,0.026485,0.038319,0.062158", \ "0.020976,0.022001,0.023412,0.026155,0.031565,0.042629,0.065807", \ "0.028518,0.029618,0.031139,0.034052,0.039482,0.049931,0.071702"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031138,0.033312,0.036371,0.042443,0.054383,0.077825,0.124143", \ "0.031144,0.033308,0.036373,0.042443,0.054382,0.077824,0.124162", \ "0.031131,0.033305,0.036368,0.042440,0.054381,0.077822,0.124150", \ "0.031994,0.033942,0.036748,0.042508,0.054370,0.077820,0.124156", \ "0.039532,0.041236,0.043443,0.047938,0.057536,0.078343,0.124138", \ "0.049024,0.051004,0.053713,0.058915,0.068450,0.085978,0.125560", \ "0.059108,0.061402,0.064522,0.070510,0.081520,0.100863,0.135671"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019782,0.020966,0.022623,0.025882,0.032277,0.044862,0.069779", \ "0.021421,0.022619,0.024292,0.027575,0.034002,0.046621,0.071565", \ "0.025654,0.026863,0.028550,0.031865,0.038342,0.051030,0.076038", \ "0.030535,0.031933,0.033855,0.037524,0.044464,0.057456,0.082545", \ "0.034185,0.035950,0.038344,0.042819,0.050945,0.065501,0.092010", \ "0.035213,0.037468,0.040522,0.046174,0.056222,0.073425,0.102814", \ "0.032994,0.035824,0.039652,0.046659,0.058960,0.079733,0.113574"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.039456,0.041851,0.045214,0.051853,0.064918,0.090631,0.141503", \ "0.040281,0.042689,0.046071,0.052739,0.065837,0.091588,0.142476", \ "0.045014,0.047409,0.050778,0.057432,0.070528,0.096295,0.147221", \ "0.056384,0.058687,0.061961,0.068434,0.081262,0.106697,0.157306", \ "0.073462,0.076187,0.079877,0.086902,0.099800,0.124547,0.174351", \ "0.091797,0.095020,0.099441,0.107788,0.123275,0.150794,0.199837", \ "0.111868,0.115512,0.120564,0.130193,0.148008,0.179963,0.234642"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009053,0.010007,0.011351,0.014047,0.019434,0.030185,0.051666", \ "0.009052,0.010006,0.011351,0.014050,0.019434,0.030185,0.051667", \ "0.009100,0.010022,0.011358,0.014044,0.019430,0.030184,0.051665", \ "0.010949,0.011820,0.013069,0.015551,0.020484,0.030542,0.051664", \ "0.014865,0.015726,0.016929,0.019296,0.024007,0.033562,0.053096", \ "0.020845,0.021797,0.023092,0.025559,0.030201,0.039224,0.057870", \ "0.028583,0.029638,0.031065,0.033791,0.038807,0.047855,0.065529"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.032110,0.034269,0.037321,0.043378,0.055302,0.078725,0.125045", \ "0.032108,0.034266,0.037320,0.043378,0.055302,0.078727,0.125056", \ "0.032095,0.034261,0.037316,0.043376,0.055301,0.078726,0.125049", \ "0.032704,0.034667,0.037528,0.043350,0.055294,0.078724,0.125047", \ "0.039868,0.041562,0.043707,0.048320,0.058067,0.079125,0.125033", \ "0.049311,0.051280,0.053985,0.059176,0.068675,0.086330,0.126267", \ "0.059338,0.061626,0.064738,0.070710,0.081679,0.100980,0.135989"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022712,0.024109,0.026066,0.029921,0.037498,0.052432,0.082031", \ "0.024295,0.025707,0.027684,0.031567,0.039183,0.054158,0.083790", \ "0.027778,0.029205,0.031202,0.035129,0.042810,0.057868,0.087580", \ "0.031772,0.033341,0.035509,0.039703,0.047743,0.062963,0.092758", \ "0.035056,0.036900,0.039422,0.044193,0.053051,0.069509,0.100250", \ "0.036192,0.038498,0.041636,0.047444,0.057891,0.076257,0.109177", \ "0.034228,0.037116,0.041007,0.048153,0.060756,0.082246,0.118425"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.037726,0.040112,0.043464,0.050087,0.063124,0.088801,0.139594", \ "0.038546,0.040945,0.044313,0.050959,0.064023,0.089732,0.140565", \ "0.043306,0.045695,0.049052,0.055686,0.068748,0.094472,0.145326", \ "0.054689,0.056967,0.060210,0.066666,0.079456,0.104858,0.155427", \ "0.071235,0.073992,0.077755,0.084876,0.097958,0.122640,0.172398", \ "0.089007,0.092298,0.096759,0.105201,0.120863,0.148651,0.197766", \ "0.108570,0.112259,0.117375,0.127082,0.145067,0.177272,0.232314"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010776,0.011904,0.013501,0.016694,0.023078,0.035815,0.061267", \ "0.010776,0.011900,0.013506,0.016694,0.023075,0.035814,0.061272", \ "0.010769,0.011890,0.013494,0.016691,0.023072,0.035817,0.061274", \ "0.012184,0.013261,0.014791,0.017839,0.023794,0.036001,0.061262", \ "0.015357,0.016369,0.017795,0.020675,0.026485,0.038319,0.062158", \ "0.020976,0.022001,0.023412,0.026155,0.031565,0.042629,0.065807", \ "0.028518,0.029618,0.031139,0.034052,0.039482,0.049931,0.071702"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031138,0.033312,0.036371,0.042443,0.054383,0.077825,0.124143", \ "0.031144,0.033308,0.036373,0.042443,0.054382,0.077824,0.124162", \ "0.031131,0.033305,0.036368,0.042440,0.054381,0.077822,0.124150", \ "0.031994,0.033942,0.036748,0.042508,0.054370,0.077820,0.124156", \ "0.039532,0.041236,0.043443,0.047938,0.057536,0.078343,0.124138", \ "0.049024,0.051004,0.053713,0.058915,0.068450,0.085978,0.125560", \ "0.059108,0.061402,0.064522,0.070510,0.081520,0.100863,0.135671"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.018951,0.020269,0.022133,0.025841,0.033219,0.047926,0.077288", \ "0.020453,0.021803,0.023701,0.027455,0.034888,0.049645,0.079043", \ "0.023768,0.025159,0.027102,0.030931,0.038462,0.053331,0.082826", \ "0.027055,0.028657,0.030859,0.035097,0.043167,0.058406,0.088001", \ "0.028974,0.031002,0.033731,0.038790,0.047947,0.064596,0.095451", \ "0.028057,0.030698,0.034229,0.040635,0.051824,0.070824,0.104076", \ "0.023767,0.027118,0.031570,0.039564,0.053307,0.075940,0.112901"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.036857,0.039251,0.042609,0.049228,0.062242,0.087854,0.138489", \ "0.037676,0.040083,0.043455,0.050098,0.063142,0.088784,0.139454", \ "0.042442,0.044835,0.048196,0.054827,0.067868,0.093525,0.144219", \ "0.053870,0.056140,0.059378,0.065820,0.078583,0.103920,0.154315", \ "0.070137,0.072929,0.076746,0.083923,0.097091,0.121715,0.171310", \ "0.087601,0.090940,0.095457,0.103979,0.119733,0.147617,0.196718", \ "0.106847,0.110601,0.115778,0.125558,0.143648,0.175965,0.231137"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.008201,0.009329,0.010930,0.014119,0.020495,0.033202,0.058577", \ "0.008205,0.009327,0.010930,0.014120,0.020488,0.033202,0.058577", \ "0.008401,0.009463,0.010993,0.014138,0.020497,0.033198,0.058578", \ "0.010138,0.011166,0.012638,0.015613,0.021559,0.033543,0.058577", \ "0.013930,0.014887,0.016241,0.018959,0.024515,0.036102,0.059704", \ "0.019996,0.021007,0.022384,0.025047,0.030184,0.040800,0.063568", \ "0.028005,0.029058,0.030522,0.033336,0.038594,0.048592,0.069774"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024230,0.026295,0.029220,0.035077,0.046726,0.069933,0.116231", \ "0.024230,0.026286,0.029222,0.035069,0.046721,0.069934,0.116235", \ "0.024219,0.026283,0.029216,0.035070,0.046720,0.069940,0.116233", \ "0.025161,0.026983,0.029638,0.035141,0.046712,0.069935,0.116233", \ "0.031803,0.033613,0.036113,0.040740,0.049972,0.070499,0.116210", \ "0.039270,0.041450,0.044451,0.050121,0.060328,0.078236,0.117687", \ "0.047147,0.049733,0.053261,0.059914,0.071879,0.092420,0.127879"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016732,0.017847,0.019420,0.022544,0.028753,0.041125,0.065821", \ "0.018306,0.019447,0.021046,0.024209,0.030464,0.042878,0.067605", \ "0.022350,0.023541,0.025193,0.028426,0.034764,0.047269,0.072073", \ "0.026300,0.027778,0.029782,0.033562,0.040596,0.053667,0.078578", \ "0.028330,0.030298,0.032937,0.037778,0.046334,0.061245,0.087935", \ "0.027282,0.029864,0.033316,0.039564,0.050428,0.068435,0.098366", \ "0.022747,0.026036,0.030400,0.038256,0.051695,0.073692,0.108561"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.038578,0.040979,0.044348,0.050984,0.064030,0.089681,0.140357", \ "0.039398,0.041816,0.045201,0.051868,0.064947,0.090637,0.141340", \ "0.044135,0.046538,0.049911,0.056563,0.069637,0.095345,0.146087", \ "0.055549,0.057846,0.061111,0.067584,0.080379,0.105753,0.156186", \ "0.072374,0.075147,0.078871,0.085957,0.098942,0.123621,0.173256", \ "0.090398,0.093686,0.098147,0.106578,0.122154,0.149779,0.198783", \ "0.110156,0.113870,0.118984,0.128691,0.146608,0.178674,0.233471"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.006895,0.007838,0.009182,0.011867,0.017242,0.027973,0.049394", \ "0.006899,0.007843,0.009179,0.011867,0.017241,0.027975,0.049395", \ "0.007231,0.008090,0.009343,0.011926,0.017254,0.027975,0.049395", \ "0.009435,0.010262,0.011450,0.013842,0.018708,0.028536,0.049402", \ "0.013728,0.014590,0.015780,0.018064,0.022579,0.031870,0.051131", \ "0.020016,0.020962,0.022244,0.024695,0.029227,0.037946,0.056169", \ "0.028228,0.029214,0.030579,0.033216,0.038117,0.046941,0.064174"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025108,0.027165,0.030096,0.035954,0.047613,0.070820,0.117126", \ "0.025106,0.027162,0.030098,0.035954,0.047612,0.070820,0.117126", \ "0.025091,0.027159,0.030095,0.035954,0.047607,0.070820,0.117125", \ "0.025761,0.027630,0.030344,0.035948,0.047605,0.070817,0.117119", \ "0.032202,0.034008,0.036477,0.041059,0.050471,0.071255,0.117115", \ "0.039687,0.041837,0.044819,0.050455,0.060594,0.078552,0.118391", \ "0.047565,0.050118,0.053621,0.060230,0.072127,0.092582,0.128175"); } } timing () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019782,0.020966,0.022623,0.025882,0.032277,0.044862,0.069779", \ "0.021421,0.022619,0.024292,0.027575,0.034002,0.046621,0.071565", \ "0.025654,0.026863,0.028550,0.031865,0.038342,0.051030,0.076038", \ "0.030535,0.031933,0.033855,0.037524,0.044464,0.057456,0.082545", \ "0.034185,0.035950,0.038344,0.042819,0.050945,0.065501,0.092010", \ "0.035213,0.037468,0.040522,0.046174,0.056222,0.073425,0.102814", \ "0.032994,0.035824,0.039652,0.046659,0.058960,0.079733,0.113574"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.039456,0.041851,0.045214,0.051853,0.064918,0.090631,0.141503", \ "0.040281,0.042689,0.046071,0.052739,0.065837,0.091588,0.142476", \ "0.045014,0.047409,0.050778,0.057432,0.070528,0.096295,0.147221", \ "0.056384,0.058687,0.061961,0.068434,0.081262,0.106697,0.157306", \ "0.073462,0.076187,0.079877,0.086902,0.099800,0.124547,0.174351", \ "0.091797,0.095020,0.099441,0.107788,0.123275,0.150794,0.199837", \ "0.111868,0.115512,0.120564,0.130193,0.148008,0.179963,0.234642"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009053,0.010007,0.011351,0.014047,0.019434,0.030185,0.051666", \ "0.009052,0.010006,0.011351,0.014050,0.019434,0.030185,0.051667", \ "0.009100,0.010022,0.011358,0.014044,0.019430,0.030184,0.051665", \ "0.010949,0.011820,0.013069,0.015551,0.020484,0.030542,0.051664", \ "0.014865,0.015726,0.016929,0.019296,0.024007,0.033562,0.053096", \ "0.020845,0.021797,0.023092,0.025559,0.030201,0.039224,0.057870", \ "0.028583,0.029638,0.031065,0.033791,0.038807,0.047855,0.065529"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.032110,0.034269,0.037321,0.043378,0.055302,0.078725,0.125045", \ "0.032108,0.034266,0.037320,0.043378,0.055302,0.078727,0.125056", \ "0.032095,0.034261,0.037316,0.043376,0.055301,0.078726,0.125049", \ "0.032704,0.034667,0.037528,0.043350,0.055294,0.078724,0.125047", \ "0.039868,0.041562,0.043707,0.048320,0.058067,0.079125,0.125033", \ "0.049311,0.051280,0.053985,0.059176,0.068675,0.086330,0.126267", \ "0.059338,0.061626,0.064738,0.070710,0.081679,0.100980,0.135989"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016732,0.017847,0.019420,0.022544,0.028753,0.041125,0.065821", \ "0.018306,0.019447,0.021046,0.024209,0.030464,0.042878,0.067605", \ "0.022350,0.023541,0.025193,0.028426,0.034764,0.047269,0.072073", \ "0.026300,0.027778,0.029782,0.033562,0.040596,0.053667,0.078578", \ "0.028330,0.030298,0.032937,0.037778,0.046334,0.061245,0.087935", \ "0.027282,0.029864,0.033316,0.039564,0.050428,0.068435,0.098366", \ "0.022747,0.026036,0.030400,0.038256,0.051695,0.073692,0.108561"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.038578,0.040979,0.044348,0.050984,0.064030,0.089681,0.140357", \ "0.039398,0.041816,0.045201,0.051868,0.064947,0.090637,0.141340", \ "0.044135,0.046538,0.049911,0.056563,0.069637,0.095345,0.146087", \ "0.055549,0.057846,0.061111,0.067584,0.080379,0.105753,0.156186", \ "0.072374,0.075147,0.078871,0.085957,0.098942,0.123621,0.173256", \ "0.090398,0.093686,0.098147,0.106578,0.122154,0.149779,0.198783", \ "0.110156,0.113870,0.118984,0.128691,0.146608,0.178674,0.233471"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.006895,0.007838,0.009182,0.011867,0.017242,0.027973,0.049394", \ "0.006899,0.007843,0.009179,0.011867,0.017241,0.027975,0.049395", \ "0.007231,0.008090,0.009343,0.011926,0.017254,0.027975,0.049395", \ "0.009435,0.010262,0.011450,0.013842,0.018708,0.028536,0.049402", \ "0.013728,0.014590,0.015780,0.018064,0.022579,0.031870,0.051131", \ "0.020016,0.020962,0.022244,0.024695,0.029227,0.037946,0.056169", \ "0.028228,0.029214,0.030579,0.033216,0.038117,0.046941,0.064174"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025108,0.027165,0.030096,0.035954,0.047613,0.070820,0.117126", \ "0.025106,0.027162,0.030098,0.035954,0.047612,0.070820,0.117126", \ "0.025091,0.027159,0.030095,0.035954,0.047607,0.070820,0.117125", \ "0.025761,0.027630,0.030344,0.035948,0.047605,0.070817,0.117119", \ "0.032202,0.034008,0.036477,0.041059,0.050471,0.071255,0.117115", \ "0.039687,0.041837,0.044819,0.050455,0.060594,0.078552,0.118391", \ "0.047565,0.050118,0.053621,0.060230,0.072127,0.092582,0.128175"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.014724,0.015622,0.016891,0.019410,0.024417,0.034385,0.054278", \ "0.016318,0.017238,0.018528,0.021078,0.026121,0.036124,0.056041", \ "0.020662,0.021666,0.023026,0.025654,0.030770,0.040849,0.060832", \ "0.024995,0.026353,0.028186,0.031592,0.037739,0.048740,0.068942", \ "0.027046,0.028929,0.031449,0.036073,0.044175,0.057793,0.080728", \ "0.025894,0.028389,0.031734,0.037799,0.048303,0.065580,0.093121", \ "0.021173,0.024368,0.028617,0.036283,0.049388,0.070793,0.104225"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.041697,0.044043,0.047345,0.053880,0.066780,0.092282,0.142796", \ "0.042527,0.044891,0.048214,0.054776,0.067722,0.093259,0.143833", \ "0.047293,0.049641,0.052947,0.059495,0.072430,0.097981,0.148576", \ "0.058662,0.060941,0.064168,0.070544,0.083218,0.108439,0.158714", \ "0.076026,0.078665,0.082258,0.089121,0.101777,0.126389,0.175879", \ "0.094670,0.097840,0.102155,0.110352,0.125592,0.152756,0.201524", \ "0.115049,0.118635,0.123602,0.133052,0.150630,0.182233,0.236462"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.006046,0.006809,0.007892,0.010055,0.014364,0.022944,0.040045", \ "0.006047,0.006807,0.007892,0.010054,0.014364,0.022944,0.040050", \ "0.006537,0.007204,0.008179,0.010184,0.014382,0.022943,0.040050", \ "0.009479,0.010141,0.011077,0.012923,0.016596,0.023983,0.040115", \ "0.014420,0.015157,0.016170,0.018109,0.021777,0.028832,0.043130", \ "0.021220,0.022018,0.023121,0.025247,0.029214,0.036472,0.050331", \ "0.029892,0.030713,0.031856,0.034147,0.038519,0.046379,0.060522"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026091,0.028182,0.031149,0.037070,0.048828,0.072168,0.118569", \ "0.026084,0.028181,0.031151,0.037070,0.048825,0.072157,0.118581", \ "0.026079,0.028169,0.031148,0.037068,0.048822,0.072164,0.118563", \ "0.026585,0.028510,0.031350,0.037029,0.048825,0.072164,0.118557", \ "0.032888,0.034658,0.037119,0.041698,0.051348,0.072530,0.118553", \ "0.040561,0.042700,0.045640,0.051223,0.061294,0.079359,0.119657", \ "0.048620,0.051139,0.054601,0.061135,0.072944,0.093289,0.129039"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.030881,0.032317,0.034331,0.038294,0.046057,0.061272,0.091220", \ "0.032256,0.033693,0.035712,0.039678,0.047447,0.062669,0.092623", \ "0.035850,0.037291,0.039314,0.043291,0.051082,0.066327,0.096307", \ "0.040661,0.042172,0.044266,0.048365,0.056270,0.071540,0.101556", \ "0.045504,0.047174,0.049496,0.053986,0.062482,0.078621,0.109131", \ "0.049357,0.051311,0.054009,0.059134,0.068700,0.086280,0.118650", \ "0.050954,0.053346,0.056622,0.062719,0.073879,0.093759,0.128725"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.042529,0.044915,0.048258,0.054880,0.067935,0.093683,0.144609", \ "0.044091,0.046480,0.049831,0.056457,0.069522,0.095276,0.146207", \ "0.049407,0.051804,0.055164,0.061813,0.074910,0.100700,0.151657", \ "0.058603,0.060978,0.064307,0.070929,0.084014,0.109807,0.160764", \ "0.071665,0.074355,0.077984,0.085110,0.098618,0.124251,0.175101", \ "0.086734,0.089769,0.093972,0.101935,0.117009,0.144863,0.195939", \ "0.104390,0.107763,0.112446,0.121351,0.137970,0.168523,0.223611"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.015545,0.016703,0.018348,0.021616,0.028097,0.040979,0.066614", \ "0.015543,0.016710,0.018344,0.021616,0.028099,0.040979,0.066615", \ "0.015535,0.016700,0.018344,0.021613,0.028099,0.040980,0.066614", \ "0.016482,0.017601,0.019177,0.022266,0.028473,0.041033,0.066611", \ "0.018864,0.019966,0.021531,0.024638,0.030759,0.042873,0.067229", \ "0.023532,0.024604,0.026098,0.029071,0.034945,0.046713,0.070454", \ "0.030379,0.031501,0.033050,0.036084,0.041840,0.053074,0.075893"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.039960,0.042026,0.044957,0.050825,0.062538,0.085813,0.132040", \ "0.039968,0.042026,0.044958,0.050824,0.062538,0.085812,0.132058", \ "0.039958,0.042023,0.044954,0.050824,0.062537,0.085809,0.132042", \ "0.040395,0.042332,0.045134,0.050818,0.062528,0.085806,0.132044", \ "0.045227,0.046857,0.049244,0.054200,0.064522,0.086217,0.132039", \ "0.052865,0.054616,0.057100,0.062004,0.071699,0.091061,0.133167", \ "0.061301,0.063149,0.065737,0.070925,0.081139,0.100904,0.139625"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026529,0.027945,0.029935,0.033852,0.041538,0.056625,0.086409", \ "0.027895,0.029318,0.031312,0.035233,0.042927,0.058022,0.087812", \ "0.031466,0.032893,0.034894,0.038834,0.046551,0.061677,0.091495", \ "0.035937,0.037470,0.039595,0.043722,0.051681,0.066887,0.096743", \ "0.040083,0.041821,0.044218,0.048828,0.057483,0.073737,0.104315", \ "0.042656,0.044777,0.047676,0.053111,0.063066,0.080982,0.113582", \ "0.042668,0.045268,0.048826,0.055398,0.067222,0.087827,0.123312"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.041656,0.044041,0.047393,0.054012,0.067048,0.092724,0.143519", \ "0.043217,0.045608,0.048964,0.055590,0.068634,0.094317,0.145144", \ "0.048530,0.050929,0.054296,0.060943,0.074018,0.099745,0.150609", \ "0.057739,0.060119,0.063446,0.070063,0.083127,0.108854,0.159742", \ "0.070636,0.073343,0.077001,0.084155,0.097716,0.123308,0.174040", \ "0.085517,0.088575,0.092813,0.100824,0.115962,0.143857,0.194856", \ "0.102958,0.106364,0.111079,0.120067,0.136764,0.167379,0.222460"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013200,0.014344,0.015967,0.019189,0.025613,0.038408,0.063942", \ "0.013201,0.014345,0.015962,0.019190,0.025612,0.038408,0.063944", \ "0.013195,0.014335,0.015960,0.019187,0.025611,0.038409,0.063944", \ "0.014391,0.015492,0.017044,0.020118,0.026187,0.038555,0.063941", \ "0.017118,0.018173,0.019676,0.022676,0.028657,0.040630,0.064728", \ "0.022244,0.023289,0.024744,0.027603,0.033265,0.044693,0.068155", \ "0.029329,0.030453,0.031998,0.034976,0.040591,0.051475,0.073817"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031122,0.033294,0.036359,0.042433,0.054376,0.077821,0.124138", \ "0.031125,0.033298,0.036361,0.042434,0.054377,0.077821,0.124167", \ "0.031116,0.033293,0.036358,0.042432,0.054375,0.077820,0.124151", \ "0.031577,0.033631,0.036558,0.042438,0.054365,0.077817,0.124153", \ "0.036492,0.038338,0.040905,0.045924,0.056427,0.078249,0.124134", \ "0.043232,0.045175,0.047908,0.053218,0.063476,0.083166,0.125280", \ "0.050720,0.052790,0.055646,0.061302,0.072145,0.092673,0.131793"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022910,0.024114,0.025799,0.029117,0.035607,0.048331,0.073408", \ "0.024369,0.025575,0.027266,0.030588,0.037084,0.049814,0.074898", \ "0.028673,0.029883,0.031578,0.034908,0.041422,0.054179,0.079285", \ "0.034152,0.035495,0.037357,0.040934,0.047768,0.060696,0.085849", \ "0.038929,0.040565,0.042794,0.047022,0.054879,0.069128,0.095423", \ "0.041499,0.043564,0.046380,0.051630,0.061099,0.077719,0.106620", \ "0.041258,0.043813,0.047299,0.053741,0.065241,0.085042,0.117979"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.043390,0.045782,0.049143,0.055780,0.068841,0.094553,0.145417", \ "0.044969,0.047367,0.050735,0.057381,0.070455,0.096178,0.147041", \ "0.050235,0.052643,0.056022,0.062691,0.075803,0.101573,0.152520", \ "0.059437,0.061802,0.065154,0.071793,0.084886,0.110654,0.161580", \ "0.072670,0.075350,0.078989,0.086061,0.099530,0.125132,0.175881", \ "0.087987,0.091013,0.095182,0.103126,0.118138,0.145883,0.196777", \ "0.105893,0.109240,0.113909,0.122812,0.139370,0.169785,0.224617"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011089,0.012051,0.013418,0.016142,0.021558,0.032356,0.053899", \ "0.011091,0.012053,0.013418,0.016139,0.021558,0.032355,0.053901", \ "0.011104,0.012058,0.013417,0.016138,0.021557,0.032355,0.053899", \ "0.012655,0.013555,0.014836,0.017372,0.022388,0.032639,0.053899", \ "0.016228,0.017107,0.018347,0.020788,0.025660,0.035384,0.055163", \ "0.021917,0.022879,0.024182,0.026692,0.031459,0.040772,0.059706", \ "0.029254,0.030325,0.031769,0.034551,0.039666,0.048950,0.067085"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.032099,0.034266,0.037320,0.043378,0.055302,0.078727,0.125059", \ "0.032108,0.034266,0.037320,0.043377,0.055302,0.078726,0.125056", \ "0.032096,0.034262,0.037319,0.043377,0.055302,0.078726,0.125057", \ "0.032428,0.034486,0.037430,0.043348,0.055294,0.078725,0.125046", \ "0.037104,0.038956,0.041479,0.046565,0.057143,0.079079,0.125034", \ "0.043746,0.045693,0.048422,0.053743,0.064016,0.083790,0.126072", \ "0.051105,0.053187,0.056051,0.061721,0.072593,0.093152,0.132393"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026529,0.027945,0.029935,0.033852,0.041538,0.056625,0.086409", \ "0.027895,0.029318,0.031312,0.035233,0.042927,0.058022,0.087812", \ "0.031466,0.032893,0.034894,0.038834,0.046551,0.061677,0.091495", \ "0.035937,0.037470,0.039595,0.043722,0.051681,0.066887,0.096743", \ "0.040083,0.041821,0.044218,0.048828,0.057483,0.073737,0.104315", \ "0.042656,0.044777,0.047676,0.053111,0.063066,0.080982,0.113582", \ "0.042668,0.045268,0.048826,0.055398,0.067222,0.087827,0.123312"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.041656,0.044041,0.047393,0.054012,0.067048,0.092724,0.143519", \ "0.043217,0.045608,0.048964,0.055590,0.068634,0.094317,0.145144", \ "0.048530,0.050929,0.054296,0.060943,0.074018,0.099745,0.150609", \ "0.057739,0.060119,0.063446,0.070063,0.083127,0.108854,0.159742", \ "0.070636,0.073343,0.077001,0.084155,0.097716,0.123308,0.174040", \ "0.085517,0.088575,0.092813,0.100824,0.115962,0.143857,0.194856", \ "0.102958,0.106364,0.111079,0.120067,0.136764,0.167379,0.222460"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.013200,0.014344,0.015967,0.019189,0.025613,0.038408,0.063942", \ "0.013201,0.014345,0.015962,0.019190,0.025612,0.038408,0.063944", \ "0.013195,0.014335,0.015960,0.019187,0.025611,0.038409,0.063944", \ "0.014391,0.015492,0.017044,0.020118,0.026187,0.038555,0.063941", \ "0.017118,0.018173,0.019676,0.022676,0.028657,0.040630,0.064728", \ "0.022244,0.023289,0.024744,0.027603,0.033265,0.044693,0.068155", \ "0.029329,0.030453,0.031998,0.034976,0.040591,0.051475,0.073817"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.031122,0.033294,0.036359,0.042433,0.054376,0.077821,0.124138", \ "0.031125,0.033298,0.036361,0.042434,0.054377,0.077821,0.124167", \ "0.031116,0.033293,0.036358,0.042432,0.054375,0.077820,0.124151", \ "0.031577,0.033631,0.036558,0.042438,0.054365,0.077817,0.124153", \ "0.036492,0.038338,0.040905,0.045924,0.056427,0.078249,0.124134", \ "0.043232,0.045175,0.047908,0.053218,0.063476,0.083166,0.125280", \ "0.050720,0.052790,0.055646,0.061302,0.072145,0.092673,0.131793"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022388,0.023774,0.025719,0.029557,0.037115,0.052031,0.081616", \ "0.023748,0.025140,0.027090,0.030936,0.038503,0.053428,0.083021", \ "0.027280,0.028684,0.030649,0.034518,0.042119,0.057082,0.086704", \ "0.031253,0.032812,0.034965,0.039127,0.047104,0.062286,0.091955", \ "0.034415,0.036276,0.038809,0.043591,0.052454,0.068855,0.099508", \ "0.035328,0.037691,0.040874,0.046751,0.057261,0.075634,0.108485", \ "0.033436,0.036374,0.040316,0.047519,0.060212,0.081733,0.117859"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.040787,0.043179,0.046536,0.053152,0.066163,0.091775,0.142413", \ "0.042350,0.044745,0.048106,0.054728,0.067748,0.093368,0.144027", \ "0.047660,0.050063,0.053436,0.060080,0.073133,0.098794,0.149498", \ "0.056888,0.059266,0.062592,0.069200,0.082241,0.107901,0.158602", \ "0.069613,0.072334,0.076015,0.083203,0.096788,0.122366,0.172933", \ "0.084309,0.087389,0.091651,0.099699,0.114892,0.142837,0.193785", \ "0.101540,0.104976,0.109725,0.118757,0.135522,0.166215,0.221327"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.010785,0.011908,0.013508,0.016705,0.023078,0.035809,0.061257", \ "0.010781,0.011908,0.013506,0.016706,0.023081,0.035814,0.061262", \ "0.010870,0.011964,0.013534,0.016712,0.023077,0.035808,0.061253", \ "0.012308,0.013383,0.014896,0.017924,0.023911,0.036080,0.061250", \ "0.015536,0.016541,0.017959,0.020821,0.026609,0.038387,0.062233", \ "0.021116,0.022140,0.023551,0.026300,0.031716,0.042756,0.065873", \ "0.028503,0.029607,0.031124,0.034052,0.039502,0.049989,0.071790"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.024229,0.026287,0.029217,0.035071,0.046721,0.069942,0.116230", \ "0.024232,0.026292,0.029219,0.035070,0.046720,0.069933,0.116247", \ "0.024221,0.026285,0.029216,0.035069,0.046729,0.069943,0.116245", \ "0.024719,0.026656,0.029444,0.035090,0.046711,0.069930,0.116226", \ "0.029039,0.030929,0.033554,0.038658,0.048825,0.070392,0.116227", \ "0.034415,0.036466,0.039329,0.044856,0.055440,0.075386,0.117393", \ "0.040504,0.042730,0.045790,0.051789,0.063163,0.084372,0.123983"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019514,0.020688,0.022335,0.025579,0.031957,0.044524,0.069424", \ "0.020966,0.022146,0.023797,0.027048,0.033433,0.046008,0.070911", \ "0.025225,0.026419,0.028083,0.031352,0.037763,0.050369,0.075300", \ "0.030061,0.031456,0.033368,0.037017,0.043912,0.056872,0.081864", \ "0.033554,0.035341,0.037753,0.042249,0.050397,0.064921,0.091359", \ "0.034358,0.036665,0.039772,0.045492,0.055605,0.072846,0.102204", \ "0.032201,0.035092,0.038968,0.046034,0.058425,0.079252,0.113056"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.042513,0.044911,0.048277,0.054912,0.067954,0.093604,0.144283", \ "0.044092,0.046494,0.049868,0.056511,0.069567,0.095230,0.145914", \ "0.049352,0.051769,0.055153,0.061822,0.074913,0.100624,0.151359", \ "0.058575,0.060944,0.064291,0.070921,0.083993,0.109703,0.160452", \ "0.071646,0.074349,0.077984,0.085110,0.098620,0.124182,0.174786", \ "0.086785,0.089838,0.094041,0.102017,0.117072,0.144859,0.195713", \ "0.104479,0.107865,0.112575,0.121513,0.138122,0.168621,0.223504"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009062,0.010013,0.011358,0.014051,0.019430,0.030178,0.051650", \ "0.009066,0.010008,0.011355,0.014050,0.019429,0.030177,0.051649", \ "0.009231,0.010128,0.011430,0.014077,0.019433,0.030175,0.051647", \ "0.011101,0.011966,0.013193,0.015658,0.020590,0.030621,0.051647", \ "0.015069,0.015933,0.017131,0.019485,0.024157,0.033663,0.053168", \ "0.020994,0.021952,0.023250,0.025728,0.030385,0.039386,0.057969", \ "0.028598,0.029638,0.031064,0.033805,0.038839,0.047940,0.065649"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025109,0.027164,0.030095,0.035953,0.047615,0.070824,0.117126", \ "0.025107,0.027161,0.030095,0.035954,0.047611,0.070824,0.117125", \ "0.025092,0.027154,0.030093,0.035951,0.047613,0.070827,0.117123", \ "0.025448,0.027411,0.030229,0.035928,0.047600,0.070831,0.117124", \ "0.029639,0.031538,0.034147,0.039235,0.049498,0.071198,0.117115", \ "0.034972,0.037008,0.039859,0.045401,0.055986,0.075976,0.118183", \ "0.040956,0.043181,0.046252,0.052260,0.063626,0.084855,0.124603"); } } timing () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.022910,0.024114,0.025799,0.029117,0.035607,0.048331,0.073408", \ "0.024369,0.025575,0.027266,0.030588,0.037084,0.049814,0.074898", \ "0.028673,0.029883,0.031578,0.034908,0.041422,0.054179,0.079285", \ "0.034152,0.035495,0.037357,0.040934,0.047768,0.060696,0.085849", \ "0.038929,0.040565,0.042794,0.047022,0.054879,0.069128,0.095423", \ "0.041499,0.043564,0.046380,0.051630,0.061099,0.077719,0.106620", \ "0.041258,0.043813,0.047299,0.053741,0.065241,0.085042,0.117979"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.043390,0.045782,0.049143,0.055780,0.068841,0.094553,0.145417", \ "0.044969,0.047367,0.050735,0.057381,0.070455,0.096178,0.147041", \ "0.050235,0.052643,0.056022,0.062691,0.075803,0.101573,0.152520", \ "0.059437,0.061802,0.065154,0.071793,0.084886,0.110654,0.161580", \ "0.072670,0.075350,0.078989,0.086061,0.099530,0.125132,0.175881", \ "0.087987,0.091013,0.095182,0.103126,0.118138,0.145883,0.196777", \ "0.105893,0.109240,0.113909,0.122812,0.139370,0.169785,0.224617"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.011089,0.012051,0.013418,0.016142,0.021558,0.032356,0.053899", \ "0.011091,0.012053,0.013418,0.016139,0.021558,0.032355,0.053901", \ "0.011104,0.012058,0.013417,0.016138,0.021557,0.032355,0.053899", \ "0.012655,0.013555,0.014836,0.017372,0.022388,0.032639,0.053899", \ "0.016228,0.017107,0.018347,0.020788,0.025660,0.035384,0.055163", \ "0.021917,0.022879,0.024182,0.026692,0.031459,0.040772,0.059706", \ "0.029254,0.030325,0.031769,0.034551,0.039666,0.048950,0.067085"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.032099,0.034266,0.037320,0.043378,0.055302,0.078727,0.125059", \ "0.032108,0.034266,0.037320,0.043377,0.055302,0.078726,0.125056", \ "0.032096,0.034262,0.037319,0.043377,0.055302,0.078726,0.125057", \ "0.032428,0.034486,0.037430,0.043348,0.055294,0.078725,0.125046", \ "0.037104,0.038956,0.041479,0.046565,0.057143,0.079079,0.125034", \ "0.043746,0.045693,0.048422,0.053743,0.064016,0.083790,0.126072", \ "0.051105,0.053187,0.056051,0.061721,0.072593,0.093152,0.132393"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.019514,0.020688,0.022335,0.025579,0.031957,0.044524,0.069424", \ "0.020966,0.022146,0.023797,0.027048,0.033433,0.046008,0.070911", \ "0.025225,0.026419,0.028083,0.031352,0.037763,0.050369,0.075300", \ "0.030061,0.031456,0.033368,0.037017,0.043912,0.056872,0.081864", \ "0.033554,0.035341,0.037753,0.042249,0.050397,0.064921,0.091359", \ "0.034358,0.036665,0.039772,0.045492,0.055605,0.072846,0.102204", \ "0.032201,0.035092,0.038968,0.046034,0.058425,0.079252,0.113056"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.042513,0.044911,0.048277,0.054912,0.067954,0.093604,0.144283", \ "0.044092,0.046494,0.049868,0.056511,0.069567,0.095230,0.145914", \ "0.049352,0.051769,0.055153,0.061822,0.074913,0.100624,0.151359", \ "0.058575,0.060944,0.064291,0.070921,0.083993,0.109703,0.160452", \ "0.071646,0.074349,0.077984,0.085110,0.098620,0.124182,0.174786", \ "0.086785,0.089838,0.094041,0.102017,0.117072,0.144859,0.195713", \ "0.104479,0.107865,0.112575,0.121513,0.138122,0.168621,0.223504"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.009062,0.010013,0.011358,0.014051,0.019430,0.030178,0.051650", \ "0.009066,0.010008,0.011355,0.014050,0.019429,0.030177,0.051649", \ "0.009231,0.010128,0.011430,0.014077,0.019433,0.030175,0.051647", \ "0.011101,0.011966,0.013193,0.015658,0.020590,0.030621,0.051647", \ "0.015069,0.015933,0.017131,0.019485,0.024157,0.033663,0.053168", \ "0.020994,0.021952,0.023250,0.025728,0.030385,0.039386,0.057969", \ "0.028598,0.029638,0.031064,0.033805,0.038839,0.047940,0.065649"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.025109,0.027164,0.030095,0.035953,0.047615,0.070824,0.117126", \ "0.025107,0.027161,0.030095,0.035954,0.047611,0.070824,0.117125", \ "0.025092,0.027154,0.030093,0.035951,0.047613,0.070827,0.117123", \ "0.025448,0.027411,0.030229,0.035928,0.047600,0.070831,0.117124", \ "0.029639,0.031538,0.034147,0.039235,0.049498,0.071198,0.117115", \ "0.034972,0.037008,0.039859,0.045401,0.055986,0.075976,0.118183", \ "0.040956,0.043181,0.046252,0.052260,0.063626,0.084855,0.124603"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.016829,0.017783,0.019120,0.021749,0.026910,0.037058,0.057137", \ "0.018321,0.019279,0.020619,0.023255,0.028421,0.038576,0.058659", \ "0.022913,0.023893,0.025251,0.027904,0.033092,0.043274,0.063379", \ "0.028378,0.029636,0.031347,0.034571,0.040496,0.051306,0.071535", \ "0.032007,0.033711,0.036014,0.040281,0.047895,0.060996,0.083541", \ "0.032721,0.034951,0.037963,0.043514,0.053263,0.069711,0.096497", \ "0.030371,0.033180,0.036961,0.043860,0.055931,0.076150,0.108437"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.045643,0.047985,0.051284,0.057814,0.070714,0.096197,0.146725", \ "0.047241,0.049591,0.052899,0.059445,0.072361,0.097866,0.148426", \ "0.052506,0.054862,0.058181,0.064743,0.077697,0.103261,0.153888", \ "0.061719,0.064041,0.067323,0.073856,0.086783,0.112329,0.162934", \ "0.075247,0.077797,0.081316,0.088247,0.101469,0.126857,0.177293", \ "0.090836,0.093769,0.097832,0.105598,0.120347,0.147754,0.198307", \ "0.109071,0.112322,0.116884,0.125585,0.141855,0.171937,0.226360"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.007850,0.008609,0.009689,0.011841,0.016140,0.024722,0.041844", \ "0.007851,0.008608,0.009686,0.011841,0.016141,0.024722,0.041841", \ "0.008124,0.008825,0.009838,0.011899,0.016144,0.024724,0.041845", \ "0.010802,0.011468,0.012403,0.014265,0.017981,0.025550,0.041884", \ "0.015571,0.016297,0.017295,0.019233,0.022929,0.030091,0.044578", \ "0.022017,0.022830,0.023950,0.026107,0.030146,0.037515,0.051575", \ "0.030067,0.030946,0.032174,0.034579,0.039095,0.047128,0.061552"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("0.026087,0.028180,0.031149,0.037071,0.048832,0.072155,0.118570", \ "0.026089,0.028173,0.031150,0.037071,0.048825,0.072155,0.118586", \ "0.026078,0.028172,0.031148,0.037069,0.048829,0.072168,0.118579", \ "0.026352,0.028359,0.031224,0.037063,0.048821,0.072155,0.118564", \ "0.030430,0.032318,0.034955,0.040062,0.050529,0.072457,0.118561", \ "0.035823,0.037860,0.040719,0.046286,0.056907,0.077005,0.119529", \ "0.041842,0.044056,0.047139,0.053161,0.064561,0.085830,0.125713"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.384794,3.408618,3.430397,3.459858,3.509349,3.577286,3.661751", \ "3.407631,3.402433,3.457675,3.487398,3.548783,3.640306,3.720552", \ "3.374358,3.400228,3.425615,3.479889,3.538363,3.632562,3.728916", \ "3.526433,3.535255,3.538055,3.570329,3.604311,3.678390,3.753984", \ "4.204899,4.192112,4.153030,4.072623,3.967473,3.944096,3.925715", \ "5.381729,5.319240,5.257052,5.135450,4.918582,4.615508,4.403751", \ "7.009747,6.954399,6.876477,6.707311,6.404146,5.897134,5.296307"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.604765,8.620965,8.633206,8.684906,8.727335,8.602332,8.747832", \ "8.474276,8.496876,8.559940,8.611683,8.589082,8.601515,8.728051", \ "8.482623,8.490123,8.494427,8.531051,8.589262,8.559466,8.655312", \ "8.879271,8.867675,8.829329,8.780340,8.772190,8.640988,8.711371", \ "9.833508,9.748631,9.721487,9.599543,9.362468,9.229379,8.979186", \ "11.138410,11.071290,11.026310,10.958630,10.605200,10.312690,9.927480", \ "13.029090,12.940890,12.805640,12.655350,12.333970,11.916850,11.251060"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.344495,2.353388,2.393631,2.422224,2.455022,2.491759,2.542095", \ "2.355894,2.362465,2.386176,2.437240,2.487120,2.543129,2.603313", \ "2.316819,2.335833,2.364158,2.393422,2.446113,2.521303,2.606550", \ "2.547662,2.534112,2.508533,2.513412,2.538943,2.571966,2.628319", \ "3.348327,3.292118,3.224620,3.121874,2.954693,2.860399,2.816599", \ "4.586816,4.529942,4.429422,4.268562,3.983080,3.597850,3.304127", \ "6.298243,6.223283,6.129108,5.939952,5.558868,4.943158,4.245637"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.265883,7.281145,7.335936,7.327943,7.336585,7.366794,7.291224", \ "7.174033,7.197904,7.219586,7.248607,7.329468,7.354334,7.481275", \ "7.145169,7.151169,7.207776,7.238180,7.203705,7.345541,7.407392", \ "7.589018,7.568764,7.538134,7.453436,7.385917,7.435246,7.464003", \ "8.538056,8.485560,8.396814,8.311865,8.171909,7.860500,7.602335", \ "9.671312,9.667028,9.594592,9.541076,9.314518,8.986802,8.554111", \ "11.629840,11.560240,11.447760,11.213490,10.983110,10.582610,9.912009"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.323531,2.357410,2.372746,2.387654,2.439109,2.488044,2.531975", \ "2.313897,2.357219,2.387488,2.409614,2.476645,2.528713,2.588921", \ "2.309413,2.333919,2.358759,2.382888,2.448076,2.520474,2.594873", \ "2.616407,2.589579,2.567448,2.530026,2.541604,2.586480,2.630392", \ "3.431577,3.389420,3.327372,3.217316,3.057340,2.897142,2.839500", \ "4.720739,4.653435,4.575305,4.390108,4.119227,3.724699,3.364719", \ "6.431329,6.372723,6.292442,6.118000,5.737704,5.148007,4.420195"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.249191,7.264539,7.319583,7.305542,7.277142,7.291347,7.338227", \ "7.101958,7.166660,7.188447,7.259771,7.254396,7.319071,7.457105", \ "7.124601,7.128622,7.174706,7.198402,7.132903,7.246620,7.376428", \ "7.599450,7.576829,7.543931,7.474987,7.469585,7.379149,7.439578", \ "8.586951,8.531701,8.439474,8.326577,8.083962,7.850603,7.770799", \ "9.804605,9.753425,9.678982,9.613658,9.405297,9.012490,8.526685", \ "11.810170,11.738660,11.616580,11.415790,11.113460,10.777330,9.807877"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.344495,2.353388,2.393631,2.422224,2.455022,2.491759,2.542095", \ "2.355894,2.362465,2.386176,2.437240,2.487120,2.543129,2.603313", \ "2.316819,2.335833,2.364158,2.393422,2.446113,2.521303,2.606550", \ "2.547662,2.534112,2.508533,2.513412,2.538943,2.571966,2.628319", \ "3.348327,3.292118,3.224620,3.121874,2.954693,2.860399,2.816599", \ "4.586816,4.529942,4.429422,4.268562,3.983080,3.597850,3.304127", \ "6.298243,6.223283,6.129108,5.939952,5.558868,4.943158,4.245637"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.265883,7.281145,7.335936,7.327943,7.336585,7.366794,7.291224", \ "7.174033,7.197904,7.219586,7.248607,7.329468,7.354334,7.481275", \ "7.145169,7.151169,7.207776,7.238180,7.203705,7.345541,7.407392", \ "7.589018,7.568764,7.538134,7.453436,7.385917,7.435246,7.464003", \ "8.538056,8.485560,8.396814,8.311865,8.171909,7.860500,7.602335", \ "9.671312,9.667028,9.594592,9.541076,9.314518,8.986802,8.554111", \ "11.629840,11.560240,11.447760,11.213490,10.983110,10.582610,9.912009"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.340027,1.352634,1.367705,1.376822,1.385636,1.404373,1.412873", \ "1.316950,1.337349,1.355720,1.378247,1.422582,1.442889,1.468145", \ "1.262537,1.274210,1.297860,1.335823,1.367084,1.423007,1.467500", \ "1.640770,1.597815,1.532514,1.474430,1.460648,1.476446,1.500906", \ "2.537699,2.456209,2.362993,2.197402,1.973503,1.786735,1.691951", \ "3.860146,3.781336,3.673479,3.438558,3.074646,2.592025,2.202033", \ "5.616127,5.518154,5.436883,5.186527,4.743580,4.011735,3.199057"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.975482,5.985915,6.038374,5.985032,6.082214,6.078589,5.948092", \ "5.839654,5.856236,5.929787,5.994807,5.988883,6.031152,6.139829", \ "5.855887,5.888029,5.902368,5.875086,5.955759,5.934304,5.932275", \ "6.310640,6.276854,6.241324,6.207608,6.093682,6.157607,5.939131", \ "6.973950,7.007438,7.049406,6.967036,6.857885,6.603618,6.362483", \ "8.257279,8.223441,8.155777,8.094067,8.088074,7.553482,7.132539", \ "10.261310,10.171950,10.061110,9.830257,9.574023,9.329305,8.458269"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.347225,1.344859,1.362090,1.371773,1.394244,1.397980,1.409699", \ "1.316076,1.335176,1.357548,1.387507,1.423469,1.445994,1.468941", \ "1.275029,1.294030,1.309332,1.337690,1.385939,1.433044,1.467921", \ "1.721326,1.668565,1.622485,1.528165,1.486339,1.496058,1.506425", \ "2.634795,2.557949,2.472427,2.312428,2.082809,1.829401,1.724817", \ "3.987479,3.898652,3.787484,3.594641,3.220719,2.736236,2.285631", \ "5.724379,5.676998,5.558759,5.365974,4.947967,4.227325,3.386174"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.958893,5.969627,5.972207,6.046427,5.986072,6.064693,6.059901", \ "5.809360,5.833932,5.888292,5.916267,5.951703,6.030914,5.898948", \ "5.836045,5.835117,5.849758,5.881712,5.916150,5.902240,5.878900", \ "6.321532,6.285565,6.247368,6.173926,6.112350,6.139327,6.115465", \ "7.019197,7.080177,7.091639,7.037754,6.876697,6.624150,6.366326", \ "8.384447,8.307551,8.285523,8.214065,8.186584,7.774727,7.182673", \ "10.440190,10.349190,10.237520,10.033250,9.700297,9.412140,8.568741"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.323531,2.357410,2.372746,2.387654,2.439109,2.488044,2.531975", \ "2.313897,2.357219,2.387488,2.409614,2.476645,2.528713,2.588921", \ "2.309413,2.333919,2.358759,2.382888,2.448076,2.520474,2.594873", \ "2.616407,2.589579,2.567448,2.530026,2.541604,2.586480,2.630392", \ "3.431577,3.389420,3.327372,3.217316,3.057340,2.897142,2.839500", \ "4.720739,4.653435,4.575305,4.390108,4.119227,3.724699,3.364719", \ "6.431329,6.372723,6.292442,6.118000,5.737704,5.148007,4.420195"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.249191,7.264539,7.319583,7.305542,7.277142,7.291347,7.338227", \ "7.101958,7.166660,7.188447,7.259771,7.254396,7.319071,7.457105", \ "7.124601,7.128622,7.174706,7.198402,7.132903,7.246620,7.376428", \ "7.599450,7.576829,7.543931,7.474987,7.469585,7.379149,7.439578", \ "8.586951,8.531701,8.439474,8.326577,8.083962,7.850603,7.770799", \ "9.804605,9.753425,9.678982,9.613658,9.405297,9.012490,8.526685", \ "11.810170,11.738660,11.616580,11.415790,11.113460,10.777330,9.807877"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.347225,1.344859,1.362090,1.371773,1.394244,1.397980,1.409699", \ "1.316076,1.335176,1.357548,1.387507,1.423469,1.445994,1.468941", \ "1.275029,1.294030,1.309332,1.337690,1.385939,1.433044,1.467921", \ "1.721326,1.668565,1.622485,1.528165,1.486339,1.496058,1.506425", \ "2.634795,2.557949,2.472427,2.312428,2.082809,1.829401,1.724817", \ "3.987479,3.898652,3.787484,3.594641,3.220719,2.736236,2.285631", \ "5.724379,5.676998,5.558759,5.365974,4.947967,4.227325,3.386174"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.958893,5.969627,5.972207,6.046427,5.986072,6.064693,6.059901", \ "5.809360,5.833932,5.888292,5.916267,5.951703,6.030914,5.898948", \ "5.836045,5.835117,5.849758,5.881712,5.916150,5.902240,5.878900", \ "6.321532,6.285565,6.247368,6.173926,6.112350,6.139327,6.115465", \ "7.019197,7.080177,7.091639,7.037754,6.876697,6.624150,6.366326", \ "8.384447,8.307551,8.285523,8.214065,8.186584,7.774727,7.182673", \ "10.440190,10.349190,10.237520,10.033250,9.700297,9.412140,8.568741"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.355718,1.356960,1.371007,1.384996,1.393809,1.397482,1.413103", \ "1.322327,1.339818,1.352608,1.389212,1.416054,1.446572,1.474893", \ "1.301697,1.299495,1.321112,1.351533,1.386779,1.434144,1.480082", \ "1.789989,1.753235,1.696096,1.614648,1.519430,1.509477,1.527620", \ "2.697366,2.655900,2.567728,2.407306,2.187942,1.919384,1.773675", \ "4.073708,4.019448,3.909803,3.742583,3.368204,2.903770,2.383136", \ "5.836805,5.792393,5.696844,5.532910,5.145750,4.472821,3.600352"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("5.956295,5.967157,6.012433,6.013658,5.994063,6.062743,5.933609", \ "5.786752,5.824397,5.865893,5.920145,5.909033,5.986588,5.882280", \ "5.812333,5.809377,5.809404,5.838513,5.829523,5.865352,5.908925", \ "6.331478,6.294375,6.253568,6.175774,6.077170,6.097972,5.851848", \ "7.118269,7.129454,7.139342,7.109831,6.925047,6.648781,6.371534", \ "8.479611,8.445011,8.377625,8.296081,8.299814,7.882090,7.243309", \ "10.633120,10.540550,10.427850,10.203160,9.980146,9.583011,8.789266"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.272751,4.295639,4.316425,4.345786,4.410024,4.498242,4.601203", \ "4.176427,4.175395,4.199395,4.244845,4.314173,4.414238,4.511413", \ "4.086546,4.112399,4.144137,4.190932,4.272082,4.363597,4.463000", \ "4.266062,4.278561,4.289199,4.309636,4.357873,4.420817,4.501243", \ "4.911409,4.896764,4.862515,4.809188,4.736010,4.719512,4.715108", \ "6.077607,6.040908,5.988038,5.884648,5.692623,5.412850,5.226935", \ "7.765322,7.724731,7.646814,7.486166,7.221286,6.759473,6.165737"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.216240,10.225930,10.229340,10.264630,10.145610,10.221930,10.128980", \ "10.121820,10.145240,10.156610,10.192490,10.193370,10.201840,10.329730", \ "9.955860,9.972218,9.987586,10.016240,10.130850,10.235250,10.199400", \ "9.978534,9.979090,9.984231,10.000370,9.992891,10.024030,10.179630", \ "10.407360,10.382540,10.346290,10.281860,10.195280,10.122110,10.203000", \ "11.156610,11.164540,11.148780,11.091380,10.925920,10.807070,10.539920", \ "12.585660,12.527340,12.438730,12.231460,12.134670,11.688930,11.448270"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.214233,3.227713,3.255461,3.277984,3.345561,3.402002,3.465282", \ "3.116905,3.138346,3.157951,3.188424,3.246204,3.299859,3.374348", \ "3.053126,3.071560,3.091929,3.125798,3.186240,3.247715,3.327832", \ "3.237716,3.234669,3.238019,3.271919,3.285147,3.327125,3.363416", \ "4.014756,3.982640,3.934313,3.849254,3.710148,3.643418,3.592880", \ "5.251315,5.212108,5.133862,5.000005,4.760327,4.400169,4.129800", \ "7.025590,6.958894,6.867366,6.688673,6.352262,5.813309,5.116026"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.878128,8.929671,8.937940,8.933721,8.920270,8.994771,8.824820", \ "8.781758,8.842436,8.858983,8.866829,8.965263,9.011992,9.008901", \ "8.617139,8.632529,8.691797,8.658989,8.770936,8.914108,8.951090", \ "8.641304,8.641777,8.643064,8.613364,8.666955,8.678052,8.841150", \ "9.083761,9.082530,9.049955,9.022391,8.875981,8.929587,8.956210", \ "9.726267,9.722925,9.709580,9.661923,9.708612,9.353778,9.293023", \ "11.187910,11.118890,11.055980,10.920300,10.705770,10.536790,10.054680"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.164745,3.206272,3.212550,3.272433,3.304965,3.376360,3.449417", \ "3.074227,3.116149,3.123395,3.161874,3.218479,3.276499,3.354726", \ "3.040530,3.042482,3.060028,3.121020,3.172134,3.226152,3.306803", \ "3.282113,3.254600,3.245229,3.259431,3.285327,3.309661,3.356290", \ "4.076009,4.058412,4.007492,3.924284,3.779325,3.665984,3.606847", \ "5.383308,5.329013,5.239763,5.104533,4.875375,4.527501,4.174812", \ "7.173265,7.127242,7.029591,6.863212,6.540550,6.001495,5.282351"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.862616,8.871213,8.928284,8.914519,8.910278,8.925778,8.811515", \ "8.756034,8.816303,8.832622,8.881991,8.917376,8.993238,9.008760", \ "8.598237,8.612007,8.623829,8.682818,8.745063,8.860750,8.922251", \ "8.632665,8.631812,8.624751,8.645049,8.610050,8.752334,8.781800", \ "9.094403,9.091033,9.057049,9.000225,8.982088,8.915532,8.934876", \ "9.817534,9.768821,9.752244,9.771956,9.615125,9.538916,9.297524", \ "11.325900,11.255050,11.145930,11.032680,10.782050,10.600860,10.034440"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.214233,3.227713,3.255461,3.277984,3.345561,3.402002,3.465282", \ "3.116905,3.138346,3.157951,3.188424,3.246204,3.299859,3.374348", \ "3.053126,3.071560,3.091929,3.125798,3.186240,3.247715,3.327832", \ "3.237716,3.234669,3.238019,3.271919,3.285147,3.327125,3.363416", \ "4.014756,3.982640,3.934313,3.849254,3.710148,3.643418,3.592880", \ "5.251315,5.212108,5.133862,5.000005,4.760327,4.400169,4.129800", \ "7.025590,6.958894,6.867366,6.688673,6.352262,5.813309,5.116026"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.878128,8.929671,8.937940,8.933721,8.920270,8.994771,8.824820", \ "8.781758,8.842436,8.858983,8.866829,8.965263,9.011992,9.008901", \ "8.617139,8.632529,8.691797,8.658989,8.770936,8.914108,8.951090", \ "8.641304,8.641777,8.643064,8.613364,8.666955,8.678052,8.841150", \ "9.083761,9.082530,9.049955,9.022391,8.875981,8.929587,8.956210", \ "9.726267,9.722925,9.709580,9.661923,9.708612,9.353778,9.293023", \ "11.187910,11.118890,11.055980,10.920300,10.705770,10.536790,10.054680"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.211500,2.207975,2.219619,2.252142,2.271476,2.308471,2.345434", \ "2.096690,2.112921,2.126148,2.148495,2.181720,2.214391,2.245909", \ "2.020746,2.043128,2.053869,2.086106,2.117621,2.155397,2.194094", \ "2.299624,2.258692,2.232429,2.219223,2.231352,2.226629,2.243922", \ "3.160172,3.102706,3.036561,2.914888,2.718859,2.573244,2.469944", \ "4.486987,4.427923,4.334991,4.133906,3.842381,3.406883,3.042568", \ "6.313924,6.228615,6.141040,5.924280,5.514197,4.881379,4.086181"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.589404,7.591711,7.637300,7.658151,7.663067,7.546901,7.584897", \ "7.489603,7.502222,7.559537,7.569159,7.606250,7.642217,7.526722", \ "7.326509,7.337243,7.379740,7.353403,7.429875,7.470821,7.389225", \ "7.347830,7.344939,7.340899,7.372102,7.387326,7.450483,7.405555", \ "7.550901,7.580666,7.656198,7.725728,7.676617,7.549547,7.596619", \ "8.317497,8.301407,8.272221,8.242491,8.220744,8.203778,7.860355", \ "9.805713,9.751934,9.630365,9.502620,9.353455,9.142954,8.650846"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.190813,2.203754,2.212957,2.223064,2.250666,2.284344,2.331932", \ "2.079026,2.089485,2.107351,2.134811,2.164854,2.194408,2.244970", \ "1.995165,2.031999,2.045131,2.067000,2.110691,2.142970,2.195531", \ "2.342305,2.318409,2.281999,2.228083,2.230387,2.236838,2.247713", \ "3.248419,3.183725,3.118580,3.004206,2.800211,2.603593,2.506552", \ "4.613221,4.537036,4.463720,4.281403,3.974580,3.533320,3.103677", \ "6.454000,6.403350,6.302265,6.095206,5.703530,5.077336,4.266866"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.573176,7.576705,7.622282,7.633244,7.654472,7.511558,7.571865", \ "7.464559,7.476778,7.533677,7.570932,7.578245,7.618879,7.512950", \ "7.308267,7.317519,7.356579,7.351553,7.435622,7.441441,7.471971", \ "7.339601,7.335520,7.338336,7.370092,7.294352,7.424471,7.371207", \ "7.561714,7.576686,7.662911,7.711847,7.642516,7.538919,7.377746", \ "8.373938,8.342851,8.302659,8.292037,8.252042,8.196457,7.818044", \ "9.925760,9.846122,9.764944,9.642154,9.428414,9.205982,8.696005"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.164745,3.206272,3.212550,3.272433,3.304965,3.376360,3.449417", \ "3.074227,3.116149,3.123395,3.161874,3.218479,3.276499,3.354726", \ "3.040530,3.042482,3.060028,3.121020,3.172134,3.226152,3.306803", \ "3.282113,3.254600,3.245229,3.259431,3.285327,3.309661,3.356290", \ "4.076009,4.058412,4.007492,3.924284,3.779325,3.665984,3.606847", \ "5.383308,5.329013,5.239763,5.104533,4.875375,4.527501,4.174812", \ "7.173265,7.127242,7.029591,6.863212,6.540550,6.001495,5.282351"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.862616,8.871213,8.928284,8.914519,8.910278,8.925778,8.811515", \ "8.756034,8.816303,8.832622,8.881991,8.917376,8.993238,9.008760", \ "8.598237,8.612007,8.623829,8.682818,8.745063,8.860750,8.922251", \ "8.632665,8.631812,8.624751,8.645049,8.610050,8.752334,8.781800", \ "9.094403,9.091033,9.057049,9.000225,8.982088,8.915532,8.934876", \ "9.817534,9.768821,9.752244,9.771956,9.615125,9.538916,9.297524", \ "11.325900,11.255050,11.145930,11.032680,10.782050,10.600860,10.034440"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.190813,2.203754,2.212957,2.223064,2.250666,2.284344,2.331932", \ "2.079026,2.089485,2.107351,2.134811,2.164854,2.194408,2.244970", \ "1.995165,2.031999,2.045131,2.067000,2.110691,2.142970,2.195531", \ "2.342305,2.318409,2.281999,2.228083,2.230387,2.236838,2.247713", \ "3.248419,3.183725,3.118580,3.004206,2.800211,2.603593,2.506552", \ "4.613221,4.537036,4.463720,4.281403,3.974580,3.533320,3.103677", \ "6.454000,6.403350,6.302265,6.095206,5.703530,5.077336,4.266866"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.573176,7.576705,7.622282,7.633244,7.654472,7.511558,7.571865", \ "7.464559,7.476778,7.533677,7.570932,7.578245,7.618879,7.512950", \ "7.308267,7.317519,7.356579,7.351553,7.435622,7.441441,7.471971", \ "7.339601,7.335520,7.338336,7.370092,7.294352,7.424471,7.371207", \ "7.561714,7.576686,7.662911,7.711847,7.642516,7.538919,7.377746", \ "8.373938,8.342851,8.302659,8.292037,8.252042,8.196457,7.818044", \ "9.925760,9.846122,9.764944,9.642154,9.428414,9.205982,8.696005"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.134914,2.167055,2.184646,2.205506,2.238051,2.279435,2.317135", \ "2.039563,2.057345,2.061040,2.093900,2.140070,2.190012,2.228055", \ "1.986414,1.995741,2.001232,2.037052,2.082143,2.136675,2.179707", \ "2.402609,2.374824,2.332100,2.265861,2.228811,2.227469,2.239562", \ "3.324541,3.263088,3.208736,3.081799,2.891804,2.641661,2.524783", \ "4.732849,4.665396,4.588598,4.415410,4.121727,3.681091,3.169895", \ "6.598616,6.561617,6.469722,6.293706,5.914715,5.293517,4.462107"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("7.570480,7.574131,7.619843,7.630936,7.628208,7.567340,7.570166", \ "7.445896,7.458111,7.514548,7.497795,7.563207,7.601379,7.501499", \ "7.288903,7.296040,7.321429,7.320761,7.395041,7.408735,7.596549", \ "7.330503,7.325434,7.341203,7.290731,7.330473,7.395948,7.337642", \ "7.572603,7.586239,7.670220,7.712223,7.554660,7.528151,7.355415", \ "8.419587,8.393391,8.400893,8.377481,8.444671,8.096589,7.814905", \ "10.075570,9.993140,9.909758,9.751950,9.549210,9.442598,8.749585"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.387008,3.410344,3.439344,3.481250,3.531499,3.592693,3.662003", \ "3.408206,3.420531,3.448560,3.488975,3.562057,3.641692,3.730739", \ "3.282859,3.313646,3.350132,3.411890,3.476882,3.598179,3.713447", \ "3.189750,3.227964,3.246749,3.321653,3.402747,3.507627,3.655366", \ "3.539702,3.547407,3.519831,3.514025,3.485390,3.565951,3.662152", \ "4.294389,4.266970,4.219555,4.143194,4.033774,3.911710,3.860484", \ "5.456437,5.431819,5.371143,5.255345,5.051731,4.742040,4.403057"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.507770,11.512290,11.556630,11.565450,11.493200,11.534690,11.447530", \ "11.381270,11.434750,11.444100,11.493940,11.485240,11.481580,11.686120", \ "11.314390,11.323200,11.329030,11.370430,11.353470,11.379840,11.605250", \ "11.639780,11.632630,11.618680,11.620940,11.638830,11.518050,11.670780", \ "12.492060,12.465630,12.414770,12.382630,12.155460,12.026820,11.826960", \ "13.846620,13.815700,13.803320,13.646050,13.470260,12.981800,12.614630", \ "15.619760,15.571350,15.489970,15.396320,15.202530,14.710050,13.962100"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.366838,2.384888,2.399911,2.414336,2.454584,2.496304,2.537811", \ "2.354615,2.378636,2.403911,2.441869,2.484699,2.542633,2.591534", \ "2.202243,2.211566,2.267433,2.304609,2.403749,2.492993,2.569435", \ "2.148946,2.149763,2.174148,2.227537,2.302349,2.400130,2.514787", \ "2.576757,2.545580,2.524198,2.471809,2.421448,2.457234,2.534938", \ "3.392002,3.349724,3.295572,3.200149,3.040190,2.848928,2.743293", \ "4.620761,4.585288,4.508662,4.383610,4.124345,3.731438,3.312848"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.180050,10.187880,10.190580,10.183500,10.199480,10.282740,10.192180", \ "10.051870,10.065550,10.075640,10.149860,10.186150,10.243090,10.125140", \ "9.943746,9.953596,10.007220,10.040180,10.029790,10.156830,10.043760", \ "10.318870,10.310680,10.298760,10.285040,10.275850,10.208740,10.109490", \ "11.177040,11.147340,11.100510,10.986070,10.893000,10.774410,10.572630", \ "12.378700,12.380210,12.357240,12.355070,12.160550,11.730080,11.360600", \ "14.164790,14.143270,14.085930,13.975010,13.821360,13.340320,12.856470"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.319851,2.359496,2.367679,2.392555,2.442853,2.479986,2.529413", \ "2.304885,2.351073,2.378639,2.410232,2.469628,2.530927,2.590651", \ "2.168028,2.206567,2.247856,2.306292,2.386398,2.478698,2.568580", \ "2.203504,2.214050,2.196639,2.231247,2.293191,2.395236,2.513697", \ "2.652372,2.636482,2.601552,2.560746,2.518131,2.474213,2.541024", \ "3.503420,3.472127,3.425434,3.335913,3.171625,2.972429,2.795487", \ "4.748530,4.692243,4.647470,4.509060,4.310460,3.917071,3.476007"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.156100,10.163850,10.166990,10.163580,10.232170,10.301600,10.169050", \ "10.002000,10.015840,10.026370,10.068430,10.163950,10.186110,10.078650", \ "9.906910,9.915105,9.966657,9.949731,10.034000,10.054240,10.143040", \ "10.320540,10.313620,10.298540,10.253060,10.206600,10.182340,10.070810", \ "11.225830,11.195400,11.145310,11.090730,10.975080,10.790400,10.570150", \ "12.497150,12.494860,12.452200,12.465150,12.256970,11.915300,11.410400", \ "14.360980,14.339420,14.282690,14.155950,13.967010,13.516500,12.835870"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.366838,2.384888,2.399911,2.414336,2.454584,2.496304,2.537811", \ "2.354615,2.378636,2.403911,2.441869,2.484699,2.542633,2.591534", \ "2.202243,2.211566,2.267433,2.304609,2.403749,2.492993,2.569435", \ "2.148946,2.149763,2.174148,2.227537,2.302349,2.400130,2.514787", \ "2.576757,2.545580,2.524198,2.471809,2.421448,2.457234,2.534938", \ "3.392002,3.349724,3.295572,3.200149,3.040190,2.848928,2.743293", \ "4.620761,4.585288,4.508662,4.383610,4.124345,3.731438,3.312848"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.180050,10.187880,10.190580,10.183500,10.199480,10.282740,10.192180", \ "10.051870,10.065550,10.075640,10.149860,10.186150,10.243090,10.125140", \ "9.943746,9.953596,10.007220,10.040180,10.029790,10.156830,10.043760", \ "10.318870,10.310680,10.298760,10.285040,10.275850,10.208740,10.109490", \ "11.177040,11.147340,11.100510,10.986070,10.893000,10.774410,10.572630", \ "12.378700,12.380210,12.357240,12.355070,12.160550,11.730080,11.360600", \ "14.164790,14.143270,14.085930,13.975010,13.821360,13.340320,12.856470"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.365537,1.356524,1.379784,1.381953,1.385655,1.402704,1.410568", \ "1.315404,1.323092,1.359680,1.387759,1.423259,1.448206,1.472556", \ "1.098506,1.132215,1.171980,1.220638,1.311313,1.379550,1.441555", \ "1.134100,1.113906,1.107578,1.132365,1.203769,1.286439,1.379058", \ "1.616287,1.586846,1.544318,1.471441,1.378220,1.344838,1.399539", \ "2.556026,2.491822,2.416949,2.280399,2.044153,1.786906,1.626118", \ "3.865985,3.802247,3.693436,3.541714,3.205670,2.738391,2.228968"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.787201,8.830395,8.845506,8.875673,8.918058,8.794999,8.988441", \ "8.655364,8.718041,8.755609,8.761919,8.855860,8.964171,8.966198", \ "8.594900,8.605482,8.610947,8.658575,8.767096,8.876828,8.917361", \ "8.926564,8.920506,8.957021,8.931089,8.956511,8.964774,9.030278", \ "9.742502,9.796898,9.756010,9.701718,9.573261,9.394218,9.330359", \ "10.846730,10.871310,10.867290,10.867270,10.762410,10.503740,10.148400", \ "12.692690,12.651090,12.608390,12.559760,12.344130,12.107860,11.466530"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.356706,1.356767,1.375695,1.375759,1.387792,1.407148,1.405785", \ "1.317020,1.334873,1.354395,1.379746,1.419049,1.447306,1.474180", \ "1.116656,1.131133,1.183191,1.227725,1.314060,1.384218,1.444771", \ "1.208653,1.204746,1.190015,1.168502,1.223165,1.300828,1.384722", \ "1.724520,1.684319,1.655441,1.580904,1.480220,1.385975,1.429279", \ "2.647838,2.606987,2.533058,2.420893,2.196853,1.924387,1.678927", \ "3.956458,3.888940,3.816675,3.674109,3.407593,2.940499,2.400938"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("8.764714,8.771344,8.824083,8.814957,8.888349,8.777443,8.966235", \ "8.607811,8.620550,8.689674,8.714540,8.788360,8.903075,8.909281", \ "8.559456,8.569781,8.572789,8.648242,8.721017,8.816598,8.878658", \ "8.932586,8.958618,8.958214,8.945921,8.924177,8.940519,8.912017", \ "9.811572,9.857597,9.801305,9.720252,9.595445,9.372367,9.341688", \ "10.992830,10.968440,10.960310,10.987570,10.897640,10.562700,10.254980", \ "12.886020,12.845160,12.837710,12.720210,12.628770,12.299440,11.731590"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.352922,2.378383,2.395285,2.416057,2.448581,2.488636,2.532553", \ "2.340870,2.374410,2.390294,2.432014,2.480316,2.535851,2.594141", \ "2.206783,2.223078,2.244355,2.314027,2.393399,2.480415,2.573687", \ "2.159134,2.171057,2.183873,2.224893,2.306758,2.401588,2.513198", \ "2.604902,2.579094,2.545425,2.513477,2.452905,2.467841,2.526066", \ "3.430191,3.394551,3.346925,3.241004,3.077287,2.883381,2.754080", \ "4.713894,4.650669,4.596914,4.423278,4.182805,3.795174,3.375958"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.843340,10.855060,10.852220,10.875860,10.891640,10.837790,10.977470", \ "10.718770,10.732200,10.741460,10.811650,10.866700,10.713320,10.926980", \ "10.607670,10.617170,10.669940,10.694100,10.662490,10.774820,10.838880", \ "10.931870,10.974290,10.963310,10.965890,10.938750,10.991730,10.903180", \ "11.830630,11.803270,11.760520,11.707300,11.540830,11.316410,11.375560", \ "13.031980,13.033480,13.019230,13.021910,12.798160,12.544930,12.186300", \ "14.830650,14.815380,14.768410,14.690170,14.506830,14.180180,13.564650"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.365493,1.361591,1.373199,1.379746,1.390120,1.406030,1.407727", \ "1.320215,1.332174,1.356986,1.385895,1.414399,1.450507,1.472648", \ "1.104641,1.144733,1.176176,1.236914,1.307975,1.390295,1.448965", \ "1.141340,1.134873,1.124728,1.135891,1.205217,1.290527,1.387215", \ "1.650155,1.618501,1.569553,1.506335,1.407882,1.362554,1.405832", \ "2.606289,2.547706,2.457141,2.315989,2.088574,1.842694,1.643687", \ "3.938032,3.884891,3.783570,3.595112,3.294266,2.806112,2.296382"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.451294,9.458478,9.508248,9.518108,9.587092,9.515130,9.745798", \ "9.341381,9.385532,9.420980,9.468915,9.462072,9.445485,9.685620", \ "9.260565,9.269922,9.289429,9.308663,9.422433,9.335772,9.595886", \ "9.588752,9.583296,9.569015,9.607220,9.545747,9.443792,9.657567", \ "10.415200,10.457910,10.418690,10.375800,10.288670,10.024630,9.808299", \ "11.525310,11.558250,11.565660,11.526040,11.418290,11.172010,10.916480", \ "13.345990,13.354370,13.323890,13.256010,13.126490,12.850320,12.325790"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.364194,1.372231,1.365822,1.379667,1.399818,1.407988,1.413263", \ "1.316866,1.337111,1.358660,1.374786,1.422895,1.448101,1.465997", \ "1.105689,1.132735,1.171662,1.230987,1.314368,1.391962,1.448921", \ "1.226542,1.218616,1.214880,1.186853,1.222258,1.315592,1.390429", \ "1.757622,1.714199,1.684625,1.615018,1.523184,1.413664,1.440578", \ "2.717067,2.649276,2.586645,2.460739,2.244800,1.980009,1.721023", \ "4.053652,3.992770,3.902880,3.760771,3.470094,3.000592,2.481385"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("9.428596,9.434312,9.484684,9.522116,9.553486,9.494776,9.714045", \ "9.288612,9.309726,9.344988,9.407027,9.430298,9.400151,9.644240", \ "9.223581,9.231480,9.233912,9.267266,9.361483,9.286213,9.543665", \ "9.595225,9.588155,9.570454,9.617259,9.506967,9.415986,9.621268", \ "10.519800,10.511970,10.465350,10.426110,10.299150,10.101250,9.950570", \ "11.671360,11.658000,11.661910,11.680320,11.512960,11.274740,11.003850", \ "13.544000,13.553660,13.524830,13.430080,13.275830,13.081200,12.514270"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.269258,4.292531,4.312846,4.358265,4.413151,4.499377,4.600473", \ "4.177773,4.201400,4.222429,4.272615,4.316684,4.416389,4.513783", \ "4.080128,4.105870,4.128746,4.166009,4.236527,4.346710,4.445420", \ "4.023376,4.063610,4.090246,4.140389,4.197779,4.301269,4.424532", \ "4.344549,4.319061,4.328544,4.291274,4.321739,4.379081,4.475938", \ "5.016132,5.003412,4.977286,4.936158,4.860606,4.738158,4.712588", \ "6.177601,6.136197,6.094768,5.989427,5.848096,5.598212,5.265327"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.081410,13.085020,13.128000,13.105230,13.076210,13.158990,13.075310", \ "13.004610,13.012830,13.060900,13.082020,13.063840,13.060830,12.979060", \ "12.849330,12.861200,12.870500,12.879060,12.905270,12.911480,13.155900", \ "12.836020,12.839990,12.838890,12.820840,12.920390,12.907720,12.857510", \ "13.176390,13.167050,13.159620,13.153540,13.117440,13.038410,13.197380", \ "13.924130,13.920390,13.962860,13.895640,13.683010,13.482190,13.536520", \ "15.143690,15.130770,15.096940,15.040190,14.992060,14.742950,14.228700"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.238149,3.256781,3.272594,3.299658,3.347131,3.403865,3.464338", \ "3.127561,3.134397,3.163622,3.204419,3.252214,3.314984,3.377338", \ "2.998376,3.023397,3.054193,3.100892,3.148818,3.236890,3.313639", \ "2.973674,2.996545,3.025293,3.067458,3.124727,3.202739,3.287557", \ "3.350474,3.334829,3.318886,3.290534,3.244906,3.284772,3.338235", \ "4.102039,4.075544,4.028799,3.976363,3.841464,3.688370,3.594057", \ "5.307508,5.280144,5.217991,5.089659,4.910043,4.584752,4.189497"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.754020,11.760520,11.762200,11.796460,11.771430,11.737090,11.821710", \ "11.676100,11.687330,11.693920,11.682940,11.753150,11.807700,11.722920", \ "11.477540,11.535580,11.547640,11.571030,11.598870,11.656310,11.616290", \ "11.465340,11.471300,11.517500,11.474200,11.607730,11.653730,11.703960", \ "11.846960,11.842260,11.821520,11.822760,11.677530,11.747000,11.876950", \ "12.430620,12.466730,12.500820,12.546480,12.504900,12.226400,12.078490", \ "13.714200,13.696230,13.652000,13.600540,13.573480,13.411170,12.918560"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.168541,3.210827,3.229195,3.266061,3.315716,3.376970,3.443885", \ "3.071943,3.088906,3.120538,3.165604,3.220806,3.285822,3.360831", \ "2.965907,2.999810,3.019602,3.067845,3.119322,3.210781,3.302106", \ "2.956247,2.978240,2.985741,3.044542,3.096772,3.175385,3.263145", \ "3.393642,3.395622,3.376781,3.335025,3.289626,3.295205,3.332564", \ "4.185923,4.177180,4.138873,4.060594,3.964552,3.784449,3.624333", \ "5.435416,5.399432,5.333352,5.229747,5.062880,4.740445,4.328081"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.729970,11.736820,11.738460,11.777750,11.816630,11.688830,11.798500", \ "11.630260,11.641300,11.647790,11.687270,11.734320,11.760470,11.677840", \ "11.444390,11.455650,11.511330,11.546360,11.590030,11.609910,11.546840", \ "11.450030,11.465950,11.498640,11.526710,11.493360,11.618790,11.559940", \ "11.854320,11.847720,11.824230,11.816100,11.751670,11.730900,11.655430", \ "12.525410,12.513080,12.542430,12.588550,12.534530,12.417790,12.016520", \ "13.820870,13.797600,13.795840,13.735930,13.656350,13.436720,12.935850"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.238149,3.256781,3.272594,3.299658,3.347131,3.403865,3.464338", \ "3.127561,3.134397,3.163622,3.204419,3.252214,3.314984,3.377338", \ "2.998376,3.023397,3.054193,3.100892,3.148818,3.236890,3.313639", \ "2.973674,2.996545,3.025293,3.067458,3.124727,3.202739,3.287557", \ "3.350474,3.334829,3.318886,3.290534,3.244906,3.284772,3.338235", \ "4.102039,4.075544,4.028799,3.976363,3.841464,3.688370,3.594057", \ "5.307508,5.280144,5.217991,5.089659,4.910043,4.584752,4.189497"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.754020,11.760520,11.762200,11.796460,11.771430,11.737090,11.821710", \ "11.676100,11.687330,11.693920,11.682940,11.753150,11.807700,11.722920", \ "11.477540,11.535580,11.547640,11.571030,11.598870,11.656310,11.616290", \ "11.465340,11.471300,11.517500,11.474200,11.607730,11.653730,11.703960", \ "11.846960,11.842260,11.821520,11.822760,11.677530,11.747000,11.876950", \ "12.430620,12.466730,12.500820,12.546480,12.504900,12.226400,12.078490", \ "13.714200,13.696230,13.652000,13.600540,13.573480,13.411170,12.918560"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.190456,2.205776,2.234067,2.252325,2.276986,2.311116,2.337617", \ "2.106013,2.107419,2.122187,2.157521,2.181692,2.209450,2.260344", \ "1.961767,1.988296,2.008550,2.038299,2.089313,2.138184,2.196366", \ "1.925762,1.941550,1.965260,1.991318,2.040989,2.099024,2.162214", \ "2.378664,2.361011,2.320826,2.280209,2.195863,2.192996,2.220303", \ "3.209241,3.167177,3.119461,3.018407,2.856549,2.637016,2.471102", \ "4.497445,4.452377,4.375737,4.221458,3.960230,3.586897,3.106377"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.361380,10.366730,10.417200,10.459520,10.388800,10.359480,10.632660", \ "10.281940,10.335610,10.347680,10.358930,10.454270,10.314940,10.598270", \ "10.127550,10.141460,10.202190,10.210880,10.314490,10.412650,10.470540", \ "10.117110,10.123500,10.122500,10.154680,10.251130,10.208980,10.426470", \ "10.365440,10.474190,10.467770,10.476790,10.450460,10.505510,10.521190", \ "10.937420,10.961380,10.981540,11.028830,11.070990,10.983940,10.867980", \ "12.240850,12.213680,12.201120,12.193230,12.031360,12.130940,11.641360"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.176735,2.191279,2.211613,2.226839,2.257138,2.296033,2.335656", \ "2.080915,2.084950,2.109624,2.129920,2.155553,2.200050,2.243979", \ "1.949845,1.958962,1.993494,2.010445,2.070497,2.125849,2.178990", \ "1.997595,1.971234,1.964777,1.990430,2.028418,2.094565,2.152437", \ "2.434969,2.439395,2.404613,2.359511,2.274525,2.205102,2.219511", \ "3.318748,3.271438,3.220523,3.136459,2.971950,2.742234,2.523385", \ "4.615681,4.563324,4.503946,4.363302,4.131367,3.754689,3.264959"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("10.338860,10.344670,10.395080,10.377420,10.455820,10.341640,10.560650", \ "10.238170,10.248210,10.303640,10.303410,10.385720,10.265410,10.482470", \ "10.096070,10.108320,10.134870,10.177520,10.276450,10.374540,10.438570", \ "10.102850,10.107860,10.105560,10.135640,10.222760,10.102610,10.371510", \ "10.399600,10.479440,10.471360,10.456960,10.448000,10.491310,10.522490", \ "10.984400,11.007360,11.074570,11.097320,11.103460,11.087120,10.872320", \ "12.343320,12.358640,12.345450,12.327900,12.260660,12.167380,11.855570"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.214234,3.247985,3.251341,3.291379,3.331536,3.377137,3.453328", \ "3.118703,3.119571,3.157877,3.196407,3.225417,3.302034,3.365779", \ "2.986204,3.025660,3.054722,3.091139,3.147088,3.227670,3.303456", \ "2.990041,3.002500,3.025673,3.053474,3.121114,3.193610,3.272858", \ "3.362495,3.353438,3.324763,3.298987,3.248354,3.287556,3.327027", \ "4.156325,4.127641,4.073762,4.009670,3.883555,3.714336,3.613011", \ "5.400059,5.357954,5.277351,5.161855,4.965695,4.635489,4.231123"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("12.419530,12.426380,12.426580,12.421410,12.387790,12.409560,12.606550", \ "12.345410,12.356740,12.363220,12.363220,12.356310,12.394600,12.525410", \ "12.156060,12.150440,12.206880,12.257210,12.260160,12.439050,12.389030", \ "12.121910,12.142140,12.172160,12.150880,12.130700,12.129580,12.387420", \ "12.468440,12.497240,12.475620,12.465060,12.400610,12.257950,12.416500", \ "13.133530,13.129800,13.164380,13.165600,13.129800,13.008040,12.761660", \ "14.346290,14.332060,14.339860,14.300460,14.214990,14.136180,13.696000"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.206881,2.209903,2.230695,2.236763,2.268817,2.292035,2.330064", \ "2.105950,2.103816,2.115653,2.143703,2.174783,2.207995,2.245981", \ "1.957009,1.961411,1.998583,2.023353,2.069775,2.133419,2.184760", \ "1.953021,1.946191,1.968968,1.993313,2.036567,2.098348,2.149954", \ "2.400516,2.374240,2.356905,2.300488,2.225006,2.194530,2.210569", \ "3.257858,3.207591,3.159791,3.064702,2.891856,2.672812,2.499647", \ "4.586218,4.519168,4.450902,4.309600,4.050370,3.650543,3.172077"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.028160,11.049940,11.081870,11.051980,11.109440,11.146640,11.052230", \ "10.952350,10.961700,11.016740,11.002630,11.082640,11.051170,11.302190", \ "10.789380,10.802180,10.824550,10.906950,10.971300,10.896490,11.153730", \ "10.774360,10.778910,10.776540,10.804840,10.912170,10.878960,11.141170", \ "11.087880,11.139960,11.124770,11.077340,11.144920,10.987360,11.170100", \ "11.634270,11.668270,11.689240,11.754580,11.776790,11.564770,11.605760", \ "12.910200,12.887970,12.882870,12.817760,12.809420,12.790270,12.451030"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.172871,2.185472,2.198748,2.205415,2.243391,2.287590,2.323554", \ "2.072158,2.083295,2.082409,2.105087,2.154552,2.191043,2.236177", \ "1.917507,1.929317,1.966587,2.017455,2.049811,2.117843,2.172506", \ "2.011005,1.994091,1.987879,1.981032,2.033891,2.080464,2.147123", \ "2.467062,2.452132,2.429095,2.384449,2.307425,2.215211,2.219683", \ "3.375155,3.325463,3.273937,3.185640,3.024338,2.786329,2.538311", \ "4.691422,4.644822,4.593270,4.451163,4.189321,3.827252,3.333250"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.005620,11.036490,11.059620,11.026920,11.080930,11.125870,11.031740", \ "10.907860,10.917160,10.972140,10.988650,11.051030,11.009440,11.249990", \ "10.755750,10.767370,10.773430,10.801770,10.891880,10.840380,11.094680", \ "10.759070,10.763420,10.789230,10.779230,10.825020,10.845110,10.773670", \ "11.090160,11.146220,11.128530,11.104650,11.115560,10.958320,11.144000", \ "11.683030,11.713290,11.732870,11.759240,11.868420,11.590920,11.619880", \ "13.013840,13.035240,13.030340,12.961680,12.895900,12.870770,12.506240"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.399497,3.420511,3.440945,3.475764,3.530029,3.590171,3.656882", \ "3.404586,3.430343,3.454878,3.487334,3.561619,3.640035,3.719264", \ "3.276479,3.307196,3.339225,3.393962,3.490346,3.593843,3.702235", \ "3.151973,3.182222,3.212728,3.270365,3.353712,3.490784,3.632596", \ "3.399013,3.413864,3.405370,3.406200,3.395006,3.473441,3.603929", \ "4.014962,4.018581,3.977319,3.949281,3.883051,3.774281,3.751234", \ "5.066373,5.028574,4.998321,4.937124,4.803854,4.573652,4.270601"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.975850,13.985670,13.991730,14.050790,13.983250,14.027520,14.233570", \ "13.837020,13.849300,13.858310,13.896240,13.909300,13.932350,14.117950", \ "13.734650,13.789770,13.800310,13.832030,13.851290,13.911820,14.029120", \ "14.042300,14.085830,14.078930,14.045400,14.100030,14.162430,14.112810", \ "14.915680,14.897140,14.862240,14.802250,14.633970,14.501020,14.581740", \ "16.312930,16.274970,16.258320,16.146420,15.877920,15.723580,15.390370", \ "18.107080,18.075370,18.020820,17.928400,17.809040,17.336850,16.813290"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.351697,2.366644,2.395518,2.423983,2.456558,2.493151,2.531508", \ "2.333102,2.369207,2.385806,2.439373,2.483420,2.542087,2.599235", \ "2.168236,2.203644,2.242153,2.300330,2.392278,2.490395,2.580351", \ "2.065342,2.087144,2.117741,2.171997,2.251326,2.378691,2.500175", \ "2.397848,2.387453,2.378225,2.359288,2.319839,2.359713,2.456686", \ "3.069747,3.035902,3.010599,2.948871,2.842299,2.698302,2.623790", \ "4.127128,4.106020,4.057440,4.000354,3.826270,3.537673,3.168822"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("12.573060,12.577040,12.635130,12.683740,12.680510,12.702500,12.973830", \ "12.423510,12.439420,12.500910,12.536200,12.599340,12.581600,12.859570", \ "12.365300,12.382360,12.396270,12.447690,12.514650,12.515230,12.769830", \ "12.676820,12.678980,12.693860,12.685940,12.712440,12.622910,12.850110", \ "13.516160,13.499030,13.467150,13.440620,13.318370,13.180600,13.011250", \ "14.825580,14.850060,14.859770,14.757920,14.605150,14.220940,13.885620", \ "16.623780,16.580810,16.553300,16.495990,16.357360,16.079130,15.518440"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.345805,2.365449,2.381013,2.408601,2.435597,2.487146,2.524863", \ "2.326333,2.333336,2.379375,2.409636,2.462817,2.532235,2.591000", \ "2.171900,2.199283,2.241400,2.296217,2.382180,2.480002,2.569703", \ "2.093498,2.098800,2.111380,2.163339,2.238276,2.369302,2.487176", \ "2.392580,2.392475,2.388083,2.376517,2.356711,2.357871,2.464545", \ "3.095162,3.080698,3.039599,2.983979,2.871231,2.737704,2.626428", \ "4.165133,4.152599,4.081268,4.001369,3.862398,3.586606,3.227989"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.055100,13.067860,13.136530,13.180180,13.246700,13.372660,13.350260", \ "12.960550,12.977740,12.993300,13.067860,13.141460,13.257600,13.238690", \ "12.853090,12.868680,12.912930,12.949850,12.951240,13.154660,13.164900", \ "13.172010,13.174920,13.174870,13.217650,13.253430,13.275670,13.223300", \ "14.047040,14.005200,14.020880,13.963870,13.859530,13.872030,13.715290", \ "15.385100,15.362420,15.409170,15.287420,15.149980,14.949360,14.550320", \ "17.165240,17.128400,17.108530,17.048560,16.928940,16.521610,16.018610"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.351697,2.366644,2.395518,2.423983,2.456558,2.493151,2.531508", \ "2.333102,2.369207,2.385806,2.439373,2.483420,2.542087,2.599235", \ "2.168236,2.203644,2.242153,2.300330,2.392278,2.490395,2.580351", \ "2.065342,2.087144,2.117741,2.171997,2.251326,2.378691,2.500175", \ "2.397848,2.387453,2.378225,2.359288,2.319839,2.359713,2.456686", \ "3.069747,3.035902,3.010599,2.948871,2.842299,2.698302,2.623790", \ "4.127128,4.106020,4.057440,4.000354,3.826270,3.537673,3.168822"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("12.573060,12.577040,12.635130,12.683740,12.680510,12.702500,12.973830", \ "12.423510,12.439420,12.500910,12.536200,12.599340,12.581600,12.859570", \ "12.365300,12.382360,12.396270,12.447690,12.514650,12.515230,12.769830", \ "12.676820,12.678980,12.693860,12.685940,12.712440,12.622910,12.850110", \ "13.516160,13.499030,13.467150,13.440620,13.318370,13.180600,13.011250", \ "14.825580,14.850060,14.859770,14.757920,14.605150,14.220940,13.885620", \ "16.623780,16.580810,16.553300,16.495990,16.357360,16.079130,15.518440"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.356145,1.366369,1.371938,1.384214,1.387747,1.397319,1.402555", \ "1.309891,1.332007,1.358560,1.375096,1.417436,1.442437,1.463335", \ "1.084014,1.119177,1.163739,1.220973,1.298795,1.375062,1.440835", \ "1.038293,1.035840,1.028055,1.060225,1.149636,1.251694,1.364438", \ "1.404508,1.381077,1.350319,1.317004,1.256216,1.235052,1.326117", \ "2.127981,2.109852,2.067053,1.981448,1.833519,1.630283,1.490670", \ "3.266545,3.228688,3.173297,3.062749,2.853795,2.512901,2.080663"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.100390,11.169830,11.187050,11.260780,11.304000,11.441340,11.550700", \ "11.007990,11.030170,11.050550,11.165250,11.194530,11.319190,11.379780", \ "10.904110,10.924850,10.997510,11.049560,11.089350,11.221410,11.321080", \ "11.217730,11.225180,11.281810,11.320240,11.301040,11.338260,11.265140", \ "12.079830,12.095060,12.076900,12.062200,11.899620,11.956600,11.749170", \ "13.237560,13.293550,13.282390,13.344020,13.231230,12.919990,12.559540", \ "15.116480,15.083990,15.083480,15.031230,14.967220,14.608340,13.941890"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.363669,1.362999,1.363449,1.382053,1.397762,1.405802,1.409433", \ "1.299497,1.326904,1.359243,1.376768,1.412364,1.449339,1.470534", \ "1.074939,1.121587,1.152753,1.210715,1.297973,1.380529,1.443534", \ "1.051506,1.061908,1.065940,1.064591,1.152550,1.263671,1.362454", \ "1.412447,1.407022,1.378216,1.346556,1.292019,1.241944,1.330351", \ "2.178804,2.139636,2.096220,2.012731,1.861107,1.681325,1.512109", \ "3.288103,3.259932,3.206626,3.082127,2.902650,2.565866,2.143077"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.635880,11.655120,11.677170,11.730790,11.889570,11.917110,12.083330", \ "11.494500,11.521770,11.590330,11.611140,11.727530,11.824340,12.080730", \ "11.385250,11.405380,11.485300,11.538970,11.659630,11.737440,11.933350", \ "11.706450,11.734650,11.772840,11.832270,11.873260,11.869520,11.977540", \ "12.614930,12.603670,12.596830,12.572830,12.505050,12.448410,12.456000", \ "13.783430,13.790300,13.853560,13.922290,13.766180,13.611650,13.296390", \ "15.628820,15.617940,15.626790,15.558690,15.529760,15.270430,14.713150"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.345805,2.365449,2.381013,2.408601,2.435597,2.487146,2.524863", \ "2.326333,2.333336,2.379375,2.409636,2.462817,2.532235,2.591000", \ "2.171900,2.199283,2.241400,2.296217,2.382180,2.480002,2.569703", \ "2.093498,2.098800,2.111380,2.163339,2.238276,2.369302,2.487176", \ "2.392580,2.392475,2.388083,2.376517,2.356711,2.357871,2.464545", \ "3.095162,3.080698,3.039599,2.983979,2.871231,2.737704,2.626428", \ "4.165133,4.152599,4.081268,4.001369,3.862398,3.586606,3.227989"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.055100,13.067860,13.136530,13.180180,13.246700,13.372660,13.350260", \ "12.960550,12.977740,12.993300,13.067860,13.141460,13.257600,13.238690", \ "12.853090,12.868680,12.912930,12.949850,12.951240,13.154660,13.164900", \ "13.172010,13.174920,13.174870,13.217650,13.253430,13.275670,13.223300", \ "14.047040,14.005200,14.020880,13.963870,13.859530,13.872030,13.715290", \ "15.385100,15.362420,15.409170,15.287420,15.149980,14.949360,14.550320", \ "17.165240,17.128400,17.108530,17.048560,16.928940,16.521610,16.018610"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.363669,1.362999,1.363449,1.382053,1.397762,1.405802,1.409433", \ "1.299497,1.326904,1.359243,1.376768,1.412364,1.449339,1.470534", \ "1.074939,1.121587,1.152753,1.210715,1.297973,1.380529,1.443534", \ "1.051506,1.061908,1.065940,1.064591,1.152550,1.263671,1.362454", \ "1.412447,1.407022,1.378216,1.346556,1.292019,1.241944,1.330351", \ "2.178804,2.139636,2.096220,2.012731,1.861107,1.681325,1.512109", \ "3.288103,3.259932,3.206626,3.082127,2.902650,2.565866,2.143077"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("11.635880,11.655120,11.677170,11.730790,11.889570,11.917110,12.083330", \ "11.494500,11.521770,11.590330,11.611140,11.727530,11.824340,12.080730", \ "11.385250,11.405380,11.485300,11.538970,11.659630,11.737440,11.933350", \ "11.706450,11.734650,11.772840,11.832270,11.873260,11.869520,11.977540", \ "12.614930,12.603670,12.596830,12.572830,12.505050,12.448410,12.456000", \ "13.783430,13.790300,13.853560,13.922290,13.766180,13.611650,13.296390", \ "15.628820,15.617940,15.626790,15.558690,15.529760,15.270430,14.713150"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("1.361592,1.361409,1.376164,1.380468,1.388500,1.403369,1.402080", \ "1.317870,1.315275,1.356213,1.387215,1.414793,1.449490,1.467620", \ "1.091014,1.113532,1.151018,1.220712,1.302843,1.385415,1.443216", \ "1.078313,1.083313,1.086852,1.088533,1.162815,1.263555,1.364316", \ "1.441656,1.422254,1.409161,1.376792,1.327270,1.277958,1.350150", \ "2.199935,2.173156,2.121939,2.048903,1.913018,1.726534,1.549998", \ "3.363696,3.313662,3.252272,3.133769,2.942460,2.624905,2.212079"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("12.395490,12.408140,12.419900,12.502130,12.617600,12.686800,12.646770", \ "12.255370,12.271690,12.344270,12.364610,12.487340,12.575780,12.816110", \ "12.151950,12.218560,12.238760,12.281450,12.334280,12.508330,12.723120", \ "12.475240,12.533180,12.538200,12.575860,12.617180,12.716890,12.811470", \ "13.376660,13.367950,13.335170,13.308890,13.267420,13.187560,13.007740", \ "14.585660,14.618940,14.646800,14.708130,14.579820,14.331390,14.030900", \ "16.429530,16.425950,16.398030,16.391340,16.343140,16.082660,15.652140"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("4.284321,4.306167,4.325306,4.366245,4.408840,4.495844,4.599371", \ "4.154053,4.185718,4.219949,4.253207,4.335621,4.406406,4.512193", \ "4.079600,4.105123,4.128529,4.178671,4.231594,4.333206,4.449639", \ "4.014113,4.005961,4.064344,4.084359,4.174022,4.280526,4.411187", \ "4.231352,4.231206,4.225816,4.213645,4.227945,4.303693,4.413216", \ "4.785250,4.767793,4.764972,4.730043,4.699633,4.616343,4.593646", \ "5.748777,5.741282,5.723940,5.677959,5.571209,5.389430,5.121376"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("15.538590,15.548500,15.554730,15.595630,15.568120,15.667730,15.860840", \ "15.442590,15.453370,15.460730,15.508850,15.483830,15.572620,15.712360", \ "15.344500,15.357500,15.367940,15.368740,15.426550,15.606740,15.585680", \ "15.346430,15.355440,15.377080,15.392050,15.447440,15.352380,15.618690", \ "15.660900,15.661040,15.646240,15.658340,15.671340,15.539230,15.814580", \ "16.435680,16.466800,16.462150,16.373920,16.302810,16.213980,16.013450", \ "17.608350,17.611490,17.602700,17.551750,17.456520,17.333910,17.050600"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.221055,3.237458,3.263810,3.296759,3.330646,3.401382,3.466003", \ "3.122605,3.142292,3.160748,3.203656,3.256873,3.311960,3.376742", \ "2.997855,3.022809,3.053654,3.108141,3.145805,3.231992,3.315928", \ "2.917392,2.954921,2.971394,3.022131,3.085291,3.173821,3.272431", \ "3.211422,3.208853,3.193867,3.189400,3.145884,3.197701,3.293674", \ "3.784754,3.787534,3.774001,3.713339,3.653741,3.546989,3.475156", \ "4.819432,4.803269,4.765267,4.709420,4.577789,4.354643,4.040779"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("14.131780,14.141300,14.151380,14.240180,14.174980,14.327510,14.310900", \ "14.030810,14.045760,14.083190,14.139620,14.082860,14.177640,14.454810", \ "13.932630,13.949870,13.964150,14.048260,13.998610,14.044660,14.328390", \ "13.935050,13.949470,13.956520,14.027370,13.971320,14.080740,14.365820", \ "14.253080,14.255380,14.239290,14.224310,14.285250,14.178580,14.412810", \ "14.934500,14.977330,15.005420,15.043270,14.969700,14.716290,14.751040", \ "16.136500,16.126500,16.153790,16.089550,16.143960,15.859590,15.677530"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.198308,3.198190,3.240064,3.274639,3.319576,3.369253,3.442454", \ "3.099026,3.110714,3.143133,3.163552,3.221271,3.292685,3.365076", \ "2.986445,2.990773,3.006096,3.077288,3.133573,3.216876,3.301422", \ "2.914299,2.917387,2.954883,2.996922,3.064113,3.149614,3.248067", \ "3.212376,3.217968,3.199567,3.198642,3.170697,3.189768,3.278520", \ "3.828392,3.787963,3.788637,3.737805,3.684508,3.566257,3.464236", \ "4.838374,4.830908,4.802395,4.735474,4.603693,4.390028,4.086152"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("14.640320,14.657890,14.689890,14.692790,14.720990,14.698130,14.977110", \ "14.526240,14.540280,14.599730,14.641930,14.604320,14.852890,14.831290", \ "14.417870,14.435160,14.497240,14.516040,14.641510,14.716150,14.747370", \ "14.426630,14.428620,14.485940,14.521690,14.485000,14.489390,14.731920", \ "14.738680,14.742560,14.776320,14.792600,14.792050,14.846240,14.972780", \ "15.435510,15.496000,15.509430,15.516610,15.510150,15.334890,15.126260", \ "16.661820,16.664500,16.651420,16.676930,16.678120,16.476770,16.280040"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.221055,3.237458,3.263810,3.296759,3.330646,3.401382,3.466003", \ "3.122605,3.142292,3.160748,3.203656,3.256873,3.311960,3.376742", \ "2.997855,3.022809,3.053654,3.108141,3.145805,3.231992,3.315928", \ "2.917392,2.954921,2.971394,3.022131,3.085291,3.173821,3.272431", \ "3.211422,3.208853,3.193867,3.189400,3.145884,3.197701,3.293674", \ "3.784754,3.787534,3.774001,3.713339,3.653741,3.546989,3.475156", \ "4.819432,4.803269,4.765267,4.709420,4.577789,4.354643,4.040779"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("14.131780,14.141300,14.151380,14.240180,14.174980,14.327510,14.310900", \ "14.030810,14.045760,14.083190,14.139620,14.082860,14.177640,14.454810", \ "13.932630,13.949870,13.964150,14.048260,13.998610,14.044660,14.328390", \ "13.935050,13.949470,13.956520,14.027370,13.971320,14.080740,14.365820", \ "14.253080,14.255380,14.239290,14.224310,14.285250,14.178580,14.412810", \ "14.934500,14.977330,15.005420,15.043270,14.969700,14.716290,14.751040", \ "16.136500,16.126500,16.153790,16.089550,16.143960,15.859590,15.677530"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.216686,2.218422,2.234734,2.248913,2.275916,2.308618,2.347305", \ "2.103179,2.118742,2.130618,2.150186,2.184113,2.220884,2.260317", \ "1.960133,1.981695,2.000880,2.032011,2.084956,2.138623,2.185264", \ "1.886817,1.886493,1.909247,1.951237,2.012831,2.070332,2.135355", \ "2.206664,2.186258,2.185305,2.146343,2.096476,2.098105,2.149498", \ "2.838292,2.806440,2.783462,2.732103,2.632391,2.475232,2.358162", \ "3.923036,3.895927,3.843419,3.749994,3.609349,3.332866,2.953283"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("12.663930,12.681920,12.751100,12.794930,12.846000,13.067260,13.023980", \ "12.567460,12.616340,12.668700,12.742560,12.850920,12.915700,12.872020", \ "12.469490,12.490290,12.563440,12.654370,12.736960,12.792310,13.068250", \ "12.471710,12.491320,12.557370,12.581840,12.654050,12.817980,12.881850", \ "12.838440,12.848480,12.838330,12.851790,12.883870,12.916660,12.828130", \ "13.362560,13.413470,13.466230,13.565560,13.615690,13.542650,13.495330", \ "14.628290,14.620030,14.636530,14.662670,14.686740,14.621280,14.136180"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.199342,2.194910,2.207110,2.234394,2.262221,2.300642,2.326862", \ "2.069384,2.103235,2.118545,2.136213,2.170482,2.197035,2.244713", \ "1.924226,1.969901,1.979745,2.017172,2.074504,2.125278,2.180052", \ "1.897575,1.907133,1.901586,1.937319,1.998588,2.068778,2.134436", \ "2.215258,2.212363,2.180538,2.174783,2.131258,2.092753,2.154702", \ "2.870858,2.844786,2.806352,2.736415,2.661841,2.513929,2.357414", \ "3.959656,3.913542,3.868650,3.774003,3.641511,3.361635,2.998572"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.200200,13.218920,13.236470,13.330990,13.383730,13.535410,13.711930", \ "13.107270,13.127070,13.146050,13.205350,13.284460,13.409650,13.565470", \ "12.974370,13.021710,13.043820,13.126520,13.198740,13.337330,13.445210", \ "12.986150,13.017500,13.034150,13.087530,13.172090,13.177130,13.511130", \ "13.321100,13.332340,13.342470,13.360120,13.403960,13.456800,13.592690", \ "13.905280,13.906360,13.962730,14.100300,14.058280,14.089470,13.869610", \ "15.155520,15.149610,15.174790,15.195430,15.225160,15.217320,14.833940"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("3.198308,3.198190,3.240064,3.274639,3.319576,3.369253,3.442454", \ "3.099026,3.110714,3.143133,3.163552,3.221271,3.292685,3.365076", \ "2.986445,2.990773,3.006096,3.077288,3.133573,3.216876,3.301422", \ "2.914299,2.917387,2.954883,2.996922,3.064113,3.149614,3.248067", \ "3.212376,3.217968,3.199567,3.198642,3.170697,3.189768,3.278520", \ "3.828392,3.787963,3.788637,3.737805,3.684508,3.566257,3.464236", \ "4.838374,4.830908,4.802395,4.735474,4.603693,4.390028,4.086152"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("14.640320,14.657890,14.689890,14.692790,14.720990,14.698130,14.977110", \ "14.526240,14.540280,14.599730,14.641930,14.604320,14.852890,14.831290", \ "14.417870,14.435160,14.497240,14.516040,14.641510,14.716150,14.747370", \ "14.426630,14.428620,14.485940,14.521690,14.485000,14.489390,14.731920", \ "14.738680,14.742560,14.776320,14.792600,14.792050,14.846240,14.972780", \ "15.435510,15.496000,15.509430,15.516610,15.510150,15.334890,15.126260", \ "16.661820,16.664500,16.651420,16.676930,16.678120,16.476770,16.280040"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.199342,2.194910,2.207110,2.234394,2.262221,2.300642,2.326862", \ "2.069384,2.103235,2.118545,2.136213,2.170482,2.197035,2.244713", \ "1.924226,1.969901,1.979745,2.017172,2.074504,2.125278,2.180052", \ "1.897575,1.907133,1.901586,1.937319,1.998588,2.068778,2.134436", \ "2.215258,2.212363,2.180538,2.174783,2.131258,2.092753,2.154702", \ "2.870858,2.844786,2.806352,2.736415,2.661841,2.513929,2.357414", \ "3.959656,3.913542,3.868650,3.774003,3.641511,3.361635,2.998572"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.200200,13.218920,13.236470,13.330990,13.383730,13.535410,13.711930", \ "13.107270,13.127070,13.146050,13.205350,13.284460,13.409650,13.565470", \ "12.974370,13.021710,13.043820,13.126520,13.198740,13.337330,13.445210", \ "12.986150,13.017500,13.034150,13.087530,13.172090,13.177130,13.511130", \ "13.321100,13.332340,13.342470,13.360120,13.403960,13.456800,13.592690", \ "13.905280,13.906360,13.962730,14.100300,14.058280,14.089470,13.869610", \ "15.155520,15.149610,15.174790,15.195430,15.225160,15.217320,14.833940"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("2.191677,2.172484,2.196001,2.230593,2.256416,2.287527,2.315838", \ "2.067308,2.067672,2.105538,2.126126,2.161186,2.196711,2.226066", \ "1.945704,1.932772,1.971105,2.012083,2.050545,2.119008,2.172010", \ "1.913885,1.917197,1.907859,1.921269,1.980390,2.046862,2.116276", \ "2.216905,2.223926,2.203481,2.188987,2.153900,2.095992,2.143643", \ "2.901047,2.882536,2.838086,2.780308,2.698234,2.548352,2.365683", \ "4.005721,3.986488,3.927888,3.840563,3.670524,3.416010,3.064527"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.237390,2.474790,4.949580,9.899150,19.798300,39.596600"); values ("13.960580,13.973460,14.024090,14.067510,14.084200,14.220690,14.224830", \ "13.872140,13.886520,13.900080,13.994750,14.088700,14.144020,14.088610", \ "13.719070,13.779680,13.828810,13.894590,13.923510,13.912850,14.284560", \ "13.721350,13.773380,13.783280,13.865240,13.926100,14.021000,14.030560", \ "14.086790,14.083850,14.068120,14.130750,14.187610,14.274800,14.361950", \ "14.659370,14.713480,14.773450,14.843190,14.867750,14.782860,14.730620", \ "15.925460,15.919590,15.952940,15.935150,15.979730,15.815500,15.708570"); } } } } /****************************************************************************************** Module : OAI222_X4 Cell Description : Combinational cell (OAI222_X4) with drive strength X4 *******************************************************************************************/ cell (OAI222_X4) { drive_strength : 4; area : 3.724000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 127.978560; leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 84.260330; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 99.365530; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 99.365530; } leakage_power () { when : "!A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 113.985850; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 85.708700; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 127.360310; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 127.360310; } leakage_power () { when : "!A1 & !A2 & !B1 & B2 & C1 & C2"; value : 141.985360; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 85.708810; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 127.360310; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 127.360420; } leakage_power () { when : "!A1 & !A2 & B1 & !B2 & C1 & C2"; value : 141.985360; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 86.040416; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & !C1 & C2"; value : 141.975570; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & !C2"; value : 141.975570; } leakage_power () { when : "!A1 & !A2 & B1 & B2 & C1 & C2"; value : 156.603810; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 83.483743; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 103.489208; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 103.489208; } leakage_power () { when : "!A1 & A2 & !B1 & !B2 & C1 & C2"; value : 118.109968; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 89.001556; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & !C1 & C2"; value : 143.625680; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & !C2"; value : 145.976490; } leakage_power () { when : "!A1 & A2 & !B1 & B2 & C1 & C2"; value : 156.875180; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 89.001666; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & !C1 & C2"; value : 145.976490; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & !C2"; value : 148.327190; } leakage_power () { when : "!A1 & A2 & B1 & !B2 & C1 & C2"; value : 159.225990; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & !C2"; value : 88.331979; } leakage_power () { when : "!A1 & A2 & B1 & B2 & !C1 & C2"; value : 156.873530; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & !C2"; value : 159.224120; } leakage_power () { when : "!A1 & A2 & B1 & B2 & C1 & C2"; value : 170.123800; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & !C2"; value : 83.483853; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & !C1 & C2"; value : 103.489208; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & !C2"; value : 103.489318; } leakage_power () { when : "A1 & !A2 & !B1 & !B2 & C1 & C2"; value : 118.109968; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & !C2"; value : 89.001668; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & !C1 & C2"; value : 145.976600; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & !C2"; value : 148.327300; } leakage_power () { when : "A1 & !A2 & !B1 & B2 & C1 & C2"; value : 159.225990; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & !C2"; value : 89.001668; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & !C1 & C2"; value : 148.327300; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & !C2"; value : 150.677890; } leakage_power () { when : "A1 & !A2 & B1 & !B2 & C1 & C2"; value : 161.576800; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & !C2"; value : 88.331979; } leakage_power () { when : "A1 & !A2 & B1 & B2 & !C1 & C2"; value : 159.224120; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & !C2"; value : 161.574600; } leakage_power () { when : "A1 & !A2 & B1 & B2 & C1 & C2"; value : 172.474390; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & !C2"; value : 80.198347; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & !C1 & C2"; value : 100.571573; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & !C2"; value : 100.571683; } leakage_power () { when : "A1 & A2 & !B1 & !B2 & C1 & C2"; value : 115.192443; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & !C2"; value : 85.828561; } leakage_power () { when : "A1 & A2 & !B1 & B2 & !C1 & C2"; value : 156.873970; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & !C2"; value : 159.224120; } leakage_power () { when : "A1 & A2 & !B1 & B2 & C1 & C2"; value : 170.124350; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & !C2"; value : 85.828560; } leakage_power () { when : "A1 & A2 & B1 & !B2 & !C1 & C2"; value : 159.224230; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & !C2"; value : 161.574380; } leakage_power () { when : "A1 & A2 & B1 & !B2 & C1 & C2"; value : 172.474610; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & !C2"; value : 85.146277; } leakage_power () { when : "A1 & A2 & B1 & B2 & !C1 & C2"; value : 170.120610; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & !C2"; value : 172.470980; } leakage_power () { when : "A1 & A2 & B1 & B2 & C1 & C2"; value : 183.372530; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.578915; fall_capacitance : 1.409755; rise_capacitance : 1.578915; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.574249; fall_capacitance : 1.574249; rise_capacitance : 1.560361; } pin (B1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.623590; fall_capacitance : 1.433745; rise_capacitance : 1.623590; } pin (B2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.612659; fall_capacitance : 1.592389; rise_capacitance : 1.612659; } pin (C1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.657646; fall_capacitance : 1.412156; rise_capacitance : 1.657646; } pin (C2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.641558; fall_capacitance : 1.574995; rise_capacitance : 1.641558; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "!(!(!(((A1 | A2) & (B1 | B2)) & (C1 | C2))))"; timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.057393,0.061563,0.064969,0.070682,0.080646,0.099327,0.136153", \ "0.058804,0.062972,0.066379,0.072092,0.082057,0.100738,0.137562", \ "0.063450,0.067618,0.071023,0.076736,0.086702,0.105383,0.142206", \ "0.072871,0.077043,0.080447,0.086156,0.096121,0.114801,0.151631", \ "0.084229,0.088421,0.091845,0.097570,0.107550,0.126242,0.163066", \ "0.094222,0.098486,0.101953,0.107688,0.117549,0.136249,0.173067", \ "0.102375,0.106781,0.110345,0.116193,0.125968,0.144661,0.181471"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.064222,0.070194,0.075116,0.084294,0.102516,0.138996,0.211876", \ "0.065307,0.071279,0.076200,0.085379,0.103602,0.140080,0.212963", \ "0.070155,0.076126,0.081047,0.090224,0.108449,0.144925,0.217808", \ "0.081244,0.087219,0.092141,0.101316,0.119533,0.156008,0.228891", \ "0.097895,0.104015,0.108994,0.118152,0.136326,0.172757,0.245624", \ "0.116073,0.122522,0.127719,0.136961,0.155044,0.191412,0.264233", \ "0.135636,0.142410,0.147889,0.157245,0.175302,0.211571,0.284351"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004839,0.006551,0.008255,0.011671,0.018868,0.034218,0.065989", \ "0.004837,0.006552,0.008254,0.011671,0.018868,0.034217,0.065988", \ "0.004839,0.006551,0.008255,0.011672,0.018868,0.034218,0.065988", \ "0.004835,0.006550,0.008254,0.011671,0.018867,0.034218,0.065986", \ "0.004967,0.006668,0.008357,0.011750,0.018917,0.034237,0.065989", \ "0.005247,0.006908,0.008561,0.011898,0.018995,0.034265,0.066000", \ "0.005749,0.007362,0.008960,0.012200,0.019168,0.034329,0.066013"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006198,0.009288,0.012686,0.020646,0.037722,0.072291,0.141546", \ "0.006197,0.009289,0.012686,0.020644,0.037730,0.072304,0.141549", \ "0.006199,0.009288,0.012686,0.020644,0.037727,0.072300,0.141552", \ "0.006206,0.009297,0.012693,0.020647,0.037721,0.072298,0.141555", \ "0.006513,0.009609,0.012896,0.020718,0.037745,0.072298,0.141552", \ "0.007128,0.010354,0.013482,0.020976,0.037817,0.072327,0.141557", \ "0.007794,0.011183,0.014237,0.021364,0.037941,0.072359,0.141569"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.052368,0.056514,0.059904,0.065598,0.075550,0.094226,0.131053", \ "0.053754,0.057902,0.061292,0.066986,0.076938,0.095614,0.132439", \ "0.058405,0.062551,0.065941,0.071636,0.081588,0.100264,0.137089", \ "0.067660,0.071812,0.075204,0.080898,0.090850,0.109526,0.146352", \ "0.077657,0.081838,0.085255,0.090955,0.100933,0.119622,0.156449", \ "0.086249,0.090515,0.093984,0.099718,0.109664,0.128361,0.165175", \ "0.093036,0.097468,0.101047,0.106915,0.116781,0.135496,0.172302"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062282,0.067964,0.072777,0.081941,0.100197,0.136697,0.209591", \ "0.063362,0.069044,0.073856,0.083022,0.101276,0.137776,0.210672", \ "0.068217,0.073899,0.078711,0.087874,0.106127,0.142632,0.215521", \ "0.079327,0.085012,0.089824,0.098984,0.117235,0.153736,0.226627", \ "0.095817,0.101655,0.106504,0.115639,0.133835,0.170299,0.243178", \ "0.113676,0.119829,0.124817,0.133982,0.152081,0.188485,0.261333", \ "0.132935,0.139412,0.144614,0.153810,0.171852,0.208179,0.280993"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004757,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065984", \ "0.004771,0.006489,0.008199,0.011627,0.018840,0.034205,0.065984", \ "0.004932,0.006633,0.008325,0.011723,0.018898,0.034232,0.065987", \ "0.005265,0.006920,0.008568,0.011900,0.018993,0.034261,0.065998", \ "0.005823,0.007434,0.009025,0.012247,0.019195,0.034337,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005763,0.008798,0.012369,0.020520,0.037687,0.072275,0.141526", \ "0.005763,0.008800,0.012369,0.020518,0.037680,0.072275,0.141534", \ "0.005763,0.008798,0.012369,0.020521,0.037679,0.072264,0.141532", \ "0.005772,0.008808,0.012374,0.020521,0.037682,0.072271,0.141532", \ "0.006083,0.009096,0.012540,0.020574,0.037696,0.072274,0.141537", \ "0.006640,0.009711,0.012957,0.020732,0.037739,0.072303,0.141553", \ "0.007246,0.010438,0.013531,0.020982,0.037819,0.072316,0.141563"); } } timing () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047068,0.051152,0.054501,0.060145,0.070058,0.088716,0.125544", \ "0.048512,0.052597,0.055946,0.061590,0.071504,0.090161,0.126990", \ "0.053450,0.057534,0.060883,0.066526,0.076439,0.095098,0.131924", \ "0.062719,0.066806,0.070157,0.075804,0.085720,0.104380,0.141209", \ "0.071799,0.075921,0.079296,0.084951,0.094885,0.113556,0.150382", \ "0.079238,0.083451,0.086880,0.092574,0.102494,0.121172,0.157984", \ "0.084571,0.088958,0.092501,0.098322,0.108168,0.126897,0.163699"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062213,0.067894,0.072707,0.081870,0.100125,0.136630,0.209517", \ "0.063226,0.068907,0.073720,0.082884,0.101140,0.137643,0.210534", \ "0.068086,0.073769,0.078581,0.087745,0.106000,0.142499,0.215393", \ "0.079335,0.085021,0.089833,0.098994,0.117243,0.153742,0.226637", \ "0.096033,0.101869,0.106717,0.115848,0.134053,0.170522,0.243394", \ "0.114229,0.120375,0.125359,0.134511,0.152618,0.189036,0.261867", \ "0.133947,0.140413,0.145606,0.154803,0.172840,0.209181,0.282002"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004552,0.006290,0.008020,0.011485,0.018750,0.034163,0.065971", \ "0.004551,0.006289,0.008020,0.011486,0.018750,0.034162,0.065970", \ "0.004553,0.006289,0.008019,0.011485,0.018750,0.034163,0.065971", \ "0.004582,0.006314,0.008040,0.011499,0.018757,0.034164,0.065971", \ "0.004752,0.006462,0.008168,0.011594,0.018812,0.034190,0.065975", \ "0.005109,0.006764,0.008421,0.011777,0.018911,0.034220,0.065982", \ "0.005680,0.007289,0.008884,0.012128,0.019114,0.034294,0.065998"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005764,0.008799,0.012369,0.020522,0.037680,0.072266,0.141539", \ "0.005761,0.008800,0.012368,0.020521,0.037683,0.072271,0.141526", \ "0.005764,0.008800,0.012369,0.020519,0.037680,0.072284,0.141528", \ "0.005773,0.008808,0.012374,0.020521,0.037680,0.072278,0.141531", \ "0.006079,0.009092,0.012537,0.020571,0.037697,0.072278,0.141540", \ "0.006630,0.009695,0.012945,0.020727,0.037741,0.072303,0.141544", \ "0.007221,0.010407,0.013507,0.020972,0.037809,0.072316,0.141548"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.052368,0.056514,0.059904,0.065598,0.075550,0.094226,0.131053", \ "0.053754,0.057902,0.061292,0.066986,0.076938,0.095614,0.132439", \ "0.058405,0.062551,0.065941,0.071636,0.081588,0.100264,0.137089", \ "0.067660,0.071812,0.075204,0.080898,0.090850,0.109526,0.146352", \ "0.077657,0.081838,0.085255,0.090955,0.100933,0.119622,0.156449", \ "0.086249,0.090515,0.093984,0.099718,0.109664,0.128361,0.165175", \ "0.093036,0.097468,0.101047,0.106915,0.116781,0.135496,0.172302"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062282,0.067964,0.072777,0.081941,0.100197,0.136697,0.209591", \ "0.063362,0.069044,0.073856,0.083022,0.101276,0.137776,0.210672", \ "0.068217,0.073899,0.078711,0.087874,0.106127,0.142632,0.215521", \ "0.079327,0.085012,0.089824,0.098984,0.117235,0.153736,0.226627", \ "0.095817,0.101655,0.106504,0.115639,0.133835,0.170299,0.243178", \ "0.113676,0.119829,0.124817,0.133982,0.152081,0.188485,0.261333", \ "0.132935,0.139412,0.144614,0.153810,0.171852,0.208179,0.280993"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004757,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065984", \ "0.004771,0.006489,0.008199,0.011627,0.018840,0.034205,0.065984", \ "0.004932,0.006633,0.008325,0.011723,0.018898,0.034232,0.065987", \ "0.005265,0.006920,0.008568,0.011900,0.018993,0.034261,0.065998", \ "0.005823,0.007434,0.009025,0.012247,0.019195,0.034337,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005763,0.008798,0.012369,0.020520,0.037687,0.072275,0.141526", \ "0.005763,0.008800,0.012369,0.020518,0.037680,0.072275,0.141534", \ "0.005763,0.008798,0.012369,0.020521,0.037679,0.072264,0.141532", \ "0.005772,0.008808,0.012374,0.020521,0.037682,0.072271,0.141532", \ "0.006083,0.009096,0.012540,0.020574,0.037696,0.072274,0.141537", \ "0.006640,0.009711,0.012957,0.020732,0.037739,0.072303,0.141553", \ "0.007246,0.010438,0.013531,0.020982,0.037819,0.072316,0.141563"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047445,0.051569,0.054942,0.060617,0.070555,0.089225,0.126049", \ "0.048801,0.052925,0.056298,0.061973,0.071910,0.090580,0.127406", \ "0.053452,0.057574,0.060948,0.066622,0.076561,0.095231,0.132055", \ "0.062187,0.066318,0.069696,0.075381,0.085324,0.103995,0.140820", \ "0.070694,0.074868,0.078278,0.084001,0.093948,0.112631,0.149460", \ "0.077905,0.082184,0.085659,0.091394,0.101297,0.119992,0.156803", \ "0.083246,0.087721,0.091327,0.097222,0.107103,0.125806,0.162610"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060263,0.065653,0.070397,0.079571,0.097860,0.134376,0.207279", \ "0.061339,0.066729,0.071473,0.080647,0.098939,0.135455,0.208353", \ "0.066196,0.071588,0.076332,0.085505,0.103796,0.140310,0.213209", \ "0.077332,0.082728,0.087471,0.096640,0.114925,0.151442,0.224336", \ "0.093641,0.099174,0.103930,0.113067,0.131296,0.167787,0.240674", \ "0.111187,0.116992,0.121812,0.130942,0.149081,0.185522,0.258386", \ "0.130153,0.136270,0.141216,0.150303,0.168368,0.204749,0.277588"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004670,0.006399,0.008120,0.011567,0.018804,0.034188,0.065978", \ "0.004671,0.006399,0.008119,0.011566,0.018804,0.034189,0.065978", \ "0.004671,0.006399,0.008119,0.011566,0.018803,0.034189,0.065978", \ "0.004719,0.006442,0.008154,0.011591,0.018817,0.034193,0.065978", \ "0.004911,0.006609,0.008302,0.011703,0.018881,0.034225,0.065984", \ "0.005313,0.006958,0.008598,0.011919,0.019002,0.034261,0.065995", \ "0.005937,0.007543,0.009125,0.012328,0.019241,0.034353,0.066015"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005292,0.008363,0.012121,0.020430,0.037643,0.072244,0.141531", \ "0.005294,0.008363,0.012121,0.020430,0.037651,0.072245,0.141533", \ "0.005294,0.008364,0.012121,0.020430,0.037648,0.072237,0.141533", \ "0.005305,0.008371,0.012124,0.020432,0.037647,0.072244,0.141530", \ "0.005618,0.008609,0.012244,0.020467,0.037653,0.072261,0.141524", \ "0.006116,0.009064,0.012504,0.020554,0.037684,0.072277,0.141527", \ "0.006661,0.009654,0.012890,0.020693,0.037727,0.072275,0.141529"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042964,0.047030,0.050363,0.055990,0.065892,0.084545,0.121372", \ "0.044385,0.048450,0.051784,0.057411,0.067312,0.085964,0.122794", \ "0.049330,0.053394,0.056727,0.062356,0.072256,0.090910,0.127735", \ "0.057833,0.061906,0.065248,0.070887,0.080798,0.099453,0.136278", \ "0.065502,0.069620,0.072990,0.078665,0.088574,0.107239,0.144065", \ "0.071593,0.075822,0.079260,0.084973,0.094873,0.113550,0.150360", \ "0.075525,0.079950,0.083522,0.089374,0.099228,0.117939,0.154735"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060190,0.065581,0.070326,0.079499,0.097791,0.134305,0.207209", \ "0.061200,0.066590,0.071334,0.080507,0.098800,0.135315,0.208216", \ "0.066068,0.071459,0.076203,0.085376,0.103668,0.140181,0.213081", \ "0.077343,0.082737,0.087479,0.096650,0.114933,0.151448,0.224347", \ "0.093860,0.099392,0.104150,0.113285,0.131518,0.168009,0.240893", \ "0.111747,0.117535,0.122353,0.131480,0.149626,0.186066,0.258938", \ "0.131174,0.137278,0.142219,0.151323,0.169386,0.205777,0.278621"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004476,0.006222,0.007960,0.011439,0.018722,0.034150,0.065965", \ "0.004476,0.006222,0.007960,0.011440,0.018722,0.034150,0.065964", \ "0.004476,0.006221,0.007959,0.011439,0.018721,0.034151,0.065967", \ "0.004550,0.006281,0.008009,0.011474,0.018740,0.034156,0.065968", \ "0.004740,0.006448,0.008153,0.011581,0.018801,0.034184,0.065976", \ "0.005165,0.006809,0.008458,0.011802,0.018923,0.034221,0.065980", \ "0.005798,0.007403,0.008989,0.012210,0.019161,0.034310,0.065998"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005294,0.008363,0.012121,0.020431,0.037645,0.072236,0.141528", \ "0.005292,0.008364,0.012121,0.020430,0.037649,0.072239,0.141533", \ "0.005294,0.008364,0.012121,0.020432,0.037652,0.072247,0.141533", \ "0.005303,0.008372,0.012123,0.020432,0.037644,0.072252,0.141530", \ "0.005616,0.008604,0.012243,0.020467,0.037654,0.072248,0.141525", \ "0.006104,0.009053,0.012498,0.020553,0.037686,0.072263,0.141532", \ "0.006638,0.009629,0.012873,0.020684,0.037719,0.072276,0.141529"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047068,0.051152,0.054501,0.060145,0.070058,0.088716,0.125544", \ "0.048512,0.052597,0.055946,0.061590,0.071504,0.090161,0.126990", \ "0.053450,0.057534,0.060883,0.066526,0.076439,0.095098,0.131924", \ "0.062719,0.066806,0.070157,0.075804,0.085720,0.104380,0.141209", \ "0.071799,0.075921,0.079296,0.084951,0.094885,0.113556,0.150382", \ "0.079238,0.083451,0.086880,0.092574,0.102494,0.121172,0.157984", \ "0.084571,0.088958,0.092501,0.098322,0.108168,0.126897,0.163699"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062213,0.067894,0.072707,0.081870,0.100125,0.136630,0.209517", \ "0.063226,0.068907,0.073720,0.082884,0.101140,0.137643,0.210534", \ "0.068086,0.073769,0.078581,0.087745,0.106000,0.142499,0.215393", \ "0.079335,0.085021,0.089833,0.098994,0.117243,0.153742,0.226637", \ "0.096033,0.101869,0.106717,0.115848,0.134053,0.170522,0.243394", \ "0.114229,0.120375,0.125359,0.134511,0.152618,0.189036,0.261867", \ "0.133947,0.140413,0.145606,0.154803,0.172840,0.209181,0.282002"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004552,0.006290,0.008020,0.011485,0.018750,0.034163,0.065971", \ "0.004551,0.006289,0.008020,0.011486,0.018750,0.034162,0.065970", \ "0.004553,0.006289,0.008019,0.011485,0.018750,0.034163,0.065971", \ "0.004582,0.006314,0.008040,0.011499,0.018757,0.034164,0.065971", \ "0.004752,0.006462,0.008168,0.011594,0.018812,0.034190,0.065975", \ "0.005109,0.006764,0.008421,0.011777,0.018911,0.034220,0.065982", \ "0.005680,0.007289,0.008884,0.012128,0.019114,0.034294,0.065998"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005764,0.008799,0.012369,0.020522,0.037680,0.072266,0.141539", \ "0.005761,0.008800,0.012368,0.020521,0.037683,0.072271,0.141526", \ "0.005764,0.008800,0.012369,0.020519,0.037680,0.072284,0.141528", \ "0.005773,0.008808,0.012374,0.020521,0.037680,0.072278,0.141531", \ "0.006079,0.009092,0.012537,0.020571,0.037697,0.072278,0.141540", \ "0.006630,0.009695,0.012945,0.020727,0.037741,0.072303,0.141544", \ "0.007221,0.010407,0.013507,0.020972,0.037809,0.072316,0.141548"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.042964,0.047030,0.050363,0.055990,0.065892,0.084545,0.121372", \ "0.044385,0.048450,0.051784,0.057411,0.067312,0.085964,0.122794", \ "0.049330,0.053394,0.056727,0.062356,0.072256,0.090910,0.127735", \ "0.057833,0.061906,0.065248,0.070887,0.080798,0.099453,0.136278", \ "0.065502,0.069620,0.072990,0.078665,0.088574,0.107239,0.144065", \ "0.071593,0.075822,0.079260,0.084973,0.094873,0.113550,0.150360", \ "0.075525,0.079950,0.083522,0.089374,0.099228,0.117939,0.154735"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060190,0.065581,0.070326,0.079499,0.097791,0.134305,0.207209", \ "0.061200,0.066590,0.071334,0.080507,0.098800,0.135315,0.208216", \ "0.066068,0.071459,0.076203,0.085376,0.103668,0.140181,0.213081", \ "0.077343,0.082737,0.087479,0.096650,0.114933,0.151448,0.224347", \ "0.093860,0.099392,0.104150,0.113285,0.131518,0.168009,0.240893", \ "0.111747,0.117535,0.122353,0.131480,0.149626,0.186066,0.258938", \ "0.131174,0.137278,0.142219,0.151323,0.169386,0.205777,0.278621"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004476,0.006222,0.007960,0.011439,0.018722,0.034150,0.065965", \ "0.004476,0.006222,0.007960,0.011440,0.018722,0.034150,0.065964", \ "0.004476,0.006221,0.007959,0.011439,0.018721,0.034151,0.065967", \ "0.004550,0.006281,0.008009,0.011474,0.018740,0.034156,0.065968", \ "0.004740,0.006448,0.008153,0.011581,0.018801,0.034184,0.065976", \ "0.005165,0.006809,0.008458,0.011802,0.018923,0.034221,0.065980", \ "0.005798,0.007403,0.008989,0.012210,0.019161,0.034310,0.065998"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005294,0.008363,0.012121,0.020431,0.037645,0.072236,0.141528", \ "0.005292,0.008364,0.012121,0.020430,0.037649,0.072239,0.141533", \ "0.005294,0.008364,0.012121,0.020432,0.037652,0.072247,0.141533", \ "0.005303,0.008372,0.012123,0.020432,0.037644,0.072252,0.141530", \ "0.005616,0.008604,0.012243,0.020467,0.037654,0.072248,0.141525", \ "0.006104,0.009053,0.012498,0.020553,0.037686,0.072263,0.141532", \ "0.006638,0.009629,0.012873,0.020684,0.037719,0.072276,0.141529"); } } timing () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; sdf_cond : "(A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.038862,0.042852,0.046137,0.051709,0.061567,0.080203,0.117031", \ "0.040326,0.044317,0.047601,0.053172,0.063031,0.081666,0.118493", \ "0.045516,0.049506,0.052790,0.058363,0.068221,0.086856,0.123683", \ "0.053503,0.057513,0.060811,0.066400,0.076270,0.094907,0.131736", \ "0.060189,0.064240,0.067566,0.073198,0.083073,0.101717,0.138547", \ "0.064991,0.069163,0.072562,0.078230,0.088128,0.106784,0.143594", \ "0.067309,0.071684,0.075217,0.081024,0.090911,0.109589,0.146382"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060179,0.065571,0.070316,0.079489,0.097781,0.134295,0.207198", \ "0.061100,0.066490,0.071234,0.080408,0.098699,0.135217,0.208115", \ "0.065919,0.071311,0.076055,0.085229,0.103519,0.140037,0.212933", \ "0.077346,0.082740,0.087483,0.096653,0.114936,0.151450,0.224348", \ "0.094113,0.099643,0.104397,0.113530,0.131758,0.168249,0.241137", \ "0.112361,0.118154,0.122970,0.132088,0.150228,0.186672,0.259549", \ "0.132307,0.138398,0.143332,0.152426,0.170520,0.206907,0.279742"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004234,0.006004,0.007767,0.011289,0.018628,0.034109,0.065956", \ "0.004233,0.006004,0.007767,0.011290,0.018628,0.034109,0.065956", \ "0.004237,0.006006,0.007769,0.011290,0.018628,0.034109,0.065958", \ "0.004339,0.006094,0.007840,0.011339,0.018653,0.034115,0.065957", \ "0.004554,0.006272,0.007990,0.011447,0.018712,0.034140,0.065965", \ "0.005002,0.006650,0.008308,0.011676,0.018836,0.034176,0.065969", \ "0.005646,0.007249,0.008842,0.012084,0.019073,0.034261,0.065983"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005292,0.008364,0.012120,0.020430,0.037650,0.072247,0.141530", \ "0.005292,0.008364,0.012121,0.020432,0.037647,0.072238,0.141530", \ "0.005294,0.008364,0.012119,0.020429,0.037648,0.072253,0.141533", \ "0.005303,0.008372,0.012123,0.020433,0.037645,0.072241,0.141531", \ "0.005609,0.008600,0.012242,0.020468,0.037659,0.072245,0.141530", \ "0.006089,0.009042,0.012490,0.020548,0.037679,0.072259,0.141526", \ "0.006611,0.009600,0.012852,0.020678,0.037720,0.072276,0.141535"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062065,0.066254,0.069673,0.075402,0.085383,0.104068,0.140897", \ "0.063174,0.067362,0.070781,0.076510,0.086490,0.105176,0.142002", \ "0.067737,0.071925,0.075345,0.081074,0.091053,0.109739,0.146565", \ "0.077190,0.081382,0.084803,0.090530,0.100508,0.119193,0.156023", \ "0.089804,0.094010,0.097444,0.103162,0.113160,0.131855,0.168682", \ "0.101306,0.105571,0.109041,0.114786,0.124675,0.143375,0.180199", \ "0.111173,0.115560,0.119108,0.124940,0.134722,0.153358,0.190173"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.068223,0.074195,0.079117,0.088295,0.106518,0.142998,0.215884", \ "0.070030,0.076000,0.080922,0.090100,0.108322,0.144800,0.217685", \ "0.075103,0.081075,0.085996,0.095173,0.113396,0.149876,0.222757", \ "0.084061,0.090035,0.094957,0.104132,0.122350,0.158824,0.231712", \ "0.097225,0.103305,0.108267,0.117421,0.135604,0.172051,0.244926", \ "0.112409,0.118695,0.123778,0.132979,0.151117,0.187527,0.260379", \ "0.129712,0.136219,0.141466,0.150738,0.168853,0.205229,0.278057"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004911,0.006620,0.008316,0.011719,0.018897,0.034231,0.065993", \ "0.004912,0.006619,0.008315,0.011719,0.018897,0.034231,0.065994", \ "0.004912,0.006619,0.008316,0.011719,0.018897,0.034231,0.065993", \ "0.004911,0.006618,0.008315,0.011719,0.018897,0.034231,0.065992", \ "0.005011,0.006707,0.008395,0.011780,0.018934,0.034244,0.065996", \ "0.005249,0.006913,0.008569,0.011906,0.019003,0.034270,0.066003", \ "0.005682,0.007298,0.008905,0.012157,0.019146,0.034322,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006198,0.009287,0.012686,0.020645,0.037723,0.072300,0.141540", \ "0.006198,0.009288,0.012687,0.020645,0.037723,0.072302,0.141552", \ "0.006199,0.009288,0.012686,0.020646,0.037721,0.072293,0.141548", \ "0.006203,0.009293,0.012691,0.020646,0.037726,0.072293,0.141550", \ "0.006409,0.009510,0.012831,0.020695,0.037736,0.072298,0.141546", \ "0.006782,0.009955,0.013169,0.020843,0.037782,0.072320,0.141552", \ "0.007212,0.010480,0.013605,0.021050,0.037845,0.072335,0.141564"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.056987,0.061156,0.064561,0.070276,0.080240,0.098920,0.135745", \ "0.058092,0.062260,0.065667,0.071379,0.081342,0.100024,0.136848", \ "0.062669,0.066837,0.070242,0.075956,0.085922,0.104603,0.141427", \ "0.072135,0.076310,0.079716,0.085425,0.095390,0.114071,0.150900", \ "0.083527,0.087720,0.091146,0.096870,0.106854,0.125547,0.162372", \ "0.093733,0.097997,0.101464,0.107200,0.117134,0.135834,0.172652", \ "0.102348,0.106746,0.110302,0.116144,0.125976,0.144651,0.181462"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.066285,0.071968,0.076780,0.085944,0.104199,0.140699,0.213590", \ "0.068087,0.073769,0.078581,0.087744,0.106000,0.142503,0.215396", \ "0.073158,0.078841,0.083653,0.092817,0.111071,0.147573,0.220466", \ "0.082119,0.087805,0.092617,0.101777,0.120030,0.156527,0.229417", \ "0.095186,0.100977,0.105816,0.114945,0.133157,0.169628,0.242509", \ "0.110192,0.116181,0.121094,0.130247,0.148398,0.184852,0.257717", \ "0.127300,0.133510,0.138538,0.147718,0.165860,0.202246,0.275101"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004837,0.006550,0.008254,0.011670,0.018868,0.034218,0.065989", \ "0.004838,0.006550,0.008254,0.011671,0.018868,0.034218,0.065988", \ "0.004837,0.006550,0.008254,0.011671,0.018867,0.034218,0.065989", \ "0.004842,0.006554,0.008257,0.011673,0.018868,0.034218,0.065988", \ "0.004969,0.006669,0.008359,0.011750,0.018916,0.034238,0.065992", \ "0.005248,0.006907,0.008561,0.011898,0.018995,0.034265,0.066000", \ "0.005721,0.007334,0.008934,0.012178,0.019155,0.034323,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005764,0.008798,0.012370,0.020518,0.037679,0.072274,0.141543", \ "0.005762,0.008800,0.012368,0.020521,0.037684,0.072266,0.141526", \ "0.005764,0.008799,0.012369,0.020522,0.037682,0.072274,0.141526", \ "0.005770,0.008805,0.012372,0.020519,0.037687,0.072274,0.141531", \ "0.005979,0.009001,0.012484,0.020557,0.037688,0.072284,0.141542", \ "0.006325,0.009370,0.012726,0.020648,0.037717,0.072286,0.141548", \ "0.006724,0.009828,0.013056,0.020782,0.037756,0.072304,0.141552"); } } timing () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050914,0.055016,0.058377,0.064036,0.073960,0.092622,0.129447", \ "0.052120,0.056222,0.059583,0.065241,0.075166,0.093829,0.130654", \ "0.056973,0.061078,0.064439,0.070098,0.080023,0.098686,0.135512", \ "0.066637,0.070746,0.074107,0.079766,0.089691,0.108354,0.145178", \ "0.077067,0.081199,0.084581,0.090251,0.100189,0.118865,0.155692", \ "0.086016,0.090221,0.093646,0.099347,0.109239,0.127919,0.164734", \ "0.093115,0.097462,0.100980,0.106773,0.116585,0.135244,0.172050"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.066219,0.071902,0.076714,0.085878,0.104134,0.140637,0.213523", \ "0.067966,0.073648,0.078460,0.087624,0.105878,0.142379,0.215275", \ "0.073031,0.078714,0.083526,0.092689,0.110944,0.147448,0.220338", \ "0.082039,0.087723,0.092536,0.101696,0.119947,0.156444,0.229336", \ "0.095192,0.100982,0.105820,0.114958,0.133173,0.169653,0.242524", \ "0.110447,0.116435,0.121346,0.130494,0.148671,0.185097,0.257967", \ "0.127998,0.134203,0.139228,0.148401,0.166530,0.202922,0.275778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004620,0.006350,0.008074,0.011527,0.018776,0.034173,0.065974", \ "0.004622,0.006351,0.008074,0.011528,0.018776,0.034174,0.065974", \ "0.004620,0.006352,0.008075,0.011527,0.018776,0.034175,0.065975", \ "0.004635,0.006363,0.008083,0.011535,0.018780,0.034175,0.065976", \ "0.004778,0.006487,0.008191,0.011614,0.018827,0.034197,0.065980", \ "0.005077,0.006741,0.008404,0.011767,0.018908,0.034221,0.065986", \ "0.005566,0.007177,0.008785,0.012051,0.019069,0.034278,0.065994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005764,0.008798,0.012368,0.020518,0.037684,0.072270,0.141543", \ "0.005761,0.008800,0.012369,0.020520,0.037681,0.072275,0.141526", \ "0.005764,0.008800,0.012369,0.020520,0.037681,0.072264,0.141533", \ "0.005767,0.008805,0.012371,0.020522,0.037684,0.072275,0.141529", \ "0.005979,0.009000,0.012484,0.020556,0.037697,0.072277,0.141541", \ "0.006320,0.009367,0.012724,0.020647,0.037719,0.072295,0.141541", \ "0.006712,0.009816,0.013045,0.020777,0.037764,0.072308,0.141556"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.056987,0.061156,0.064561,0.070276,0.080240,0.098920,0.135745", \ "0.058092,0.062260,0.065667,0.071379,0.081342,0.100024,0.136848", \ "0.062669,0.066837,0.070242,0.075956,0.085922,0.104603,0.141427", \ "0.072135,0.076310,0.079716,0.085425,0.095390,0.114071,0.150900", \ "0.083527,0.087720,0.091146,0.096870,0.106854,0.125547,0.162372", \ "0.093733,0.097997,0.101464,0.107200,0.117134,0.135834,0.172652", \ "0.102348,0.106746,0.110302,0.116144,0.125976,0.144651,0.181462"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.066285,0.071968,0.076780,0.085944,0.104199,0.140699,0.213590", \ "0.068087,0.073769,0.078581,0.087744,0.106000,0.142503,0.215396", \ "0.073158,0.078841,0.083653,0.092817,0.111071,0.147573,0.220466", \ "0.082119,0.087805,0.092617,0.101777,0.120030,0.156527,0.229417", \ "0.095186,0.100977,0.105816,0.114945,0.133157,0.169628,0.242509", \ "0.110192,0.116181,0.121094,0.130247,0.148398,0.184852,0.257717", \ "0.127300,0.133510,0.138538,0.147718,0.165860,0.202246,0.275101"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004837,0.006550,0.008254,0.011670,0.018868,0.034218,0.065989", \ "0.004838,0.006550,0.008254,0.011671,0.018868,0.034218,0.065988", \ "0.004837,0.006550,0.008254,0.011671,0.018867,0.034218,0.065989", \ "0.004842,0.006554,0.008257,0.011673,0.018868,0.034218,0.065988", \ "0.004969,0.006669,0.008359,0.011750,0.018916,0.034238,0.065992", \ "0.005248,0.006907,0.008561,0.011898,0.018995,0.034265,0.066000", \ "0.005721,0.007334,0.008934,0.012178,0.019155,0.034323,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005764,0.008798,0.012370,0.020518,0.037679,0.072274,0.141543", \ "0.005762,0.008800,0.012368,0.020521,0.037684,0.072266,0.141526", \ "0.005764,0.008799,0.012369,0.020522,0.037682,0.072274,0.141526", \ "0.005770,0.008805,0.012372,0.020519,0.037687,0.072274,0.141531", \ "0.005979,0.009001,0.012484,0.020557,0.037688,0.072284,0.141542", \ "0.006325,0.009370,0.012726,0.020648,0.037717,0.072286,0.141548", \ "0.006724,0.009828,0.013056,0.020782,0.037756,0.072304,0.141552"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051988,0.056136,0.059526,0.065220,0.075171,0.093847,0.130671", \ "0.053088,0.057234,0.060624,0.066318,0.076270,0.094946,0.131772", \ "0.057677,0.061822,0.065212,0.070908,0.080859,0.099535,0.136361", \ "0.066945,0.071099,0.074491,0.080188,0.090142,0.108818,0.145645", \ "0.076973,0.081158,0.084575,0.090317,0.100270,0.118959,0.155786", \ "0.085861,0.090128,0.093596,0.099348,0.109303,0.128001,0.164815", \ "0.093189,0.097611,0.101181,0.107035,0.116926,0.135623,0.172430"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.064268,0.069659,0.074403,0.083576,0.101867,0.138382,0.211284", \ "0.066065,0.071455,0.076199,0.085372,0.103665,0.140182,0.213080", \ "0.071134,0.076525,0.081269,0.090441,0.108734,0.145248,0.218146", \ "0.080103,0.085496,0.090239,0.099409,0.117695,0.154207,0.227110", \ "0.093053,0.098541,0.103293,0.112441,0.130678,0.167173,0.240064", \ "0.107891,0.113551,0.118336,0.127460,0.145670,0.182139,0.255028", \ "0.124808,0.130679,0.135526,0.144652,0.162824,0.199255,0.272121"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004757,0.006479,0.008190,0.011621,0.018837,0.034204,0.065982", \ "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006478,0.008190,0.011620,0.018837,0.034203,0.065984", \ "0.004780,0.006497,0.008207,0.011633,0.018843,0.034206,0.065982", \ "0.004937,0.006638,0.008329,0.011725,0.018899,0.034232,0.065990", \ "0.005264,0.006918,0.008567,0.011899,0.018992,0.034261,0.065995", \ "0.005784,0.007393,0.008988,0.012218,0.019176,0.034328,0.066009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005292,0.008363,0.012120,0.020431,0.037647,0.072243,0.141519", \ "0.005293,0.008363,0.012121,0.020430,0.037650,0.072247,0.141533", \ "0.005293,0.008364,0.012121,0.020430,0.037651,0.072249,0.141533", \ "0.005300,0.008368,0.012122,0.020430,0.037643,0.072237,0.141526", \ "0.005513,0.008527,0.012205,0.020457,0.037651,0.072240,0.141518", \ "0.005831,0.008808,0.012359,0.020508,0.037670,0.072259,0.141523", \ "0.006203,0.009177,0.012579,0.020585,0.037696,0.072276,0.141541"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046736,0.050820,0.054167,0.059811,0.069724,0.088382,0.125210", \ "0.047937,0.052021,0.055368,0.061012,0.070926,0.089584,0.126410", \ "0.052810,0.056894,0.060243,0.065887,0.075800,0.094458,0.131282", \ "0.062037,0.066128,0.069480,0.075130,0.085048,0.103707,0.140535", \ "0.071140,0.075263,0.078639,0.084324,0.094244,0.112914,0.149744", \ "0.078824,0.083035,0.086464,0.092176,0.102100,0.120777,0.157590", \ "0.084680,0.089050,0.092583,0.098392,0.108284,0.126953,0.163753"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.064200,0.069591,0.074335,0.083508,0.101799,0.138314,0.211217", \ "0.065942,0.071333,0.076077,0.085251,0.103542,0.140061,0.212956", \ "0.071007,0.076398,0.081142,0.090315,0.108606,0.145124,0.218020", \ "0.080025,0.085417,0.090160,0.099328,0.117618,0.154129,0.227030", \ "0.093063,0.098552,0.103304,0.112450,0.130699,0.167190,0.240080", \ "0.108155,0.113814,0.118599,0.127727,0.145938,0.182398,0.255287", \ "0.125512,0.131376,0.136221,0.145353,0.163516,0.199960,0.272833"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004552,0.006289,0.008019,0.011485,0.018750,0.034162,0.065972", \ "0.004552,0.006288,0.008019,0.011485,0.018749,0.034163,0.065972", \ "0.004552,0.006289,0.008019,0.011485,0.018750,0.034163,0.065972", \ "0.004590,0.006321,0.008046,0.011504,0.018760,0.034165,0.065970", \ "0.004757,0.006466,0.008170,0.011596,0.018813,0.034189,0.065976", \ "0.005104,0.006758,0.008417,0.011773,0.018909,0.034218,0.065982", \ "0.005633,0.007244,0.008844,0.012094,0.019091,0.034284,0.065993"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005293,0.008364,0.012121,0.020430,0.037643,0.072244,0.141518", \ "0.005293,0.008364,0.012122,0.020430,0.037648,0.072242,0.141525", \ "0.005292,0.008363,0.012120,0.020429,0.037648,0.072249,0.141533", \ "0.005299,0.008368,0.012123,0.020434,0.037649,0.072241,0.141525", \ "0.005514,0.008526,0.012205,0.020455,0.037650,0.072245,0.141518", \ "0.005828,0.008804,0.012357,0.020508,0.037671,0.072259,0.141529", \ "0.006194,0.009165,0.012572,0.020583,0.037701,0.072273,0.141540"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050914,0.055016,0.058377,0.064036,0.073960,0.092622,0.129447", \ "0.052120,0.056222,0.059583,0.065241,0.075166,0.093829,0.130654", \ "0.056973,0.061078,0.064439,0.070098,0.080023,0.098686,0.135512", \ "0.066637,0.070746,0.074107,0.079766,0.089691,0.108354,0.145178", \ "0.077067,0.081199,0.084581,0.090251,0.100189,0.118865,0.155692", \ "0.086016,0.090221,0.093646,0.099347,0.109239,0.127919,0.164734", \ "0.093115,0.097462,0.100980,0.106773,0.116585,0.135244,0.172050"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.066219,0.071902,0.076714,0.085878,0.104134,0.140637,0.213523", \ "0.067966,0.073648,0.078460,0.087624,0.105878,0.142379,0.215275", \ "0.073031,0.078714,0.083526,0.092689,0.110944,0.147448,0.220338", \ "0.082039,0.087723,0.092536,0.101696,0.119947,0.156444,0.229336", \ "0.095192,0.100982,0.105820,0.114958,0.133173,0.169653,0.242524", \ "0.110447,0.116435,0.121346,0.130494,0.148671,0.185097,0.257967", \ "0.127998,0.134203,0.139228,0.148401,0.166530,0.202922,0.275778"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004620,0.006350,0.008074,0.011527,0.018776,0.034173,0.065974", \ "0.004622,0.006351,0.008074,0.011528,0.018776,0.034174,0.065974", \ "0.004620,0.006352,0.008075,0.011527,0.018776,0.034175,0.065975", \ "0.004635,0.006363,0.008083,0.011535,0.018780,0.034175,0.065976", \ "0.004778,0.006487,0.008191,0.011614,0.018827,0.034197,0.065980", \ "0.005077,0.006741,0.008404,0.011767,0.018908,0.034221,0.065986", \ "0.005566,0.007177,0.008785,0.012051,0.019069,0.034278,0.065994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005764,0.008798,0.012368,0.020518,0.037684,0.072270,0.141543", \ "0.005761,0.008800,0.012369,0.020520,0.037681,0.072275,0.141526", \ "0.005764,0.008800,0.012369,0.020520,0.037681,0.072264,0.141533", \ "0.005767,0.008805,0.012371,0.020522,0.037684,0.072275,0.141529", \ "0.005979,0.009000,0.012484,0.020556,0.037697,0.072277,0.141541", \ "0.006320,0.009367,0.012724,0.020647,0.037719,0.072295,0.141541", \ "0.006712,0.009816,0.013045,0.020777,0.037764,0.072308,0.141556"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046736,0.050820,0.054167,0.059811,0.069724,0.088382,0.125210", \ "0.047937,0.052021,0.055368,0.061012,0.070926,0.089584,0.126410", \ "0.052810,0.056894,0.060243,0.065887,0.075800,0.094458,0.131282", \ "0.062037,0.066128,0.069480,0.075130,0.085048,0.103707,0.140535", \ "0.071140,0.075263,0.078639,0.084324,0.094244,0.112914,0.149744", \ "0.078824,0.083035,0.086464,0.092176,0.102100,0.120777,0.157590", \ "0.084680,0.089050,0.092583,0.098392,0.108284,0.126953,0.163753"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.064200,0.069591,0.074335,0.083508,0.101799,0.138314,0.211217", \ "0.065942,0.071333,0.076077,0.085251,0.103542,0.140061,0.212956", \ "0.071007,0.076398,0.081142,0.090315,0.108606,0.145124,0.218020", \ "0.080025,0.085417,0.090160,0.099328,0.117618,0.154129,0.227030", \ "0.093063,0.098552,0.103304,0.112450,0.130699,0.167190,0.240080", \ "0.108155,0.113814,0.118599,0.127727,0.145938,0.182398,0.255287", \ "0.125512,0.131376,0.136221,0.145353,0.163516,0.199960,0.272833"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004552,0.006289,0.008019,0.011485,0.018750,0.034162,0.065972", \ "0.004552,0.006288,0.008019,0.011485,0.018749,0.034163,0.065972", \ "0.004552,0.006289,0.008019,0.011485,0.018750,0.034163,0.065972", \ "0.004590,0.006321,0.008046,0.011504,0.018760,0.034165,0.065970", \ "0.004757,0.006466,0.008170,0.011596,0.018813,0.034189,0.065976", \ "0.005104,0.006758,0.008417,0.011773,0.018909,0.034218,0.065982", \ "0.005633,0.007244,0.008844,0.012094,0.019091,0.034284,0.065993"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005293,0.008364,0.012121,0.020430,0.037643,0.072244,0.141518", \ "0.005293,0.008364,0.012122,0.020430,0.037648,0.072242,0.141525", \ "0.005292,0.008363,0.012120,0.020429,0.037648,0.072249,0.141533", \ "0.005299,0.008368,0.012123,0.020434,0.037649,0.072241,0.141525", \ "0.005514,0.008526,0.012205,0.020455,0.037650,0.072245,0.141518", \ "0.005828,0.008804,0.012357,0.020508,0.037671,0.072259,0.141529", \ "0.006194,0.009165,0.012572,0.020583,0.037701,0.072273,0.141540"); } } timing () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.041872,0.045880,0.049174,0.054759,0.064628,0.083266,0.120096", \ "0.043160,0.047166,0.050462,0.056046,0.065915,0.084552,0.121383", \ "0.048305,0.052312,0.055609,0.061193,0.071062,0.089700,0.126530", \ "0.057180,0.061197,0.064500,0.070095,0.079971,0.098612,0.135441", \ "0.065181,0.069235,0.072564,0.078194,0.088076,0.106725,0.143553", \ "0.071463,0.075613,0.078999,0.084666,0.094573,0.113228,0.150040", \ "0.075637,0.079952,0.083446,0.089208,0.099084,0.117773,0.154571"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.064190,0.069581,0.074325,0.083499,0.101790,0.138305,0.211205", \ "0.065854,0.071244,0.075988,0.085161,0.103452,0.139968,0.212871", \ "0.070868,0.076260,0.081004,0.090177,0.108468,0.144987,0.217884", \ "0.079938,0.085331,0.090074,0.099244,0.117532,0.154045,0.226944", \ "0.093081,0.098570,0.103322,0.112467,0.130720,0.167215,0.240106", \ "0.108444,0.114099,0.118883,0.128017,0.146220,0.182693,0.255582", \ "0.126286,0.132144,0.136987,0.146101,0.164296,0.200736,0.273606"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004296,0.006059,0.007815,0.011326,0.018649,0.034118,0.065961", \ "0.004298,0.006059,0.007815,0.011326,0.018649,0.034117,0.065960", \ "0.004298,0.006061,0.007815,0.011326,0.018649,0.034118,0.065960", \ "0.004369,0.006118,0.007863,0.011358,0.018666,0.034122,0.065962", \ "0.004557,0.006277,0.007997,0.011455,0.018718,0.034144,0.065965", \ "0.004928,0.006587,0.008256,0.011640,0.018817,0.034171,0.065968", \ "0.005473,0.007083,0.008689,0.011964,0.019001,0.034234,0.065976"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005294,0.008363,0.012121,0.020432,0.037648,0.072237,0.141516", \ "0.005292,0.008364,0.012120,0.020433,0.037644,0.072242,0.141531", \ "0.005294,0.008364,0.012120,0.020430,0.037646,0.072244,0.141533", \ "0.005302,0.008368,0.012123,0.020431,0.037650,0.072252,0.141524", \ "0.005515,0.008526,0.012205,0.020457,0.037655,0.072243,0.141519", \ "0.005824,0.008802,0.012354,0.020508,0.037672,0.072256,0.141528", \ "0.006181,0.009151,0.012565,0.020581,0.037701,0.072271,0.141534"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062973,0.067143,0.070550,0.076262,0.086226,0.104908,0.141732", \ "0.064578,0.068747,0.072153,0.077867,0.087832,0.106512,0.143337", \ "0.068993,0.073163,0.076568,0.082282,0.092247,0.110928,0.147752", \ "0.076839,0.081010,0.084416,0.090127,0.100092,0.118772,0.155601", \ "0.087063,0.091259,0.094685,0.100418,0.110401,0.129089,0.165911", \ "0.097043,0.101293,0.104755,0.110520,0.120498,0.139195,0.176017", \ "0.105579,0.109933,0.113464,0.119270,0.129212,0.147951,0.184765"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.074727,0.080784,0.085742,0.094918,0.113116,0.149582,0.222461", \ "0.075749,0.081806,0.086762,0.095937,0.114138,0.150603,0.223480", \ "0.080433,0.086490,0.091448,0.100622,0.118821,0.155285,0.228162", \ "0.091338,0.097396,0.102354,0.111529,0.129728,0.166191,0.239064", \ "0.109231,0.115327,0.120301,0.129489,0.147628,0.184075,0.256934", \ "0.130061,0.136458,0.141613,0.150808,0.168856,0.205215,0.278040", \ "0.152207,0.158913,0.164327,0.173631,0.191562,0.227871,0.300638"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004838,0.006551,0.008255,0.011671,0.018868,0.034218,0.065988", \ "0.004837,0.006551,0.008254,0.011672,0.018868,0.034218,0.065988", \ "0.004838,0.006552,0.008255,0.011671,0.018868,0.034218,0.065988", \ "0.004840,0.006553,0.008256,0.011672,0.018868,0.034217,0.065987", \ "0.004956,0.006657,0.008347,0.011743,0.018911,0.034234,0.065991", \ "0.005169,0.006845,0.008510,0.011864,0.018979,0.034260,0.065998", \ "0.005540,0.007182,0.008808,0.012091,0.019114,0.034314,0.066010"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006361,0.009467,0.012808,0.020696,0.037737,0.072303,0.141557", \ "0.006363,0.009467,0.012809,0.020692,0.037734,0.072296,0.141559", \ "0.006362,0.009467,0.012810,0.020694,0.037736,0.072317,0.141562", \ "0.006365,0.009469,0.012812,0.020694,0.037744,0.072307,0.141550", \ "0.006472,0.009577,0.012884,0.020720,0.037746,0.072301,0.141554", \ "0.007047,0.010242,0.013385,0.020928,0.037803,0.072321,0.141569", \ "0.007675,0.011020,0.014074,0.021274,0.037914,0.072358,0.141572"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.057954,0.062100,0.065490,0.071184,0.081136,0.099812,0.136636", \ "0.059535,0.063682,0.067071,0.072767,0.082719,0.101394,0.138218", \ "0.063897,0.068045,0.071435,0.077129,0.087081,0.105755,0.142581", \ "0.071549,0.075700,0.079091,0.084786,0.094739,0.113414,0.150241", \ "0.080892,0.085074,0.088489,0.094210,0.104188,0.122874,0.159696", \ "0.089604,0.093853,0.097312,0.103070,0.113054,0.131748,0.168565", \ "0.096673,0.101042,0.104582,0.110402,0.120398,0.139114,0.175925"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.072809,0.078576,0.083409,0.092561,0.110793,0.147281,0.220173", \ "0.073827,0.079595,0.084427,0.093579,0.111810,0.148300,0.221192", \ "0.078513,0.084280,0.089113,0.098265,0.116496,0.152982,0.225875", \ "0.089427,0.095196,0.100030,0.109182,0.127409,0.163892,0.236783", \ "0.107309,0.113126,0.117968,0.127113,0.145272,0.181745,0.254617", \ "0.127838,0.133947,0.138909,0.148015,0.166098,0.202494,0.275341", \ "0.149689,0.156105,0.161258,0.170422,0.188346,0.224704,0.297501"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004757,0.006478,0.008190,0.011620,0.018836,0.034204,0.065981", \ "0.004757,0.006478,0.008189,0.011620,0.018836,0.034204,0.065980", \ "0.004758,0.006478,0.008190,0.011620,0.018836,0.034204,0.065984", \ "0.004770,0.006489,0.008198,0.011627,0.018839,0.034204,0.065984", \ "0.004914,0.006616,0.008311,0.011712,0.018891,0.034226,0.065986", \ "0.005170,0.006841,0.008504,0.011857,0.018973,0.034256,0.065996", \ "0.005593,0.007229,0.008849,0.012122,0.019129,0.034318,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005929,0.008956,0.012462,0.020551,0.037698,0.072277,0.141530", \ "0.005929,0.008956,0.012462,0.020555,0.037693,0.072283,0.141529", \ "0.005928,0.008958,0.012462,0.020551,0.037693,0.072286,0.141528", \ "0.005931,0.008958,0.012463,0.020554,0.037692,0.072274,0.141537", \ "0.006056,0.009070,0.012527,0.020572,0.037694,0.072285,0.141531", \ "0.006583,0.009633,0.012897,0.020711,0.037735,0.072291,0.141537", \ "0.007150,0.010305,0.013414,0.020926,0.037794,0.072319,0.141557"); } } timing () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051745,0.055817,0.059157,0.064792,0.074699,0.093354,0.130182", \ "0.053388,0.057461,0.060801,0.066436,0.076343,0.094998,0.131826", \ "0.057774,0.061846,0.065187,0.070821,0.080728,0.099383,0.136210", \ "0.065176,0.069253,0.072597,0.078235,0.088144,0.106799,0.143623", \ "0.073585,0.077695,0.081061,0.086728,0.096660,0.115324,0.152146", \ "0.081018,0.085195,0.088604,0.094313,0.104253,0.122925,0.159742", \ "0.086476,0.090776,0.094264,0.100033,0.110015,0.128678,0.165488"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.072702,0.078470,0.083303,0.092455,0.110684,0.147175,0.220066", \ "0.073600,0.079369,0.084203,0.093353,0.111584,0.148075,0.220966", \ "0.078312,0.084080,0.088914,0.098064,0.116295,0.152782,0.225677", \ "0.089407,0.095176,0.100009,0.109161,0.127388,0.163873,0.236768", \ "0.107508,0.113323,0.118166,0.127297,0.145481,0.181953,0.254840", \ "0.128395,0.134499,0.139458,0.148578,0.166613,0.203029,0.275876", \ "0.150724,0.157127,0.162272,0.171434,0.189430,0.225768,0.298555"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004516,0.006256,0.007990,0.011462,0.018735,0.034156,0.065969", \ "0.004516,0.006256,0.007990,0.011462,0.018735,0.034156,0.065967", \ "0.004515,0.006256,0.007989,0.011462,0.018735,0.034155,0.065969", \ "0.004540,0.006277,0.008006,0.011472,0.018740,0.034157,0.065968", \ "0.004690,0.006406,0.008118,0.011556,0.018789,0.034178,0.065972", \ "0.004950,0.006633,0.008312,0.011700,0.018870,0.034204,0.065979", \ "0.005375,0.007015,0.008647,0.011956,0.019020,0.034264,0.065992"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005928,0.008957,0.012462,0.020551,0.037689,0.072274,0.141531", \ "0.005929,0.008956,0.012462,0.020553,0.037689,0.072276,0.141530", \ "0.005929,0.008956,0.012461,0.020552,0.037689,0.072285,0.141529", \ "0.005933,0.008959,0.012463,0.020552,0.037693,0.072273,0.141538", \ "0.006052,0.009066,0.012525,0.020576,0.037697,0.072283,0.141531", \ "0.006572,0.009619,0.012888,0.020709,0.037731,0.072289,0.141548", \ "0.007131,0.010282,0.013396,0.020918,0.037794,0.072311,0.141553"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.057954,0.062100,0.065490,0.071184,0.081136,0.099812,0.136636", \ "0.059535,0.063682,0.067071,0.072767,0.082719,0.101394,0.138218", \ "0.063897,0.068045,0.071435,0.077129,0.087081,0.105755,0.142581", \ "0.071549,0.075700,0.079091,0.084786,0.094739,0.113414,0.150241", \ "0.080892,0.085074,0.088489,0.094210,0.104188,0.122874,0.159696", \ "0.089604,0.093853,0.097312,0.103070,0.113054,0.131748,0.168565", \ "0.096673,0.101042,0.104582,0.110402,0.120398,0.139114,0.175925"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.072809,0.078576,0.083409,0.092561,0.110793,0.147281,0.220173", \ "0.073827,0.079595,0.084427,0.093579,0.111810,0.148300,0.221192", \ "0.078513,0.084280,0.089113,0.098265,0.116496,0.152982,0.225875", \ "0.089427,0.095196,0.100030,0.109182,0.127409,0.163892,0.236783", \ "0.107309,0.113126,0.117968,0.127113,0.145272,0.181745,0.254617", \ "0.127838,0.133947,0.138909,0.148015,0.166098,0.202494,0.275341", \ "0.149689,0.156105,0.161258,0.170422,0.188346,0.224704,0.297501"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004757,0.006478,0.008190,0.011620,0.018836,0.034204,0.065981", \ "0.004757,0.006478,0.008189,0.011620,0.018836,0.034204,0.065980", \ "0.004758,0.006478,0.008190,0.011620,0.018836,0.034204,0.065984", \ "0.004770,0.006489,0.008198,0.011627,0.018839,0.034204,0.065984", \ "0.004914,0.006616,0.008311,0.011712,0.018891,0.034226,0.065986", \ "0.005170,0.006841,0.008504,0.011857,0.018973,0.034256,0.065996", \ "0.005593,0.007229,0.008849,0.012122,0.019129,0.034318,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005929,0.008956,0.012462,0.020551,0.037698,0.072277,0.141530", \ "0.005929,0.008956,0.012462,0.020555,0.037693,0.072283,0.141529", \ "0.005928,0.008958,0.012462,0.020551,0.037693,0.072286,0.141528", \ "0.005931,0.008958,0.012463,0.020554,0.037692,0.072274,0.141537", \ "0.006056,0.009070,0.012527,0.020572,0.037694,0.072285,0.141531", \ "0.006583,0.009633,0.012897,0.020711,0.037735,0.072291,0.141537", \ "0.007150,0.010305,0.013414,0.020926,0.037794,0.072319,0.141557"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.053041,0.057165,0.060538,0.066213,0.076150,0.094819,0.131646", \ "0.054593,0.058714,0.062088,0.067763,0.077701,0.096371,0.133195", \ "0.058873,0.062997,0.066372,0.072046,0.081983,0.100653,0.137480", \ "0.066163,0.070296,0.073675,0.079354,0.089296,0.107967,0.144794", \ "0.074393,0.078564,0.081972,0.087684,0.097647,0.116329,0.153153", \ "0.081690,0.085945,0.089406,0.095167,0.105135,0.123827,0.160643", \ "0.087150,0.091553,0.095111,0.100971,0.110955,0.129704,0.166510"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070819,0.076286,0.081037,0.090190,0.108457,0.144965,0.217861", \ "0.071834,0.077302,0.082051,0.091206,0.109472,0.145979,0.218875", \ "0.076522,0.081988,0.086738,0.095892,0.114159,0.150669,0.223561", \ "0.087452,0.092920,0.097670,0.106825,0.125087,0.161591,0.234485", \ "0.105293,0.110817,0.115567,0.124711,0.142905,0.179397,0.252273", \ "0.125521,0.131301,0.136110,0.145185,0.163303,0.199734,0.272597", \ "0.147092,0.153160,0.158079,0.167159,0.185106,0.221506,0.294334"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004670,0.006400,0.008120,0.011567,0.018803,0.034189,0.065979", \ "0.004669,0.006400,0.008120,0.011567,0.018804,0.034189,0.065978", \ "0.004670,0.006400,0.008120,0.011566,0.018804,0.034189,0.065975", \ "0.004707,0.006430,0.008145,0.011585,0.018813,0.034192,0.065978", \ "0.004881,0.006584,0.008281,0.011687,0.018873,0.034220,0.065982", \ "0.005191,0.006857,0.008517,0.011864,0.018975,0.034254,0.065993", \ "0.005685,0.007312,0.008922,0.012178,0.019162,0.034330,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005463,0.008493,0.012185,0.020451,0.037654,0.072261,0.141531", \ "0.005463,0.008492,0.012187,0.020451,0.037654,0.072253,0.141530", \ "0.005462,0.008493,0.012186,0.020450,0.037650,0.072241,0.141534", \ "0.005465,0.008493,0.012187,0.020452,0.037652,0.072256,0.141532", \ "0.005611,0.008598,0.012238,0.020466,0.037664,0.072261,0.141524", \ "0.006082,0.009026,0.012480,0.020543,0.037684,0.072270,0.141526", \ "0.006594,0.009566,0.012824,0.020665,0.037712,0.072275,0.141530"); } } timing () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.047648,0.051700,0.055025,0.060645,0.070539,0.089190,0.126018", \ "0.049266,0.053319,0.056644,0.062263,0.072158,0.090808,0.127637", \ "0.053580,0.057632,0.060957,0.066577,0.076471,0.095123,0.131948", \ "0.060538,0.064600,0.067932,0.073560,0.083461,0.102111,0.138936", \ "0.067871,0.071973,0.075333,0.080991,0.090913,0.109574,0.146397", \ "0.073959,0.078145,0.081557,0.087260,0.097196,0.115868,0.152683", \ "0.077886,0.082214,0.085722,0.091519,0.101470,0.120202,0.157006"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070713,0.076179,0.080930,0.090084,0.108352,0.144860,0.217757", \ "0.071609,0.077076,0.081827,0.090980,0.109246,0.145754,0.218649", \ "0.076322,0.081790,0.086540,0.095694,0.113961,0.150467,0.223363", \ "0.087432,0.092900,0.097650,0.106804,0.125066,0.161571,0.234465", \ "0.105488,0.111010,0.115761,0.124899,0.143112,0.179601,0.252495", \ "0.126085,0.131860,0.136667,0.145758,0.163828,0.200274,0.273136", \ "0.148131,0.154188,0.159102,0.168185,0.186212,0.222578,0.295395"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004442,0.006189,0.007931,0.011416,0.018708,0.034143,0.065964", \ "0.004442,0.006189,0.007931,0.011416,0.018707,0.034143,0.065962", \ "0.004440,0.006189,0.007931,0.011416,0.018708,0.034144,0.065964", \ "0.004496,0.006233,0.007967,0.011441,0.018720,0.034147,0.065966", \ "0.004665,0.006384,0.008097,0.011539,0.018775,0.034171,0.065971", \ "0.004978,0.006654,0.008329,0.011711,0.018874,0.034204,0.065975", \ "0.005465,0.007098,0.008722,0.012010,0.019051,0.034275,0.065992"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005462,0.008493,0.012186,0.020451,0.037655,0.072253,0.141535", \ "0.005463,0.008493,0.012187,0.020450,0.037651,0.072255,0.141522", \ "0.005462,0.008493,0.012187,0.020451,0.037651,0.072257,0.141524", \ "0.005465,0.008493,0.012187,0.020451,0.037651,0.072263,0.141532", \ "0.005606,0.008596,0.012238,0.020466,0.037655,0.072263,0.141534", \ "0.006074,0.009015,0.012473,0.020542,0.037685,0.072265,0.141536", \ "0.006574,0.009546,0.012810,0.020661,0.037715,0.072273,0.141541"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.053302,0.057417,0.060783,0.066449,0.076378,0.095043,0.131867", \ "0.054881,0.058996,0.062363,0.068028,0.077958,0.096623,0.133447", \ "0.059875,0.063989,0.067357,0.073021,0.082951,0.101616,0.138440", \ "0.069032,0.073151,0.076520,0.082188,0.092119,0.110785,0.147608", \ "0.079378,0.083534,0.086932,0.092632,0.102590,0.121265,0.158087", \ "0.088320,0.092564,0.096015,0.101757,0.111733,0.130418,0.167229", \ "0.095254,0.099642,0.103191,0.109016,0.119004,0.137729,0.174532"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.075128,0.080913,0.085751,0.094900,0.113127,0.149610,0.222499", \ "0.076178,0.081962,0.086800,0.095950,0.114174,0.150662,0.223548", \ "0.080840,0.086624,0.091462,0.100612,0.118838,0.155325,0.228209", \ "0.091745,0.097529,0.102367,0.111516,0.129737,0.166225,0.239110", \ "0.109829,0.115654,0.120498,0.129629,0.147801,0.184265,0.257145", \ "0.130884,0.136988,0.141947,0.151078,0.169165,0.205572,0.278413", \ "0.153247,0.159657,0.164805,0.173965,0.191922,0.228260,0.301061"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004654,0.006380,0.008099,0.011547,0.018788,0.034180,0.065977", \ "0.004654,0.006380,0.008099,0.011547,0.018788,0.034181,0.065977", \ "0.004652,0.006380,0.008099,0.011547,0.018788,0.034181,0.065977", \ "0.004672,0.006395,0.008112,0.011556,0.018793,0.034182,0.065976", \ "0.004856,0.006555,0.008251,0.011659,0.018854,0.034206,0.065979", \ "0.005176,0.006836,0.008492,0.011838,0.018953,0.034242,0.065987", \ "0.005671,0.007290,0.008897,0.012151,0.019137,0.034315,0.066005"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005963,0.008988,0.012481,0.020559,0.037697,0.072275,0.141547", \ "0.005960,0.008990,0.012481,0.020559,0.037692,0.072284,0.141549", \ "0.005963,0.008989,0.012481,0.020559,0.037698,0.072281,0.141547", \ "0.005962,0.008990,0.012483,0.020558,0.037691,0.072273,0.141534", \ "0.006062,0.009079,0.012533,0.020576,0.037695,0.072272,0.141539", \ "0.006579,0.009626,0.012892,0.020705,0.037738,0.072292,0.141547", \ "0.007146,0.010298,0.013406,0.020922,0.037792,0.072312,0.141550"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049176,0.053270,0.056622,0.062272,0.072188,0.090848,0.127673", \ "0.050729,0.054823,0.058176,0.063825,0.073742,0.092403,0.129226", \ "0.055649,0.059743,0.063095,0.068744,0.078662,0.097322,0.134146", \ "0.064313,0.068416,0.071775,0.077432,0.087354,0.106015,0.142842", \ "0.073263,0.077415,0.080809,0.086502,0.096451,0.115124,0.151947", \ "0.080695,0.084950,0.088408,0.094155,0.104134,0.122817,0.159627", \ "0.086003,0.090426,0.093998,0.099888,0.109901,0.128623,0.165423"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.073159,0.078644,0.083395,0.092546,0.110808,0.147309,0.220211", \ "0.074205,0.079689,0.084441,0.093592,0.111853,0.148358,0.221259", \ "0.078869,0.084353,0.089105,0.098254,0.116518,0.153019,0.225918", \ "0.089787,0.095272,0.100023,0.109172,0.127433,0.163938,0.236834", \ "0.107828,0.113356,0.118107,0.127264,0.145470,0.181954,0.254851", \ "0.128617,0.134398,0.139205,0.148308,0.166430,0.202871,0.275737", \ "0.150708,0.156776,0.161693,0.170772,0.188755,0.225138,0.297963"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004577,0.006310,0.008038,0.011500,0.018759,0.034167,0.065972", \ "0.004577,0.006310,0.008037,0.011500,0.018759,0.034168,0.065972", \ "0.004578,0.006311,0.008038,0.011500,0.018760,0.034168,0.065969", \ "0.004626,0.006351,0.008072,0.011524,0.018772,0.034172,0.065969", \ "0.004843,0.006540,0.008236,0.011646,0.018843,0.034202,0.065975", \ "0.005218,0.006871,0.008521,0.011858,0.018963,0.034243,0.065988", \ "0.005775,0.007390,0.008988,0.012220,0.019178,0.034329,0.066007"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005498,0.008521,0.012201,0.020455,0.037660,0.072254,0.141520", \ "0.005501,0.008521,0.012202,0.020455,0.037652,0.072247,0.141521", \ "0.005499,0.008519,0.012201,0.020455,0.037655,0.072246,0.141520", \ "0.005500,0.008522,0.012201,0.020455,0.037661,0.072253,0.141525", \ "0.005617,0.008604,0.012243,0.020471,0.037658,0.072250,0.141519", \ "0.006087,0.009028,0.012479,0.020544,0.037687,0.072268,0.141533", \ "0.006599,0.009570,0.012825,0.020665,0.037718,0.072274,0.141541"); } } timing () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.043457,0.047473,0.050774,0.056363,0.066235,0.084875,0.121703", \ "0.045093,0.049109,0.052410,0.057999,0.067871,0.086511,0.123337", \ "0.050228,0.054245,0.057546,0.063136,0.073008,0.091648,0.128473", \ "0.058629,0.062657,0.065967,0.071568,0.081447,0.100088,0.136914", \ "0.066597,0.070672,0.074015,0.079653,0.089556,0.108208,0.145032", \ "0.072773,0.076954,0.080361,0.086052,0.095988,0.114649,0.151461", \ "0.076508,0.080856,0.084373,0.090201,0.100170,0.118869,0.155668"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.073052,0.078536,0.083288,0.092438,0.110701,0.147205,0.220101", \ "0.073973,0.079457,0.084210,0.093360,0.111622,0.148124,0.221024", \ "0.078661,0.084144,0.088896,0.098047,0.116310,0.152812,0.225709", \ "0.089774,0.095260,0.100013,0.109162,0.127419,0.163922,0.236822", \ "0.108069,0.113595,0.118346,0.127477,0.145682,0.182172,0.255060", \ "0.129188,0.134962,0.139768,0.148882,0.166969,0.203412,0.276274", \ "0.151770,0.157828,0.162740,0.171818,0.189878,0.226252,0.299054"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004324,0.006082,0.007833,0.011339,0.018658,0.034121,0.065960", \ "0.004324,0.006082,0.007834,0.011339,0.018658,0.034122,0.065960", \ "0.004324,0.006083,0.007834,0.011340,0.018658,0.034121,0.065961", \ "0.004400,0.006144,0.007884,0.011374,0.018675,0.034126,0.065961", \ "0.004614,0.006327,0.008042,0.011489,0.018739,0.034152,0.065965", \ "0.004995,0.006655,0.008322,0.011695,0.018855,0.034189,0.065973", \ "0.005545,0.007165,0.008773,0.012041,0.019059,0.034267,0.065987"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005498,0.008521,0.012202,0.020456,0.037660,0.072248,0.141527", \ "0.005498,0.008521,0.012202,0.020455,0.037658,0.072254,0.141519", \ "0.005499,0.008520,0.012201,0.020455,0.037661,0.072244,0.141522", \ "0.005500,0.008523,0.012202,0.020455,0.037656,0.072246,0.141526", \ "0.005613,0.008601,0.012243,0.020470,0.037656,0.072250,0.141520", \ "0.006078,0.009018,0.012474,0.020544,0.037687,0.072258,0.141536", \ "0.006580,0.009549,0.012810,0.020660,0.037711,0.072273,0.141531"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.067625,0.071813,0.075232,0.080961,0.090941,0.109627,0.146453", \ "0.068943,0.073131,0.076550,0.082279,0.092259,0.110945,0.147770", \ "0.073353,0.077541,0.080960,0.086689,0.096669,0.115354,0.152180", \ "0.081377,0.085568,0.088986,0.094718,0.104696,0.123382,0.160210", \ "0.092292,0.096501,0.099937,0.105677,0.115672,0.134360,0.171184", \ "0.103438,0.107694,0.111161,0.116929,0.126921,0.145622,0.182443", \ "0.113429,0.117774,0.121297,0.127100,0.137023,0.155760,0.192575"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.078627,0.084684,0.089640,0.098817,0.117016,0.153480,0.226360", \ "0.080372,0.086430,0.091387,0.100564,0.118763,0.155228,0.228103", \ "0.085546,0.091602,0.096560,0.105734,0.123935,0.160394,0.233275", \ "0.094565,0.100621,0.105579,0.114750,0.132948,0.169412,0.242288", \ "0.108635,0.114727,0.119698,0.128856,0.147026,0.183467,0.256338", \ "0.125452,0.131736,0.136819,0.146011,0.164157,0.200562,0.273390", \ "0.144613,0.151094,0.156320,0.165528,0.183647,0.220012,0.292850"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004912,0.006619,0.008315,0.011719,0.018897,0.034231,0.065994", \ "0.004912,0.006620,0.008315,0.011719,0.018897,0.034231,0.065994", \ "0.004912,0.006620,0.008316,0.011719,0.018897,0.034232,0.065994", \ "0.004913,0.006620,0.008316,0.011720,0.018898,0.034231,0.065993", \ "0.005005,0.006702,0.008388,0.011775,0.018930,0.034243,0.065993", \ "0.005190,0.006865,0.008530,0.011881,0.018991,0.034266,0.066001", \ "0.005504,0.007149,0.008780,0.012072,0.019102,0.034311,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006361,0.009467,0.012809,0.020692,0.037742,0.072308,0.141548", \ "0.006360,0.009466,0.012809,0.020696,0.037739,0.072299,0.141554", \ "0.006362,0.009468,0.012808,0.020696,0.037738,0.072312,0.141562", \ "0.006363,0.009468,0.012811,0.020693,0.037735,0.072297,0.141553", \ "0.006448,0.009555,0.012866,0.020716,0.037743,0.072306,0.141560", \ "0.006803,0.009970,0.013177,0.020843,0.037781,0.072314,0.141558", \ "0.007183,0.010435,0.013562,0.021024,0.037843,0.072337,0.141567"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062550,0.066717,0.070122,0.075835,0.085799,0.104480,0.141307", \ "0.063864,0.068032,0.071436,0.077149,0.087114,0.105794,0.142620", \ "0.068254,0.072423,0.075828,0.081541,0.091505,0.110185,0.147011", \ "0.076154,0.080327,0.083733,0.089444,0.099410,0.118092,0.154916", \ "0.086363,0.090557,0.093983,0.099713,0.109698,0.128386,0.165210", \ "0.096360,0.100609,0.104070,0.109834,0.119813,0.138509,0.175332", \ "0.105017,0.109364,0.112891,0.118695,0.128667,0.147389,0.184201"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.076708,0.082475,0.087309,0.096460,0.114692,0.151178,0.224068", \ "0.078451,0.084220,0.089053,0.098206,0.116436,0.152922,0.225815", \ "0.083622,0.089390,0.094223,0.103374,0.121605,0.158094,0.230986", \ "0.092640,0.098408,0.103242,0.112391,0.130619,0.167104,0.239992", \ "0.106677,0.112488,0.117330,0.126444,0.144657,0.181121,0.253996", \ "0.123331,0.129327,0.134241,0.143381,0.161554,0.197978,0.270829", \ "0.142320,0.148512,0.153526,0.162647,0.180785,0.217190,0.290032"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004837,0.006550,0.008254,0.011670,0.018867,0.034218,0.065989", \ "0.004836,0.006551,0.008253,0.011671,0.018868,0.034218,0.065989", \ "0.004836,0.006550,0.008253,0.011670,0.018867,0.034217,0.065985", \ "0.004843,0.006555,0.008258,0.011673,0.018869,0.034218,0.065988", \ "0.004959,0.006658,0.008348,0.011743,0.018911,0.034235,0.065990", \ "0.005174,0.006847,0.008512,0.011865,0.018980,0.034261,0.066000", \ "0.005530,0.007171,0.008798,0.012082,0.019106,0.034311,0.066009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005927,0.008958,0.012463,0.020553,0.037693,0.072274,0.141534", \ "0.005930,0.008956,0.012463,0.020551,0.037690,0.072278,0.141529", \ "0.005927,0.008958,0.012462,0.020552,0.037690,0.072274,0.141528", \ "0.005931,0.008958,0.012462,0.020554,0.037689,0.072273,0.141540", \ "0.006029,0.009044,0.012511,0.020569,0.037692,0.072290,0.141535", \ "0.006355,0.009396,0.012740,0.020652,0.037726,0.072296,0.141542", \ "0.006707,0.009798,0.013028,0.020770,0.037753,0.072300,0.141547"); } } timing () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.055585,0.059676,0.063028,0.068678,0.078596,0.097256,0.134080", \ "0.057005,0.061095,0.064448,0.070098,0.080016,0.098675,0.135502", \ "0.061411,0.065501,0.068854,0.074504,0.084422,0.103082,0.139905", \ "0.069080,0.073177,0.076530,0.082181,0.092101,0.110761,0.147585", \ "0.078350,0.082469,0.085844,0.091515,0.101453,0.120120,0.156944", \ "0.086967,0.091142,0.094552,0.100248,0.110191,0.128865,0.165683", \ "0.093925,0.098202,0.101676,0.107422,0.117389,0.136062,0.172874"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.076601,0.082369,0.087202,0.096354,0.114584,0.151071,0.223960", \ "0.078238,0.084005,0.088839,0.097991,0.116220,0.152710,0.225602", \ "0.083432,0.089200,0.094034,0.103185,0.121415,0.157902,0.230795", \ "0.092531,0.098299,0.103132,0.112283,0.130511,0.166996,0.239887", \ "0.106651,0.112461,0.117302,0.126453,0.144668,0.181134,0.254019", \ "0.123579,0.129574,0.134486,0.143624,0.161814,0.198244,0.271112", \ "0.143006,0.149192,0.154203,0.163323,0.181468,0.217865,0.290715"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004586,0.006318,0.008044,0.011504,0.018761,0.034167,0.065971", \ "0.004586,0.006318,0.008044,0.011504,0.018760,0.034167,0.065972", \ "0.004585,0.006318,0.008044,0.011504,0.018761,0.034167,0.065974", \ "0.004600,0.006330,0.008054,0.011511,0.018764,0.034168,0.065974", \ "0.004725,0.006439,0.008148,0.011581,0.018805,0.034185,0.065973", \ "0.004945,0.006631,0.008313,0.011702,0.018873,0.034208,0.065981", \ "0.005304,0.006948,0.008590,0.011911,0.018994,0.034254,0.065990"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005927,0.008958,0.012462,0.020552,0.037688,0.072271,0.141536", \ "0.005928,0.008958,0.012463,0.020555,0.037689,0.072281,0.141531", \ "0.005928,0.008958,0.012461,0.020552,0.037691,0.072273,0.141529", \ "0.005931,0.008958,0.012462,0.020551,0.037696,0.072278,0.141534", \ "0.006029,0.009045,0.012513,0.020568,0.037696,0.072290,0.141537", \ "0.006351,0.009393,0.012737,0.020652,0.037720,0.072296,0.141549", \ "0.006697,0.009788,0.013022,0.020767,0.037755,0.072302,0.141555"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.062550,0.066717,0.070122,0.075835,0.085799,0.104480,0.141307", \ "0.063864,0.068032,0.071436,0.077149,0.087114,0.105794,0.142620", \ "0.068254,0.072423,0.075828,0.081541,0.091505,0.110185,0.147011", \ "0.076154,0.080327,0.083733,0.089444,0.099410,0.118092,0.154916", \ "0.086363,0.090557,0.093983,0.099713,0.109698,0.128386,0.165210", \ "0.096360,0.100609,0.104070,0.109834,0.119813,0.138509,0.175332", \ "0.105017,0.109364,0.112891,0.118695,0.128667,0.147389,0.184201"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.076708,0.082475,0.087309,0.096460,0.114692,0.151178,0.224068", \ "0.078451,0.084220,0.089053,0.098206,0.116436,0.152922,0.225815", \ "0.083622,0.089390,0.094223,0.103374,0.121605,0.158094,0.230986", \ "0.092640,0.098408,0.103242,0.112391,0.130619,0.167104,0.239992", \ "0.106677,0.112488,0.117330,0.126444,0.144657,0.181121,0.253996", \ "0.123331,0.129327,0.134241,0.143381,0.161554,0.197978,0.270829", \ "0.142320,0.148512,0.153526,0.162647,0.180785,0.217190,0.290032"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004837,0.006550,0.008254,0.011670,0.018867,0.034218,0.065989", \ "0.004836,0.006551,0.008253,0.011671,0.018868,0.034218,0.065989", \ "0.004836,0.006550,0.008253,0.011670,0.018867,0.034217,0.065985", \ "0.004843,0.006555,0.008258,0.011673,0.018869,0.034218,0.065988", \ "0.004959,0.006658,0.008348,0.011743,0.018911,0.034235,0.065990", \ "0.005174,0.006847,0.008512,0.011865,0.018980,0.034261,0.066000", \ "0.005530,0.007171,0.008798,0.012082,0.019106,0.034311,0.066009"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005927,0.008958,0.012463,0.020553,0.037693,0.072274,0.141534", \ "0.005930,0.008956,0.012463,0.020551,0.037690,0.072278,0.141529", \ "0.005927,0.008958,0.012462,0.020552,0.037690,0.072274,0.141528", \ "0.005931,0.008958,0.012462,0.020554,0.037689,0.072273,0.141540", \ "0.006029,0.009044,0.012511,0.020569,0.037692,0.072290,0.141535", \ "0.006355,0.009396,0.012740,0.020652,0.037726,0.072296,0.141542", \ "0.006707,0.009798,0.013028,0.020770,0.037753,0.072300,0.141547"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.057559,0.061705,0.065095,0.070790,0.080741,0.099417,0.136241", \ "0.058866,0.063014,0.066405,0.072099,0.082050,0.100727,0.137550", \ "0.063226,0.067373,0.070764,0.076457,0.086409,0.105085,0.141909", \ "0.070896,0.075048,0.078440,0.084136,0.094089,0.112765,0.149592", \ "0.080184,0.084366,0.087782,0.093503,0.103474,0.122157,0.158984", \ "0.088880,0.093131,0.096590,0.102355,0.112310,0.131005,0.167821", \ "0.096110,0.100476,0.104012,0.109822,0.119809,0.138538,0.175347"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.074718,0.080186,0.084936,0.094092,0.112358,0.148863,0.221766", \ "0.076461,0.081928,0.086678,0.095832,0.114100,0.150609,0.223504", \ "0.081628,0.087096,0.091846,0.100999,0.119266,0.155774,0.228669", \ "0.090649,0.096118,0.100868,0.110019,0.128284,0.164788,0.237685", \ "0.104644,0.110159,0.114911,0.124024,0.142273,0.178763,0.251634", \ "0.121135,0.126813,0.131599,0.140721,0.158939,0.195375,0.268248", \ "0.139953,0.145813,0.150654,0.159731,0.177901,0.214336,0.287202"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065981", \ "0.004760,0.006479,0.008189,0.011621,0.018836,0.034204,0.065983", \ "0.004758,0.006479,0.008189,0.011621,0.018837,0.034204,0.065983", \ "0.004776,0.006494,0.008204,0.011630,0.018842,0.034205,0.065982", \ "0.004918,0.006621,0.008313,0.011714,0.018892,0.034227,0.065989", \ "0.005174,0.006844,0.008507,0.011859,0.018973,0.034256,0.065994", \ "0.005579,0.007213,0.008834,0.012109,0.019121,0.034314,0.066008"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005462,0.008492,0.012186,0.020450,0.037653,0.072249,0.141528", \ "0.005462,0.008493,0.012185,0.020451,0.037655,0.072242,0.141535", \ "0.005464,0.008492,0.012186,0.020451,0.037650,0.072254,0.141535", \ "0.005463,0.008494,0.012187,0.020452,0.037655,0.072256,0.141528", \ "0.005575,0.008573,0.012226,0.020463,0.037658,0.072249,0.141536", \ "0.005877,0.008842,0.012376,0.020513,0.037672,0.072265,0.141534", \ "0.006200,0.009166,0.012570,0.020579,0.037694,0.072270,0.141527"); } } timing () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.051415,0.055487,0.058827,0.064462,0.074369,0.093024,0.129851", \ "0.052830,0.056903,0.060244,0.065878,0.075785,0.094440,0.131267", \ "0.057204,0.061277,0.064618,0.070253,0.080159,0.098815,0.135642", \ "0.064580,0.068658,0.072002,0.077643,0.087553,0.106209,0.143034", \ "0.072917,0.077029,0.080397,0.086062,0.095991,0.114656,0.151479", \ "0.080315,0.084493,0.087903,0.093601,0.103527,0.122199,0.159016", \ "0.085914,0.090205,0.093689,0.099465,0.109402,0.128089,0.164896"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.074611,0.080079,0.084829,0.093983,0.112251,0.148756,0.221657", \ "0.076246,0.081714,0.086464,0.095618,0.113885,0.150393,0.223289", \ "0.081440,0.086908,0.091658,0.100811,0.119078,0.155584,0.228480", \ "0.090541,0.096007,0.100757,0.109909,0.128174,0.164680,0.237575", \ "0.104619,0.110133,0.114885,0.124035,0.142285,0.178771,0.251647", \ "0.121389,0.127064,0.131850,0.140969,0.159171,0.195632,0.268511", \ "0.140643,0.146498,0.151337,0.160416,0.178592,0.215025,0.287891"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004518,0.006256,0.007990,0.011462,0.018735,0.034156,0.065968", \ "0.004518,0.006257,0.007989,0.011462,0.018735,0.034156,0.065967", \ "0.004518,0.006256,0.007990,0.011462,0.018735,0.034156,0.065968", \ "0.004550,0.006283,0.008012,0.011477,0.018743,0.034158,0.065967", \ "0.004694,0.006411,0.008122,0.011559,0.018790,0.034178,0.065971", \ "0.004952,0.006634,0.008314,0.011701,0.018870,0.034204,0.065977", \ "0.005356,0.006994,0.008630,0.011939,0.019009,0.034258,0.065988"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005462,0.008493,0.012186,0.020454,0.037655,0.072249,0.141528", \ "0.005464,0.008493,0.012186,0.020450,0.037652,0.072246,0.141531", \ "0.005462,0.008493,0.012187,0.020452,0.037653,0.072242,0.141530", \ "0.005463,0.008493,0.012187,0.020455,0.037653,0.072251,0.141524", \ "0.005578,0.008573,0.012226,0.020462,0.037656,0.072259,0.141536", \ "0.005872,0.008840,0.012375,0.020512,0.037672,0.072268,0.141532", \ "0.006192,0.009156,0.012564,0.020580,0.037695,0.072272,0.141540"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b0) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.057145,0.061279,0.064660,0.070341,0.080282,0.098952,0.135779", \ "0.058494,0.062627,0.066008,0.071689,0.081630,0.100299,0.137123", \ "0.063513,0.067645,0.071025,0.076707,0.086648,0.105317,0.142142", \ "0.072969,0.077106,0.080486,0.086167,0.096109,0.114779,0.151607", \ "0.084462,0.088627,0.092032,0.097737,0.107702,0.126378,0.163202", \ "0.094802,0.099042,0.102493,0.108231,0.118207,0.136893,0.173708", \ "0.103367,0.107727,0.111258,0.117063,0.127040,0.145749,0.182554"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.079041,0.084825,0.089663,0.098812,0.117040,0.153523,0.226415", \ "0.080824,0.086608,0.091446,0.100594,0.118819,0.155304,0.228193", \ "0.085940,0.091724,0.096562,0.105711,0.123937,0.160420,0.233308", \ "0.094937,0.100721,0.105559,0.114708,0.132930,0.169412,0.242305", \ "0.109127,0.114945,0.119789,0.128936,0.147138,0.183600,0.256470", \ "0.126206,0.132205,0.137120,0.146260,0.164420,0.200835,0.273699", \ "0.145625,0.151819,0.156833,0.165947,0.184113,0.220503,0.293355"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004723,0.006442,0.008154,0.011590,0.018815,0.034193,0.065981", \ "0.004723,0.006443,0.008155,0.011590,0.018814,0.034192,0.065980", \ "0.004722,0.006442,0.008155,0.011590,0.018814,0.034192,0.065981", \ "0.004731,0.006449,0.008161,0.011595,0.018817,0.034193,0.065978", \ "0.004882,0.006581,0.008275,0.011679,0.018867,0.034211,0.065982", \ "0.005163,0.006826,0.008485,0.011835,0.018953,0.034243,0.065992", \ "0.005590,0.007215,0.008828,0.012096,0.019106,0.034302,0.066003"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005963,0.008988,0.012482,0.020558,0.037698,0.072283,0.141534", \ "0.005963,0.008987,0.012481,0.020560,0.037691,0.072276,0.141547", \ "0.005963,0.008987,0.012481,0.020558,0.037692,0.072286,0.141544", \ "0.005962,0.008989,0.012482,0.020558,0.037692,0.072280,0.141543", \ "0.006044,0.009059,0.012521,0.020574,0.037694,0.072273,0.141542", \ "0.006367,0.009405,0.012746,0.020654,0.037723,0.072286,0.141533", \ "0.006716,0.009804,0.013033,0.020770,0.037759,0.072299,0.141547"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.052938,0.057054,0.060421,0.066086,0.076015,0.094681,0.131506", \ "0.054284,0.058399,0.061765,0.067430,0.077360,0.096025,0.132849", \ "0.059276,0.063390,0.066757,0.072422,0.082352,0.101016,0.137841", \ "0.068440,0.072559,0.075929,0.081598,0.091530,0.110196,0.147020", \ "0.078711,0.082869,0.086268,0.091966,0.101925,0.120601,0.157422", \ "0.087632,0.091876,0.095328,0.101073,0.111037,0.129721,0.166534", \ "0.094725,0.099108,0.102653,0.108476,0.118489,0.137196,0.173998"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.077072,0.082556,0.087308,0.096458,0.114719,0.151223,0.224121", \ "0.078852,0.084336,0.089088,0.098239,0.116500,0.153003,0.225904", \ "0.083966,0.089450,0.094202,0.103353,0.121614,0.158119,0.231015", \ "0.092965,0.098449,0.103201,0.112351,0.130611,0.167112,0.240010", \ "0.107129,0.112648,0.117401,0.126545,0.144784,0.181260,0.254149", \ "0.124041,0.129725,0.134512,0.143641,0.161845,0.198281,0.271158", \ "0.143310,0.149174,0.154016,0.163083,0.181277,0.217703,0.290573"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004652,0.006379,0.008099,0.011546,0.018788,0.034180,0.065977", \ "0.004654,0.006379,0.008099,0.011546,0.018788,0.034180,0.065977", \ "0.004652,0.006379,0.008098,0.011546,0.018788,0.034181,0.065977", \ "0.004678,0.006401,0.008117,0.011560,0.018795,0.034183,0.065976", \ "0.004861,0.006559,0.008254,0.011661,0.018854,0.034206,0.065981", \ "0.005181,0.006839,0.008496,0.011840,0.018955,0.034241,0.065989", \ "0.005655,0.007275,0.008882,0.012137,0.019129,0.034309,0.066003"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005499,0.008521,0.012202,0.020455,0.037653,0.072245,0.141526", \ "0.005500,0.008520,0.012201,0.020456,0.037657,0.072246,0.141519", \ "0.005499,0.008521,0.012202,0.020455,0.037658,0.072244,0.141520", \ "0.005501,0.008521,0.012200,0.020455,0.037661,0.072244,0.141529", \ "0.005591,0.008587,0.012235,0.020468,0.037654,0.072256,0.141523", \ "0.005894,0.008855,0.012384,0.020516,0.037673,0.072257,0.141525", \ "0.006216,0.009176,0.012577,0.020583,0.037697,0.072271,0.141534"); } } timing () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (C1 == 1'b1) && (C2 == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046433,0.050466,0.053778,0.059380,0.069263,0.087907,0.124735", \ "0.047901,0.051935,0.055247,0.060849,0.070732,0.089374,0.126203", \ "0.053098,0.057133,0.060445,0.066048,0.075930,0.094574,0.131401", \ "0.062117,0.066158,0.069476,0.075086,0.084972,0.103618,0.140447", \ "0.071314,0.075395,0.078741,0.084380,0.094289,0.112943,0.149771", \ "0.078854,0.083022,0.086421,0.092117,0.102031,0.120693,0.157505", \ "0.084285,0.088591,0.092081,0.097866,0.107854,0.126509,0.163310"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.076965,0.082449,0.087201,0.096351,0.114613,0.151117,0.224014", \ "0.078635,0.084118,0.088870,0.098021,0.116284,0.152787,0.225683", \ "0.083766,0.089251,0.094003,0.103153,0.121416,0.157918,0.230814", \ "0.092858,0.098341,0.103093,0.112242,0.130502,0.167002,0.239900", \ "0.107128,0.112647,0.117400,0.126530,0.144775,0.181261,0.254148", \ "0.124302,0.129985,0.134771,0.143899,0.162093,0.198535,0.271417", \ "0.144005,0.149865,0.154705,0.163780,0.181979,0.218406,0.291269"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004388,0.006138,0.007882,0.011377,0.018680,0.034131,0.065963", \ "0.004388,0.006138,0.007882,0.011377,0.018681,0.034131,0.065964", \ "0.004388,0.006138,0.007883,0.011377,0.018681,0.034130,0.065963", \ "0.004433,0.006176,0.007915,0.011399,0.018692,0.034134,0.065964", \ "0.004625,0.006339,0.008052,0.011499,0.018747,0.034156,0.065967", \ "0.004949,0.006618,0.008290,0.011672,0.018844,0.034187,0.065972", \ "0.005422,0.007046,0.008665,0.011956,0.019009,0.034247,0.065982"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005500,0.008521,0.012201,0.020455,0.037657,0.072252,0.141526", \ "0.005498,0.008521,0.012200,0.020458,0.037661,0.072245,0.141528", \ "0.005498,0.008520,0.012200,0.020455,0.037661,0.072260,0.141521", \ "0.005500,0.008521,0.012201,0.020455,0.037657,0.072245,0.141526", \ "0.005591,0.008587,0.012235,0.020464,0.037658,0.072258,0.141526", \ "0.005888,0.008853,0.012382,0.020514,0.037675,0.072258,0.141525", \ "0.006207,0.009169,0.012572,0.020579,0.037692,0.072267,0.141532"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.065492,0.069659,0.073065,0.078777,0.088743,0.107424,0.144250", \ "0.067165,0.071333,0.074739,0.080451,0.090415,0.109096,0.145922", \ "0.070807,0.074975,0.078380,0.084093,0.094058,0.112738,0.149564", \ "0.075877,0.080049,0.083454,0.089164,0.099129,0.117811,0.154637", \ "0.082000,0.086194,0.089614,0.095349,0.105327,0.124014,0.160838", \ "0.088123,0.092360,0.095812,0.101569,0.111546,0.130241,0.167062", \ "0.093185,0.097500,0.101005,0.106812,0.116787,0.135507,0.172327"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083181,0.089317,0.094314,0.103489,0.121671,0.158123,0.231000", \ "0.084065,0.090200,0.095197,0.104374,0.122558,0.159007,0.231878", \ "0.088794,0.094931,0.099927,0.109103,0.127285,0.163732,0.236607", \ "0.099653,0.105787,0.110783,0.119957,0.138138,0.174586,0.247458", \ "0.118032,0.124182,0.129183,0.138349,0.156492,0.192928,0.265802", \ "0.141109,0.147483,0.152619,0.161775,0.179788,0.216161,0.289002", \ "0.165648,0.172315,0.177691,0.186960,0.204873,0.241147,0.313952"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004837,0.006550,0.008254,0.011671,0.018867,0.034218,0.065986", \ "0.004838,0.006550,0.008254,0.011671,0.018868,0.034218,0.065989", \ "0.004838,0.006550,0.008254,0.011671,0.018867,0.034217,0.065987", \ "0.004840,0.006552,0.008255,0.011671,0.018868,0.034218,0.065987", \ "0.004934,0.006638,0.008331,0.011729,0.018903,0.034232,0.065991", \ "0.005093,0.006782,0.008458,0.011828,0.018961,0.034256,0.065998", \ "0.005371,0.007037,0.008687,0.012006,0.019070,0.034304,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006514,0.009637,0.012930,0.020744,0.037752,0.072307,0.141550", \ "0.006513,0.009637,0.012929,0.020743,0.037755,0.072317,0.141551", \ "0.006513,0.009637,0.012929,0.020745,0.037757,0.072306,0.141551", \ "0.006514,0.009636,0.012930,0.020744,0.037753,0.072324,0.141555", \ "0.006544,0.009667,0.012952,0.020751,0.037759,0.072306,0.141556", \ "0.007011,0.010193,0.013347,0.020911,0.037798,0.072333,0.141554", \ "0.007614,0.010931,0.013987,0.021225,0.037896,0.072366,0.141570"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060487,0.064633,0.068024,0.073718,0.083669,0.102345,0.139171", \ "0.062137,0.066284,0.069674,0.075368,0.085320,0.103996,0.140824", \ "0.065724,0.069870,0.073261,0.078955,0.088907,0.107583,0.144406", \ "0.070670,0.074820,0.078211,0.083905,0.093858,0.112535,0.149360", \ "0.076330,0.080507,0.083919,0.089634,0.099604,0.118287,0.155110", \ "0.081753,0.085983,0.089429,0.095177,0.105130,0.123822,0.160642", \ "0.085716,0.090036,0.093546,0.099364,0.109323,0.128028,0.164847"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081246,0.087094,0.091953,0.101096,0.119305,0.155781,0.228666", \ "0.082131,0.087978,0.092834,0.101979,0.120189,0.156664,0.229550", \ "0.086859,0.092706,0.097564,0.106708,0.124918,0.161393,0.234280", \ "0.097725,0.103572,0.108431,0.117573,0.135784,0.172256,0.245144", \ "0.116124,0.121987,0.126848,0.135980,0.154156,0.190618,0.263508", \ "0.138959,0.145052,0.150004,0.159093,0.177141,0.213549,0.286401", \ "0.163201,0.169586,0.174714,0.183865,0.201780,0.238101,0.310933"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065981", \ "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006478,0.008189,0.011621,0.018837,0.034204,0.065981", \ "0.004767,0.006486,0.008196,0.011626,0.018839,0.034205,0.065982", \ "0.004881,0.006587,0.008285,0.011694,0.018880,0.034223,0.065987", \ "0.005069,0.006756,0.008436,0.011809,0.018949,0.034249,0.065993", \ "0.005395,0.007057,0.008704,0.012019,0.019076,0.034304,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006080,0.009106,0.012554,0.020585,0.037702,0.072297,0.141549", \ "0.006078,0.009106,0.012556,0.020585,0.037704,0.072296,0.141548", \ "0.006079,0.009106,0.012555,0.020586,0.037700,0.072280,0.141546", \ "0.006079,0.009107,0.012556,0.020586,0.037708,0.072290,0.141541", \ "0.006115,0.009138,0.012573,0.020592,0.037708,0.072287,0.141544", \ "0.006559,0.009603,0.012878,0.020701,0.037730,0.072290,0.141554", \ "0.007104,0.010242,0.013359,0.020904,0.037790,0.072319,0.141562"); } } timing () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.054607,0.058691,0.062040,0.067683,0.077596,0.096255,0.133079", \ "0.056305,0.060390,0.063738,0.069381,0.079295,0.097952,0.134778", \ "0.060641,0.064725,0.068073,0.073717,0.083631,0.102288,0.139114", \ "0.066834,0.070922,0.074271,0.079917,0.089831,0.108490,0.145315", \ "0.073727,0.077849,0.081223,0.086897,0.096831,0.115497,0.152318", \ "0.079867,0.084051,0.087465,0.093178,0.103125,0.121799,0.158617", \ "0.083956,0.088255,0.091745,0.097539,0.107500,0.126176,0.162987"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083079,0.088940,0.093801,0.102946,0.121150,0.157626,0.230513", \ "0.083976,0.089835,0.094697,0.103841,0.122048,0.158522,0.231410", \ "0.088672,0.094531,0.099392,0.108536,0.126743,0.163216,0.236105", \ "0.099549,0.105409,0.110271,0.119413,0.137617,0.174088,0.246980", \ "0.118076,0.123949,0.128812,0.137967,0.156121,0.192585,0.265471", \ "0.141395,0.147480,0.152428,0.161529,0.179601,0.216005,0.288859", \ "0.166147,0.172523,0.177642,0.186791,0.204701,0.241048,0.313840"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004553,0.006288,0.008019,0.011485,0.018750,0.034163,0.065970", \ "0.004552,0.006288,0.008019,0.011485,0.018750,0.034162,0.065969", \ "0.004553,0.006288,0.008019,0.011485,0.018749,0.034163,0.065969", \ "0.004570,0.006303,0.008031,0.011493,0.018754,0.034164,0.065970", \ "0.004706,0.006423,0.008135,0.011572,0.018799,0.034183,0.065973", \ "0.004943,0.006634,0.008319,0.011710,0.018880,0.034212,0.065980", \ "0.005341,0.006993,0.008637,0.011954,0.019026,0.034273,0.065998"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006104,0.009131,0.012569,0.020592,0.037705,0.072284,0.141539", \ "0.006104,0.009131,0.012570,0.020591,0.037705,0.072292,0.141537", \ "0.006102,0.009132,0.012570,0.020591,0.037705,0.072289,0.141536", \ "0.006104,0.009131,0.012570,0.020591,0.037702,0.072287,0.141544", \ "0.006128,0.009152,0.012582,0.020596,0.037709,0.072282,0.141540", \ "0.006549,0.009590,0.012867,0.020700,0.037735,0.072291,0.141546", \ "0.007085,0.010218,0.013340,0.020893,0.037791,0.072317,0.141552"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060487,0.064633,0.068024,0.073718,0.083669,0.102345,0.139171", \ "0.062137,0.066284,0.069674,0.075368,0.085320,0.103996,0.140824", \ "0.065724,0.069870,0.073261,0.078955,0.088907,0.107583,0.144406", \ "0.070670,0.074820,0.078211,0.083905,0.093858,0.112535,0.149360", \ "0.076330,0.080507,0.083919,0.089634,0.099604,0.118287,0.155110", \ "0.081753,0.085983,0.089429,0.095177,0.105130,0.123822,0.160642", \ "0.085716,0.090036,0.093546,0.099364,0.109323,0.128028,0.164847"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081246,0.087094,0.091953,0.101096,0.119305,0.155781,0.228666", \ "0.082131,0.087978,0.092834,0.101979,0.120189,0.156664,0.229550", \ "0.086859,0.092706,0.097564,0.106708,0.124918,0.161393,0.234280", \ "0.097725,0.103572,0.108431,0.117573,0.135784,0.172256,0.245144", \ "0.116124,0.121987,0.126848,0.135980,0.154156,0.190618,0.263508", \ "0.138959,0.145052,0.150004,0.159093,0.177141,0.213549,0.286401", \ "0.163201,0.169586,0.174714,0.183865,0.201780,0.238101,0.310933"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004758,0.006479,0.008189,0.011620,0.018837,0.034204,0.065981", \ "0.004758,0.006479,0.008190,0.011621,0.018837,0.034204,0.065984", \ "0.004758,0.006478,0.008189,0.011621,0.018837,0.034204,0.065981", \ "0.004767,0.006486,0.008196,0.011626,0.018839,0.034205,0.065982", \ "0.004881,0.006587,0.008285,0.011694,0.018880,0.034223,0.065987", \ "0.005069,0.006756,0.008436,0.011809,0.018949,0.034249,0.065993", \ "0.005395,0.007057,0.008704,0.012019,0.019076,0.034304,0.066011"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006080,0.009106,0.012554,0.020585,0.037702,0.072297,0.141549", \ "0.006078,0.009106,0.012556,0.020585,0.037704,0.072296,0.141548", \ "0.006079,0.009106,0.012555,0.020586,0.037700,0.072280,0.141546", \ "0.006079,0.009107,0.012556,0.020586,0.037708,0.072290,0.141541", \ "0.006115,0.009138,0.012573,0.020592,0.037708,0.072287,0.141544", \ "0.006559,0.009603,0.012878,0.020701,0.037730,0.072290,0.141554", \ "0.007104,0.010242,0.013359,0.020904,0.037790,0.072319,0.141562"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.055575,0.059697,0.063070,0.068745,0.078683,0.097353,0.134179", \ "0.057195,0.061317,0.064691,0.070366,0.080304,0.098974,0.135799", \ "0.060701,0.064825,0.068199,0.073873,0.083811,0.102481,0.139305", \ "0.065458,0.069588,0.072966,0.078643,0.088583,0.107252,0.144077", \ "0.070560,0.074724,0.078126,0.083834,0.093785,0.112463,0.149289", \ "0.075098,0.079324,0.082768,0.088513,0.098472,0.117162,0.153982", \ "0.077767,0.082106,0.085627,0.091452,0.101419,0.120128,0.156944"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.079244,0.084784,0.089545,0.098684,0.116931,0.153425,0.226324", \ "0.080125,0.085666,0.090426,0.099566,0.117812,0.154312,0.227207", \ "0.084854,0.090395,0.095155,0.104295,0.122542,0.159036,0.231935", \ "0.095731,0.101272,0.106032,0.115171,0.133417,0.169915,0.242805", \ "0.114152,0.119708,0.124467,0.133594,0.151810,0.188304,0.261193", \ "0.136729,0.142501,0.147306,0.156366,0.174453,0.210888,0.283757", \ "0.160695,0.166740,0.171645,0.180720,0.198665,0.235024,0.307881"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004671,0.006400,0.008120,0.011567,0.018804,0.034189,0.065976", \ "0.004672,0.006400,0.008120,0.011566,0.018804,0.034189,0.065979", \ "0.004671,0.006399,0.008120,0.011566,0.018803,0.034189,0.065976", \ "0.004698,0.006422,0.008138,0.011580,0.018810,0.034191,0.065977", \ "0.004828,0.006538,0.008242,0.011659,0.018858,0.034212,0.065981", \ "0.005058,0.006747,0.008424,0.011799,0.018941,0.034245,0.065991", \ "0.005449,0.007107,0.008745,0.012049,0.019093,0.034312,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005614,0.008613,0.012252,0.020474,0.037661,0.072255,0.141522", \ "0.005614,0.008613,0.012252,0.020474,0.037660,0.072251,0.141525", \ "0.005613,0.008613,0.012251,0.020475,0.037660,0.072254,0.141523", \ "0.005614,0.008615,0.012252,0.020476,0.037665,0.072257,0.141525", \ "0.005654,0.008644,0.012266,0.020478,0.037663,0.072260,0.141527", \ "0.006076,0.009015,0.012473,0.020542,0.037684,0.072264,0.141533", \ "0.006563,0.009527,0.012796,0.020654,0.037710,0.072272,0.141543"); } } timing () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050533,0.054596,0.057930,0.063556,0.073458,0.092112,0.128937", \ "0.052205,0.056269,0.059602,0.065230,0.075131,0.093785,0.130612", \ "0.056475,0.060539,0.063872,0.069499,0.079401,0.098054,0.134881", \ "0.062401,0.066474,0.069813,0.075446,0.085351,0.104005,0.140833", \ "0.068573,0.072685,0.076051,0.081715,0.091643,0.110305,0.147128", \ "0.073603,0.077792,0.081209,0.086914,0.096865,0.115539,0.152355", \ "0.076282,0.080607,0.084112,0.089917,0.099882,0.118568,0.155378"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081077,0.086630,0.091394,0.100529,0.118771,0.155264,0.228160", \ "0.081973,0.087525,0.092286,0.101424,0.119668,0.156161,0.229055", \ "0.086667,0.092219,0.096981,0.106118,0.124362,0.160856,0.233750", \ "0.097556,0.103109,0.107871,0.117009,0.135248,0.171743,0.244638", \ "0.116108,0.121672,0.126433,0.135583,0.153770,0.190257,0.263155", \ "0.139184,0.144950,0.149753,0.158824,0.176930,0.213369,0.286240", \ "0.163665,0.169701,0.174601,0.183669,0.201611,0.238002,0.310813"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004478,0.006222,0.007960,0.011440,0.018722,0.034150,0.065966", \ "0.004477,0.006221,0.007960,0.011440,0.018722,0.034150,0.065967", \ "0.004476,0.006222,0.007961,0.011440,0.018722,0.034150,0.065967", \ "0.004516,0.006253,0.007986,0.011458,0.018732,0.034154,0.065967", \ "0.004674,0.006392,0.008108,0.011549,0.018784,0.034175,0.065972", \ "0.004959,0.006644,0.008327,0.011714,0.018881,0.034211,0.065978", \ "0.005421,0.007065,0.008698,0.012001,0.019053,0.034283,0.065999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005639,0.008633,0.012261,0.020477,0.037661,0.072254,0.141527", \ "0.005638,0.008633,0.012261,0.020480,0.037665,0.072254,0.141533", \ "0.005638,0.008633,0.012261,0.020476,0.037661,0.072248,0.141537", \ "0.005637,0.008634,0.012262,0.020477,0.037660,0.072249,0.141533", \ "0.005667,0.008655,0.012274,0.020481,0.037660,0.072257,0.141529", \ "0.006067,0.009007,0.012468,0.020541,0.037680,0.072257,0.141539", \ "0.006549,0.009508,0.012783,0.020649,0.037709,0.072276,0.141540"); } } timing () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.054607,0.058691,0.062040,0.067683,0.077596,0.096255,0.133079", \ "0.056305,0.060390,0.063738,0.069381,0.079295,0.097952,0.134778", \ "0.060641,0.064725,0.068073,0.073717,0.083631,0.102288,0.139114", \ "0.066834,0.070922,0.074271,0.079917,0.089831,0.108490,0.145315", \ "0.073727,0.077849,0.081223,0.086897,0.096831,0.115497,0.152318", \ "0.079867,0.084051,0.087465,0.093178,0.103125,0.121799,0.158617", \ "0.083956,0.088255,0.091745,0.097539,0.107500,0.126176,0.162987"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083079,0.088940,0.093801,0.102946,0.121150,0.157626,0.230513", \ "0.083976,0.089835,0.094697,0.103841,0.122048,0.158522,0.231410", \ "0.088672,0.094531,0.099392,0.108536,0.126743,0.163216,0.236105", \ "0.099549,0.105409,0.110271,0.119413,0.137617,0.174088,0.246980", \ "0.118076,0.123949,0.128812,0.137967,0.156121,0.192585,0.265471", \ "0.141395,0.147480,0.152428,0.161529,0.179601,0.216005,0.288859", \ "0.166147,0.172523,0.177642,0.186791,0.204701,0.241048,0.313840"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004553,0.006288,0.008019,0.011485,0.018750,0.034163,0.065970", \ "0.004552,0.006288,0.008019,0.011485,0.018750,0.034162,0.065969", \ "0.004553,0.006288,0.008019,0.011485,0.018749,0.034163,0.065969", \ "0.004570,0.006303,0.008031,0.011493,0.018754,0.034164,0.065970", \ "0.004706,0.006423,0.008135,0.011572,0.018799,0.034183,0.065973", \ "0.004943,0.006634,0.008319,0.011710,0.018880,0.034212,0.065980", \ "0.005341,0.006993,0.008637,0.011954,0.019026,0.034273,0.065998"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006104,0.009131,0.012569,0.020592,0.037705,0.072284,0.141539", \ "0.006104,0.009131,0.012570,0.020591,0.037705,0.072292,0.141537", \ "0.006102,0.009132,0.012570,0.020591,0.037705,0.072289,0.141536", \ "0.006104,0.009131,0.012570,0.020591,0.037702,0.072287,0.141544", \ "0.006128,0.009152,0.012582,0.020596,0.037709,0.072282,0.141540", \ "0.006549,0.009590,0.012867,0.020700,0.037735,0.072291,0.141546", \ "0.007085,0.010218,0.013340,0.020893,0.037791,0.072317,0.141552"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.050533,0.054596,0.057930,0.063556,0.073458,0.092112,0.128937", \ "0.052205,0.056269,0.059602,0.065230,0.075131,0.093785,0.130612", \ "0.056475,0.060539,0.063872,0.069499,0.079401,0.098054,0.134881", \ "0.062401,0.066474,0.069813,0.075446,0.085351,0.104005,0.140833", \ "0.068573,0.072685,0.076051,0.081715,0.091643,0.110305,0.147128", \ "0.073603,0.077792,0.081209,0.086914,0.096865,0.115539,0.152355", \ "0.076282,0.080607,0.084112,0.089917,0.099882,0.118568,0.155378"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.081077,0.086630,0.091394,0.100529,0.118771,0.155264,0.228160", \ "0.081973,0.087525,0.092286,0.101424,0.119668,0.156161,0.229055", \ "0.086667,0.092219,0.096981,0.106118,0.124362,0.160856,0.233750", \ "0.097556,0.103109,0.107871,0.117009,0.135248,0.171743,0.244638", \ "0.116108,0.121672,0.126433,0.135583,0.153770,0.190257,0.263155", \ "0.139184,0.144950,0.149753,0.158824,0.176930,0.213369,0.286240", \ "0.163665,0.169701,0.174601,0.183669,0.201611,0.238002,0.310813"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004478,0.006222,0.007960,0.011440,0.018722,0.034150,0.065966", \ "0.004477,0.006221,0.007960,0.011440,0.018722,0.034150,0.065967", \ "0.004476,0.006222,0.007961,0.011440,0.018722,0.034150,0.065967", \ "0.004516,0.006253,0.007986,0.011458,0.018732,0.034154,0.065967", \ "0.004674,0.006392,0.008108,0.011549,0.018784,0.034175,0.065972", \ "0.004959,0.006644,0.008327,0.011714,0.018881,0.034211,0.065978", \ "0.005421,0.007065,0.008698,0.012001,0.019053,0.034283,0.065999"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005639,0.008633,0.012261,0.020477,0.037661,0.072254,0.141527", \ "0.005638,0.008633,0.012261,0.020480,0.037665,0.072254,0.141533", \ "0.005638,0.008633,0.012261,0.020476,0.037661,0.072248,0.141537", \ "0.005637,0.008634,0.012262,0.020477,0.037660,0.072249,0.141533", \ "0.005667,0.008655,0.012274,0.020481,0.037660,0.072257,0.141529", \ "0.006067,0.009007,0.012468,0.020541,0.037680,0.072257,0.141539", \ "0.006549,0.009508,0.012783,0.020649,0.037709,0.072276,0.141540"); } } timing () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C2 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.046164,0.050197,0.053509,0.059109,0.068989,0.087633,0.124460", \ "0.047839,0.051872,0.055183,0.060784,0.070664,0.089307,0.126136", \ "0.052455,0.056487,0.059798,0.065399,0.075279,0.093922,0.130750", \ "0.059564,0.063607,0.066925,0.072536,0.082422,0.101066,0.137893", \ "0.066685,0.070776,0.074128,0.079776,0.089685,0.108338,0.145163", \ "0.072056,0.076241,0.079653,0.085357,0.095294,0.113962,0.150774", \ "0.074734,0.079074,0.082590,0.088400,0.098368,0.117031,0.153833"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083920,0.089483,0.094244,0.103380,0.121617,0.158109,0.231005", \ "0.084834,0.090396,0.095156,0.104291,0.122532,0.159023,0.231923", \ "0.089550,0.095112,0.099874,0.109009,0.127248,0.163739,0.236637", \ "0.100479,0.106041,0.110803,0.119938,0.138176,0.174667,0.247563", \ "0.119086,0.124658,0.129420,0.138589,0.156791,0.193280,0.266171", \ "0.142687,0.148450,0.153250,0.162327,0.180487,0.216912,0.289787", \ "0.167724,0.173754,0.178651,0.187743,0.205732,0.242040,0.314891"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004373,0.006125,0.007872,0.011369,0.018676,0.034130,0.065961", \ "0.004373,0.006126,0.007872,0.011370,0.018676,0.034130,0.065962", \ "0.004374,0.006126,0.007872,0.011370,0.018677,0.034129,0.065962", \ "0.004433,0.006174,0.007912,0.011397,0.018691,0.034133,0.065960", \ "0.004632,0.006348,0.008062,0.011508,0.018753,0.034158,0.065966", \ "0.004981,0.006653,0.008324,0.011704,0.018867,0.034199,0.065974", \ "0.005501,0.007130,0.008751,0.012032,0.019063,0.034279,0.065994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005661,0.008650,0.012271,0.020479,0.037661,0.072253,0.141522", \ "0.005660,0.008650,0.012272,0.020482,0.037664,0.072257,0.141528", \ "0.005659,0.008650,0.012271,0.020480,0.037661,0.072270,0.141528", \ "0.005660,0.008650,0.012272,0.020482,0.037660,0.072265,0.141535", \ "0.005684,0.008668,0.012281,0.020481,0.037661,0.072268,0.141537", \ "0.006063,0.009002,0.012465,0.020540,0.037687,0.072267,0.141525", \ "0.006548,0.009503,0.012778,0.020648,0.037710,0.072275,0.141538"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.070160,0.074349,0.077769,0.083497,0.093477,0.112163,0.148989", \ "0.071550,0.075737,0.079157,0.084886,0.094867,0.113552,0.150379", \ "0.075193,0.079381,0.082801,0.088530,0.098510,0.117195,0.154021", \ "0.080428,0.084617,0.088036,0.093768,0.103746,0.122432,0.159257", \ "0.086947,0.091155,0.094589,0.100330,0.110323,0.129013,0.165839", \ "0.093626,0.097873,0.101332,0.107093,0.117088,0.135788,0.172607", \ "0.099611,0.103917,0.107420,0.113208,0.123192,0.141893,0.178714"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.087048,0.093182,0.098180,0.107356,0.125538,0.161990,0.234862", \ "0.088631,0.094767,0.099763,0.108942,0.127122,0.163573,0.236450", \ "0.094000,0.100135,0.105132,0.114309,0.132491,0.168940,0.241819", \ "0.103170,0.109305,0.114302,0.123479,0.141662,0.178112,0.250983", \ "0.117672,0.123823,0.128824,0.137994,0.156171,0.192590,0.265455", \ "0.135841,0.142143,0.147237,0.156442,0.174629,0.211025,0.283864", \ "0.156646,0.163132,0.168361,0.177577,0.195718,0.232061,0.304890"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004911,0.006619,0.008315,0.011719,0.018897,0.034231,0.065991", \ "0.004912,0.006619,0.008315,0.011719,0.018897,0.034231,0.065994", \ "0.004911,0.006619,0.008315,0.011719,0.018897,0.034231,0.065991", \ "0.004913,0.006620,0.008316,0.011720,0.018898,0.034231,0.065992", \ "0.004991,0.006691,0.008378,0.011766,0.018926,0.034243,0.065994", \ "0.005130,0.006815,0.008489,0.011853,0.018977,0.034265,0.065999", \ "0.005355,0.007023,0.008675,0.011998,0.019065,0.034302,0.066012"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006512,0.009636,0.012931,0.020743,0.037752,0.072309,0.141559", \ "0.006514,0.009637,0.012931,0.020743,0.037752,0.072316,0.141551", \ "0.006514,0.009638,0.012929,0.020743,0.037752,0.072316,0.141560", \ "0.006513,0.009637,0.012931,0.020745,0.037753,0.072317,0.141552", \ "0.006540,0.009666,0.012949,0.020754,0.037756,0.072319,0.141559", \ "0.006849,0.010020,0.013214,0.020859,0.037785,0.072335,0.141561", \ "0.007207,0.010456,0.013577,0.021033,0.037847,0.072341,0.141570"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.065102,0.069270,0.072676,0.078389,0.088355,0.107036,0.143861", \ "0.066486,0.070655,0.074061,0.079773,0.089739,0.108420,0.145247", \ "0.070108,0.074278,0.077683,0.083397,0.093362,0.112043,0.148868", \ "0.075255,0.079428,0.082833,0.088545,0.098511,0.117193,0.154017", \ "0.081367,0.085558,0.088982,0.094713,0.104694,0.123380,0.160205", \ "0.087442,0.091679,0.095132,0.100880,0.110852,0.129547,0.166367", \ "0.092478,0.096788,0.100290,0.106089,0.116053,0.134751,0.171568"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.085111,0.090960,0.095818,0.104962,0.123172,0.159650,0.232536", \ "0.086695,0.092543,0.097401,0.106547,0.124756,0.161231,0.234116", \ "0.092062,0.097911,0.102769,0.111914,0.130125,0.166599,0.239486", \ "0.101234,0.107083,0.111941,0.121085,0.139295,0.175769,0.248654", \ "0.115728,0.121591,0.126452,0.135593,0.153779,0.190240,0.263111", \ "0.133764,0.139784,0.144707,0.153858,0.172062,0.208488,0.281340", \ "0.154406,0.160607,0.165626,0.174749,0.192887,0.229235,0.302069"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004836,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ "0.004838,0.006551,0.008255,0.011671,0.018868,0.034218,0.065988", \ "0.004838,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ "0.004844,0.006557,0.008259,0.011675,0.018870,0.034219,0.065987", \ "0.004938,0.006639,0.008333,0.011731,0.018904,0.034232,0.065989", \ "0.005094,0.006781,0.008458,0.011827,0.018961,0.034255,0.065998", \ "0.005357,0.007022,0.008672,0.011994,0.019061,0.034299,0.066010"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006080,0.009106,0.012555,0.020585,0.037703,0.072291,0.141550", \ "0.006080,0.009106,0.012554,0.020585,0.037701,0.072295,0.141543", \ "0.006080,0.009106,0.012555,0.020586,0.037703,0.072285,0.141544", \ "0.006080,0.009106,0.012555,0.020587,0.037700,0.072297,0.141536", \ "0.006110,0.009136,0.012571,0.020592,0.037705,0.072283,0.141547", \ "0.006407,0.009449,0.012775,0.020666,0.037724,0.072289,0.141552", \ "0.006735,0.009825,0.013048,0.020778,0.037757,0.072303,0.141561"); } } timing () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b0) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.058448,0.062552,0.065913,0.071571,0.081496,0.100158,0.136984", \ "0.059925,0.064028,0.067389,0.073047,0.082972,0.101634,0.138460", \ "0.064291,0.068394,0.071756,0.077414,0.087339,0.106001,0.142827", \ "0.070692,0.074799,0.078160,0.083819,0.093745,0.112409,0.149233", \ "0.078159,0.082294,0.085676,0.091358,0.101297,0.119967,0.156790", \ "0.085144,0.089330,0.092747,0.098462,0.108409,0.127087,0.163905", \ "0.090447,0.094725,0.098202,0.103977,0.113925,0.132613,0.169426"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.086950,0.092809,0.097670,0.106814,0.125019,0.161495,0.234378", \ "0.088555,0.094415,0.099277,0.108422,0.126628,0.163099,0.235990", \ "0.093882,0.099741,0.104603,0.113744,0.131952,0.168428,0.241315", \ "0.103035,0.108894,0.113756,0.122899,0.141105,0.177577,0.250467", \ "0.117600,0.123472,0.128336,0.137525,0.155693,0.192148,0.265034", \ "0.136003,0.142025,0.146948,0.156099,0.174296,0.210718,0.283569", \ "0.157049,0.163249,0.168266,0.177392,0.195511,0.231896,0.304730"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004621,0.006351,0.008073,0.011527,0.018775,0.034174,0.065975", \ "0.004621,0.006351,0.008073,0.011527,0.018776,0.034174,0.065974", \ "0.004622,0.006351,0.008073,0.011527,0.018775,0.034174,0.065974", \ "0.004632,0.006361,0.008082,0.011533,0.018778,0.034175,0.065973", \ "0.004748,0.006462,0.008169,0.011598,0.018816,0.034191,0.065977", \ "0.004948,0.006639,0.008324,0.011716,0.018885,0.034216,0.065984", \ "0.005279,0.006938,0.008587,0.011917,0.019004,0.034265,0.065996"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006103,0.009132,0.012570,0.020591,0.037705,0.072285,0.141545", \ "0.006104,0.009130,0.012569,0.020591,0.037703,0.072286,0.141539", \ "0.006103,0.009132,0.012570,0.020592,0.037702,0.072279,0.141540", \ "0.006104,0.009131,0.012569,0.020591,0.037701,0.072298,0.141540", \ "0.006126,0.009154,0.012583,0.020596,0.037706,0.072292,0.141537", \ "0.006411,0.009452,0.012778,0.020668,0.037722,0.072290,0.141546", \ "0.006734,0.009823,0.013045,0.020778,0.037757,0.072305,0.141549"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.065102,0.069270,0.072676,0.078389,0.088355,0.107036,0.143861", \ "0.066486,0.070655,0.074061,0.079773,0.089739,0.108420,0.145247", \ "0.070108,0.074278,0.077683,0.083397,0.093362,0.112043,0.148868", \ "0.075255,0.079428,0.082833,0.088545,0.098511,0.117193,0.154017", \ "0.081367,0.085558,0.088982,0.094713,0.104694,0.123380,0.160205", \ "0.087442,0.091679,0.095132,0.100880,0.110852,0.129547,0.166367", \ "0.092478,0.096788,0.100290,0.106089,0.116053,0.134751,0.171568"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.085111,0.090960,0.095818,0.104962,0.123172,0.159650,0.232536", \ "0.086695,0.092543,0.097401,0.106547,0.124756,0.161231,0.234116", \ "0.092062,0.097911,0.102769,0.111914,0.130125,0.166599,0.239486", \ "0.101234,0.107083,0.111941,0.121085,0.139295,0.175769,0.248654", \ "0.115728,0.121591,0.126452,0.135593,0.153779,0.190240,0.263111", \ "0.133764,0.139784,0.144707,0.153858,0.172062,0.208488,0.281340", \ "0.154406,0.160607,0.165626,0.174749,0.192887,0.229235,0.302069"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004836,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ "0.004838,0.006551,0.008255,0.011671,0.018868,0.034218,0.065988", \ "0.004838,0.006551,0.008254,0.011671,0.018868,0.034218,0.065986", \ "0.004844,0.006557,0.008259,0.011675,0.018870,0.034219,0.065987", \ "0.004938,0.006639,0.008333,0.011731,0.018904,0.034232,0.065989", \ "0.005094,0.006781,0.008458,0.011827,0.018961,0.034255,0.065998", \ "0.005357,0.007022,0.008672,0.011994,0.019061,0.034299,0.066010"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006080,0.009106,0.012555,0.020585,0.037703,0.072291,0.141550", \ "0.006080,0.009106,0.012554,0.020585,0.037701,0.072295,0.141543", \ "0.006080,0.009106,0.012555,0.020586,0.037703,0.072285,0.141544", \ "0.006080,0.009106,0.012555,0.020587,0.037700,0.072297,0.141536", \ "0.006110,0.009136,0.012571,0.020592,0.037705,0.072283,0.141547", \ "0.006407,0.009449,0.012775,0.020666,0.037724,0.072289,0.141552", \ "0.006735,0.009825,0.013048,0.020778,0.037757,0.072303,0.141561"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.060108,0.064255,0.067646,0.073341,0.083293,0.101969,0.138794", \ "0.061488,0.065635,0.069026,0.074720,0.084672,0.103348,0.140175", \ "0.065089,0.069236,0.072627,0.078323,0.088274,0.106950,0.143774", \ "0.070099,0.074251,0.077642,0.083339,0.093293,0.111970,0.148793", \ "0.075725,0.079904,0.083317,0.089037,0.099006,0.117689,0.154514", \ "0.081053,0.085282,0.088727,0.094466,0.104435,0.123127,0.159947", \ "0.084991,0.089307,0.092813,0.098623,0.108580,0.127270,0.164087"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.083110,0.088650,0.093411,0.102550,0.120798,0.157296,0.230195", \ "0.084693,0.090233,0.094993,0.104134,0.122380,0.158876,0.231775", \ "0.090059,0.095600,0.100360,0.109499,0.127746,0.164243,0.237139", \ "0.099231,0.104772,0.109532,0.118672,0.136917,0.173414,0.246311", \ "0.113721,0.119278,0.124038,0.133177,0.151392,0.187876,0.260763", \ "0.131609,0.137314,0.142105,0.151235,0.169457,0.205893,0.278768", \ "0.152092,0.157968,0.162812,0.171887,0.190060,0.226438,0.299291"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004758,0.006480,0.008191,0.011621,0.018837,0.034204,0.065982", \ "0.004759,0.006479,0.008191,0.011622,0.018837,0.034204,0.065983", \ "0.004758,0.006480,0.008190,0.011621,0.018837,0.034204,0.065980", \ "0.004775,0.006493,0.008203,0.011630,0.018842,0.034206,0.065981", \ "0.004883,0.006590,0.008288,0.011695,0.018880,0.034223,0.065987", \ "0.005067,0.006756,0.008433,0.011808,0.018948,0.034249,0.065992", \ "0.005379,0.007040,0.008687,0.012003,0.019066,0.034298,0.066008"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005615,0.008614,0.012250,0.020475,0.037660,0.072257,0.141526", \ "0.005613,0.008614,0.012251,0.020476,0.037664,0.072250,0.141523", \ "0.005615,0.008614,0.012252,0.020474,0.037659,0.072263,0.141527", \ "0.005615,0.008614,0.012251,0.020473,0.037659,0.072248,0.141522", \ "0.005653,0.008643,0.012264,0.020479,0.037663,0.072264,0.141533", \ "0.005934,0.008894,0.012405,0.020523,0.037680,0.072264,0.141532", \ "0.006237,0.009197,0.012589,0.020587,0.037700,0.072289,0.141531"); } } timing () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b0) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.054298,0.058383,0.061732,0.067375,0.077289,0.095947,0.132771", \ "0.055772,0.059856,0.063204,0.068847,0.078761,0.097418,0.134243", \ "0.060119,0.064203,0.067551,0.073194,0.083108,0.101766,0.138591", \ "0.066337,0.070428,0.073780,0.079427,0.089343,0.108001,0.144828", \ "0.073190,0.077313,0.080686,0.086358,0.096293,0.114960,0.151781", \ "0.079198,0.083381,0.086796,0.092506,0.102451,0.121127,0.157944", \ "0.083242,0.087536,0.091022,0.096813,0.106779,0.125449,0.162260"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.084947,0.090500,0.095261,0.104398,0.122642,0.159135,0.232032", \ "0.086554,0.092105,0.096867,0.106007,0.124248,0.160744,0.233637", \ "0.091877,0.097429,0.102191,0.111328,0.129571,0.166064,0.238961", \ "0.101031,0.106584,0.111346,0.120483,0.138726,0.175219,0.248115", \ "0.115599,0.121165,0.125926,0.135110,0.153310,0.189789,0.262679", \ "0.133862,0.139569,0.144361,0.153488,0.171719,0.208167,0.281041", \ "0.154747,0.160622,0.165465,0.174546,0.192697,0.229114,0.301965"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004554,0.006290,0.008020,0.011485,0.018750,0.034163,0.065972", \ "0.004552,0.006290,0.008020,0.011486,0.018750,0.034162,0.065970", \ "0.004552,0.006289,0.008020,0.011485,0.018750,0.034163,0.065971", \ "0.004578,0.006310,0.008036,0.011498,0.018757,0.034164,0.065970", \ "0.004710,0.006427,0.008138,0.011574,0.018800,0.034183,0.065975", \ "0.004945,0.006634,0.008318,0.011709,0.018880,0.034212,0.065978", \ "0.005326,0.006978,0.008621,0.011940,0.019017,0.034268,0.065994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005638,0.008633,0.012261,0.020478,0.037664,0.072252,0.141535", \ "0.005640,0.008633,0.012261,0.020479,0.037664,0.072248,0.141529", \ "0.005639,0.008633,0.012261,0.020479,0.037663,0.072251,0.141525", \ "0.005639,0.008635,0.012261,0.020480,0.037666,0.072251,0.141538", \ "0.005668,0.008656,0.012272,0.020479,0.037663,0.072254,0.141525", \ "0.005940,0.008898,0.012407,0.020525,0.037682,0.072265,0.141534", \ "0.006237,0.009196,0.012589,0.020587,0.037702,0.072275,0.141545"); } } timing () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b0) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.058448,0.062552,0.065913,0.071571,0.081496,0.100158,0.136984", \ "0.059925,0.064028,0.067389,0.073047,0.082972,0.101634,0.138460", \ "0.064291,0.068394,0.071756,0.077414,0.087339,0.106001,0.142827", \ "0.070692,0.074799,0.078160,0.083819,0.093745,0.112409,0.149233", \ "0.078159,0.082294,0.085676,0.091358,0.101297,0.119967,0.156790", \ "0.085144,0.089330,0.092747,0.098462,0.108409,0.127087,0.163905", \ "0.090447,0.094725,0.098202,0.103977,0.113925,0.132613,0.169426"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.086950,0.092809,0.097670,0.106814,0.125019,0.161495,0.234378", \ "0.088555,0.094415,0.099277,0.108422,0.126628,0.163099,0.235990", \ "0.093882,0.099741,0.104603,0.113744,0.131952,0.168428,0.241315", \ "0.103035,0.108894,0.113756,0.122899,0.141105,0.177577,0.250467", \ "0.117600,0.123472,0.128336,0.137525,0.155693,0.192148,0.265034", \ "0.136003,0.142025,0.146948,0.156099,0.174296,0.210718,0.283569", \ "0.157049,0.163249,0.168266,0.177392,0.195511,0.231896,0.304730"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004621,0.006351,0.008073,0.011527,0.018775,0.034174,0.065975", \ "0.004621,0.006351,0.008073,0.011527,0.018776,0.034174,0.065974", \ "0.004622,0.006351,0.008073,0.011527,0.018775,0.034174,0.065974", \ "0.004632,0.006361,0.008082,0.011533,0.018778,0.034175,0.065973", \ "0.004748,0.006462,0.008169,0.011598,0.018816,0.034191,0.065977", \ "0.004948,0.006639,0.008324,0.011716,0.018885,0.034216,0.065984", \ "0.005279,0.006938,0.008587,0.011917,0.019004,0.034265,0.065996"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.006103,0.009132,0.012570,0.020591,0.037705,0.072285,0.141545", \ "0.006104,0.009130,0.012569,0.020591,0.037703,0.072286,0.141539", \ "0.006103,0.009132,0.012570,0.020592,0.037702,0.072279,0.141540", \ "0.006104,0.009131,0.012569,0.020591,0.037701,0.072298,0.141540", \ "0.006126,0.009154,0.012583,0.020596,0.037706,0.072292,0.141537", \ "0.006411,0.009452,0.012778,0.020668,0.037722,0.072290,0.141546", \ "0.006734,0.009823,0.013045,0.020778,0.037757,0.072305,0.141549"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b0) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.054298,0.058383,0.061732,0.067375,0.077289,0.095947,0.132771", \ "0.055772,0.059856,0.063204,0.068847,0.078761,0.097418,0.134243", \ "0.060119,0.064203,0.067551,0.073194,0.083108,0.101766,0.138591", \ "0.066337,0.070428,0.073780,0.079427,0.089343,0.108001,0.144828", \ "0.073190,0.077313,0.080686,0.086358,0.096293,0.114960,0.151781", \ "0.079198,0.083381,0.086796,0.092506,0.102451,0.121127,0.157944", \ "0.083242,0.087536,0.091022,0.096813,0.106779,0.125449,0.162260"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.084947,0.090500,0.095261,0.104398,0.122642,0.159135,0.232032", \ "0.086554,0.092105,0.096867,0.106007,0.124248,0.160744,0.233637", \ "0.091877,0.097429,0.102191,0.111328,0.129571,0.166064,0.238961", \ "0.101031,0.106584,0.111346,0.120483,0.138726,0.175219,0.248115", \ "0.115599,0.121165,0.125926,0.135110,0.153310,0.189789,0.262679", \ "0.133862,0.139569,0.144361,0.153488,0.171719,0.208167,0.281041", \ "0.154747,0.160622,0.165465,0.174546,0.192697,0.229114,0.301965"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004554,0.006290,0.008020,0.011485,0.018750,0.034163,0.065972", \ "0.004552,0.006290,0.008020,0.011486,0.018750,0.034162,0.065970", \ "0.004552,0.006289,0.008020,0.011485,0.018750,0.034163,0.065971", \ "0.004578,0.006310,0.008036,0.011498,0.018757,0.034164,0.065970", \ "0.004710,0.006427,0.008138,0.011574,0.018800,0.034183,0.065975", \ "0.004945,0.006634,0.008318,0.011709,0.018880,0.034212,0.065978", \ "0.005326,0.006978,0.008621,0.011940,0.019017,0.034268,0.065994"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005638,0.008633,0.012261,0.020478,0.037664,0.072252,0.141535", \ "0.005640,0.008633,0.012261,0.020479,0.037664,0.072248,0.141529", \ "0.005639,0.008633,0.012261,0.020479,0.037663,0.072251,0.141525", \ "0.005639,0.008635,0.012261,0.020480,0.037666,0.072251,0.141538", \ "0.005668,0.008656,0.012272,0.020479,0.037663,0.072254,0.141525", \ "0.005940,0.008898,0.012407,0.020525,0.037682,0.072265,0.141534", \ "0.006237,0.009196,0.012589,0.020587,0.037702,0.072275,0.141545"); } } timing () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; sdf_cond : "(A1 == 1'b1) && (A2 == 1'b1) && (B1 == 1'b1) && (B2 == 1'b1) && (C1 == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.049115,0.053165,0.056488,0.062102,0.071993,0.090640,0.127467", \ "0.050624,0.054675,0.057998,0.063612,0.073503,0.092149,0.128975", \ "0.055304,0.059354,0.062676,0.068291,0.078182,0.096829,0.133655", \ "0.062816,0.066872,0.070200,0.075820,0.085715,0.104362,0.141192", \ "0.070845,0.074944,0.078301,0.083949,0.093867,0.112524,0.149349", \ "0.077336,0.081512,0.084919,0.090617,0.100551,0.119219,0.156033", \ "0.081439,0.085746,0.089238,0.095019,0.104988,0.123665,0.160468"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.087797,0.093358,0.098122,0.107255,0.125496,0.161987,0.234886", \ "0.089436,0.094997,0.099759,0.108894,0.127133,0.163625,0.236527", \ "0.094751,0.100312,0.105075,0.114209,0.132449,0.168940,0.241836", \ "0.103909,0.109470,0.114233,0.123367,0.141605,0.178098,0.250999", \ "0.118613,0.124184,0.128947,0.138106,0.156334,0.192820,0.265699", \ "0.137253,0.142960,0.147751,0.156892,0.175147,0.211589,0.284458", \ "0.158614,0.164487,0.169330,0.178407,0.196609,0.232999,0.305841"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.004437,0.006183,0.007923,0.011408,0.018699,0.034139,0.065965", \ "0.004437,0.006183,0.007922,0.011407,0.018699,0.034139,0.065966", \ "0.004438,0.006183,0.007922,0.011408,0.018700,0.034140,0.065965", \ "0.004476,0.006214,0.007949,0.011426,0.018709,0.034142,0.065965", \ "0.004649,0.006366,0.008079,0.011522,0.018763,0.034163,0.065970", \ "0.004948,0.006626,0.008303,0.011689,0.018859,0.034198,0.065975", \ "0.005395,0.007032,0.008660,0.011962,0.019021,0.034261,0.065991"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.005660,0.008650,0.012270,0.020480,0.037665,0.072254,0.141526", \ "0.005660,0.008650,0.012271,0.020478,0.037660,0.072262,0.141524", \ "0.005660,0.008652,0.012270,0.020479,0.037661,0.072253,0.141524", \ "0.005658,0.008650,0.012270,0.020479,0.037662,0.072268,0.141524", \ "0.005680,0.008668,0.012279,0.020483,0.037664,0.072254,0.141534", \ "0.005944,0.008901,0.012408,0.020525,0.037677,0.072257,0.141526", \ "0.006241,0.009200,0.012591,0.020588,0.037695,0.072276,0.141542"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.289160,12.018440,12.602170,13.162610,13.575510,13.786690,13.903660", \ "11.240210,12.002410,12.603810,13.194380,13.594920,13.815360,13.919030", \ "11.274990,12.034560,12.573100,13.159100,13.585870,13.798180,13.894240", \ "11.349920,12.114930,12.703410,13.300200,13.710330,13.913110,14.026150", \ "11.863240,12.635850,13.150450,13.750360,14.183700,14.404380,14.509990", \ "12.801900,13.522400,14.043610,14.625050,15.027830,15.225300,15.352880", \ "14.188440,14.748580,15.280560,15.866590,16.344850,16.551810,16.633730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.109510,15.092480,15.584200,16.165150,16.874290,17.028770,16.434070", \ "13.989310,15.099430,15.517090,16.179840,16.746260,17.134110,17.610180", \ "14.023520,15.073040,15.529280,16.243430,16.746750,17.099810,17.458130", \ "14.152240,15.160180,15.748190,16.301730,17.035290,17.340200,17.407070", \ "14.920310,16.018260,16.520320,17.151590,18.008400,17.523000,18.016470", \ "15.753840,17.002120,17.494570,18.433510,19.202600,19.671560,18.988100", \ "17.210940,18.417900,18.992420,19.827980,20.436060,20.514670,20.535070"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.633100,11.394960,12.007550,12.573010,12.954370,13.143490,13.232930", \ "10.717690,11.405750,12.006690,12.577860,12.976930,13.158870,13.243000", \ "10.661630,11.382830,12.000920,12.566650,12.944430,13.136890,13.222320", \ "10.837430,11.588550,12.139260,12.722160,13.119910,13.300040,13.390970", \ "11.348570,12.117830,12.621760,13.208840,13.611170,13.810570,13.906690", \ "12.348480,13.038730,13.551900,14.120880,14.529230,14.696460,14.803390", \ "13.694890,14.261170,14.827410,15.433960,15.908460,16.111150,16.158370"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.835520,14.684750,15.056990,15.621900,16.274850,16.431780,16.429560", \ "13.773500,14.648210,15.079650,15.700030,16.005630,16.163540,15.860020", \ "13.767930,14.608220,14.958210,15.587550,16.185840,16.078140,16.102880", \ "13.948850,14.790480,15.226750,15.768200,16.028860,16.267220,15.645410", \ "14.664640,15.582090,15.875780,16.519440,17.021860,17.244160,16.939500", \ "15.515500,16.464010,16.953060,17.591500,18.187540,18.375970,18.626520", \ "16.694380,17.895800,18.420580,18.956100,19.472980,20.111300,20.202110"); } } internal_power () { related_pin : "A1"; when : "!A2 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.661300,11.456690,11.994830,12.542600,12.910630,13.070370,13.154290", \ "10.690080,11.432770,12.014260,12.567090,12.907900,13.077810,13.166070", \ "10.671360,11.408440,11.999090,12.538670,12.889080,13.059260,13.147360", \ "10.877750,11.598960,12.186110,12.737560,13.086940,13.257130,13.345040", \ "11.381410,12.148810,12.674510,13.214820,13.593650,13.769270,13.858020", \ "12.414650,13.055350,13.602140,14.174410,14.534130,14.683540,14.783140", \ "13.715990,14.358760,14.908500,15.521620,15.958530,16.140920,16.184740"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.699840,14.635570,15.055100,15.657800,16.251900,16.152740,16.077230", \ "13.786920,14.589030,15.059030,15.704620,15.930580,16.080400,16.400880", \ "13.624750,14.553040,15.013060,15.578770,15.930890,16.067430,16.402270", \ "13.954920,14.756750,15.156380,15.793590,16.275390,16.410880,16.098570", \ "14.600250,15.574730,15.938500,16.626690,17.025980,17.018170,16.899570", \ "15.561630,16.557370,17.064220,17.723320,17.877990,18.404500,18.519020", \ "16.897160,17.892860,18.442100,19.020120,19.917920,20.033940,20.009460"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.633100,11.394960,12.007550,12.573010,12.954370,13.143490,13.232930", \ "10.717690,11.405750,12.006690,12.577860,12.976930,13.158870,13.243000", \ "10.661630,11.382830,12.000920,12.566650,12.944430,13.136890,13.222320", \ "10.837430,11.588550,12.139260,12.722160,13.119910,13.300040,13.390970", \ "11.348570,12.117830,12.621760,13.208840,13.611170,13.810570,13.906690", \ "12.348480,13.038730,13.551900,14.120880,14.529230,14.696460,14.803390", \ "13.694890,14.261170,14.827410,15.433960,15.908460,16.111150,16.158370"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.835520,14.684750,15.056990,15.621900,16.274850,16.431780,16.429560", \ "13.773500,14.648210,15.079650,15.700030,16.005630,16.163540,15.860020", \ "13.767930,14.608220,14.958210,15.587550,16.185840,16.078140,16.102880", \ "13.948850,14.790480,15.226750,15.768200,16.028860,16.267220,15.645410", \ "14.664640,15.582090,15.875780,16.519440,17.021860,17.244160,16.939500", \ "15.515500,16.464010,16.953060,17.591500,18.187540,18.375970,18.626520", \ "16.694380,17.895800,18.420580,18.956100,19.472980,20.111300,20.202110"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.120910,10.841660,11.407900,11.970670,12.330050,12.492470,12.568900", \ "10.122120,10.842410,11.411760,11.975030,12.334460,12.505470,12.570220", \ "10.089140,10.807310,11.392860,11.945880,12.305700,12.479200,12.544530", \ "10.317040,11.048870,11.606930,12.155720,12.521330,12.692700,12.754340", \ "10.863950,11.609780,12.109210,12.664700,13.065450,13.237400,13.320840", \ "11.897900,12.584180,13.114570,13.668670,14.053100,14.195840,14.290800", \ "13.352340,13.877600,14.440840,15.059430,15.522560,15.723350,15.741860"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.533980,14.202240,14.611870,15.072130,15.267620,15.533870,14.713580", \ "13.525640,14.150420,14.595420,14.978870,15.226620,15.117660,15.506580", \ "13.476020,14.116470,14.556240,14.757550,15.245480,15.479170,15.351250", \ "13.668930,14.321130,14.714830,15.108790,15.429240,15.166550,15.602050", \ "14.369950,15.125050,15.473890,15.744510,16.009050,16.081740,15.513630", \ "15.224550,15.974340,16.414200,16.927450,17.230520,17.312140,16.979590", \ "16.475850,17.369150,17.695760,18.295130,18.447590,18.246550,18.883690"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.106060,10.838950,11.413850,11.971860,12.290460,12.439020,12.506620", \ "10.116980,10.852210,11.440040,11.956570,12.298070,12.445220,12.515560", \ "10.087260,10.830280,11.410910,11.954110,12.278990,12.425380,12.490610", \ "10.328060,11.067790,11.632170,12.158590,12.501400,12.657890,12.723630", \ "10.962120,11.621660,12.191180,12.694050,13.043840,13.214880,13.292560", \ "11.998130,12.608700,13.160720,13.717570,14.084010,14.213120,14.287020", \ "13.301590,13.901410,14.490480,15.106660,15.575130,15.767640,15.780830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.545900,14.231630,14.612870,14.932050,15.306650,15.534340,15.408110", \ "13.462900,14.229950,14.577880,14.895510,15.271570,15.211330,15.524910", \ "13.474520,14.157400,14.526690,14.948540,15.184060,15.461210,15.367670", \ "13.647360,14.369940,14.712420,15.055230,15.399710,15.315640,15.435880", \ "14.358390,15.152640,15.505930,15.865090,16.130670,15.585040,15.294400", \ "15.163300,15.980500,16.411380,17.009870,17.128220,16.950690,17.610330", \ "16.535100,17.447230,17.770140,18.276700,18.778170,18.205870,18.681890"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.661300,11.456690,11.994830,12.542600,12.910630,13.070370,13.154290", \ "10.690080,11.432770,12.014260,12.567090,12.907900,13.077810,13.166070", \ "10.671360,11.408440,11.999090,12.538670,12.889080,13.059260,13.147360", \ "10.877750,11.598960,12.186110,12.737560,13.086940,13.257130,13.345040", \ "11.381410,12.148810,12.674510,13.214820,13.593650,13.769270,13.858020", \ "12.414650,13.055350,13.602140,14.174410,14.534130,14.683540,14.783140", \ "13.715990,14.358760,14.908500,15.521620,15.958530,16.140920,16.184740"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.699840,14.635570,15.055100,15.657800,16.251900,16.152740,16.077230", \ "13.786920,14.589030,15.059030,15.704620,15.930580,16.080400,16.400880", \ "13.624750,14.553040,15.013060,15.578770,15.930890,16.067430,16.402270", \ "13.954920,14.756750,15.156380,15.793590,16.275390,16.410880,16.098570", \ "14.600250,15.574730,15.938500,16.626690,17.025980,17.018170,16.899570", \ "15.561630,16.557370,17.064220,17.723320,17.877990,18.404500,18.519020", \ "16.897160,17.892860,18.442100,19.020120,19.917920,20.033940,20.009460"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.106060,10.838950,11.413850,11.971860,12.290460,12.439020,12.506620", \ "10.116980,10.852210,11.440040,11.956570,12.298070,12.445220,12.515560", \ "10.087260,10.830280,11.410910,11.954110,12.278990,12.425380,12.490610", \ "10.328060,11.067790,11.632170,12.158590,12.501400,12.657890,12.723630", \ "10.962120,11.621660,12.191180,12.694050,13.043840,13.214880,13.292560", \ "11.998130,12.608700,13.160720,13.717570,14.084010,14.213120,14.287020", \ "13.301590,13.901410,14.490480,15.106660,15.575130,15.767640,15.780830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.545900,14.231630,14.612870,14.932050,15.306650,15.534340,15.408110", \ "13.462900,14.229950,14.577880,14.895510,15.271570,15.211330,15.524910", \ "13.474520,14.157400,14.526690,14.948540,15.184060,15.461210,15.367670", \ "13.647360,14.369940,14.712420,15.055230,15.399710,15.315640,15.435880", \ "14.358390,15.152640,15.505930,15.865090,16.130670,15.585040,15.294400", \ "15.163300,15.980500,16.411380,17.009870,17.128220,16.950690,17.610330", \ "16.535100,17.447230,17.770140,18.276700,18.778170,18.205870,18.681890"); } } internal_power () { related_pin : "A1"; when : "!A2 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.091520,10.905780,11.466140,11.959190,12.265170,12.409320,12.464730", \ "10.064730,10.911980,11.486260,11.961070,12.265900,12.402090,12.472940", \ "10.095130,10.896540,11.455030,11.956040,12.256670,12.392300,12.459060", \ "10.338200,11.150770,11.674100,12.185570,12.482200,12.621180,12.694350", \ "10.958580,11.733430,12.257320,12.730760,13.030890,13.189380,13.262950", \ "12.056310,12.697380,13.271590,13.794970,14.114840,14.225970,14.295130", \ "13.388350,14.016780,14.573340,15.186150,15.632890,15.821440,15.841240"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.545420,14.251100,14.569210,14.974320,15.376400,15.533580,14.698470", \ "13.464290,14.218000,14.580670,15.008240,15.291110,15.153120,15.538220", \ "13.337400,14.137490,14.535830,14.965010,15.203960,15.381640,15.508880", \ "13.646760,14.369280,14.710880,15.096840,15.421880,14.784910,15.290000", \ "14.395800,15.089410,15.499680,15.913760,16.175140,16.156430,16.520690", \ "15.296030,16.111650,16.455950,16.863170,17.209690,16.783760,17.266880", \ "16.567120,17.469110,17.784520,18.357060,18.908520,19.035210,18.246380"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.765670,12.500700,13.084060,13.684510,14.123230,14.355540,14.485850", \ "11.702220,12.484120,13.029080,13.652700,14.074630,14.308710,14.440370", \ "11.684370,12.467420,13.011290,13.609650,14.046930,14.278230,14.411360", \ "11.851800,12.562630,13.111780,13.731090,14.149740,14.382970,14.516680", \ "12.312290,13.016800,13.594840,14.202440,14.645520,14.883910,15.024120", \ "13.229110,13.933050,14.478780,15.072720,15.485160,15.720460,15.863670", \ "14.578340,15.144740,15.717680,16.307620,16.773210,16.984620,17.083830"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.905260,15.839650,16.379550,17.182150,17.592830,17.944160,17.726000", \ "14.813860,15.843850,16.416930,17.033960,17.762210,18.061100,17.883960", \ "14.743740,15.788710,16.301650,16.981840,17.709010,17.855550,18.229710", \ "14.784090,15.780760,16.283780,17.068430,17.709210,18.142120,17.423440", \ "15.031150,16.186360,16.716470,17.284460,18.122060,17.797260,17.735260", \ "15.560910,16.612980,17.198060,18.099970,18.540740,19.038170,18.366290", \ "16.379480,17.568420,18.089990,19.042180,20.003450,19.843470,20.603690"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.221390,11.928610,12.486250,13.097720,13.496130,13.703810,13.819690", \ "11.152340,11.867860,12.465730,13.044620,13.444070,13.665010,13.770190", \ "11.138960,11.833540,12.433650,12.999500,13.415970,13.626770,13.738060", \ "11.252610,11.992020,12.581940,13.160900,13.562330,13.773560,13.884400", \ "11.857570,12.527690,13.053520,13.644930,14.090180,14.310000,14.417460", \ "12.744990,13.466530,13.983860,14.564490,14.959930,15.166420,15.299990", \ "14.092600,14.712720,15.258190,15.839720,16.294750,16.501790,16.575380"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.528370,15.480280,15.916630,16.355160,16.846950,16.993940,17.093240", \ "14.612780,15.462410,15.923870,16.525260,17.012860,16.907690,17.134820", \ "14.390710,15.399330,15.761350,16.277120,16.959550,17.106790,17.064010", \ "14.452860,15.334650,15.727030,16.369840,16.590080,16.837650,16.813630", \ "14.799120,15.738040,16.200510,16.804110,17.235050,17.327720,17.316710", \ "15.210750,16.167760,16.662390,17.351270,17.860510,17.600850,17.992550", \ "15.976890,17.134440,17.491560,18.315930,19.040960,19.437290,18.823680"); } } internal_power () { related_pin : "A2"; when : "!A1 & !B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.154200,11.902610,12.468060,13.053760,13.422090,13.617320,13.723310", \ "11.122600,11.850380,12.431480,12.996080,13.372770,13.568700,13.670620", \ "11.093960,11.861270,12.417820,12.972090,13.345030,13.533000,13.641160", \ "11.267300,11.983310,12.593660,13.147960,13.526780,13.723590,13.830340", \ "11.814110,12.520060,13.080060,13.652740,14.040050,14.247490,14.362220", \ "12.841870,13.499280,14.034980,14.586460,14.952390,15.124040,15.254440", \ "14.090440,14.714400,15.301070,15.875400,16.316820,16.507330,16.562220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.501840,15.470960,15.808690,16.519510,16.801860,16.981510,17.102420", \ "14.588780,15.439400,15.822870,16.381180,17.021360,16.986070,17.091700", \ "14.400210,15.381770,15.744900,16.325240,16.804080,16.818120,17.043800", \ "14.510070,15.282730,15.735630,16.293750,16.925470,17.051320,16.918700", \ "14.798390,15.723190,16.199050,16.795650,17.036680,17.535270,17.314290", \ "15.224600,16.261960,16.710420,17.344250,18.068560,17.747330,17.944250", \ "16.115330,17.083620,17.559330,18.286130,19.021020,19.248130,19.535290"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.221390,11.928610,12.486250,13.097720,13.496130,13.703810,13.819690", \ "11.152340,11.867860,12.465730,13.044620,13.444070,13.665010,13.770190", \ "11.138960,11.833540,12.433650,12.999500,13.415970,13.626770,13.738060", \ "11.252610,11.992020,12.581940,13.160900,13.562330,13.773560,13.884400", \ "11.857570,12.527690,13.053520,13.644930,14.090180,14.310000,14.417460", \ "12.744990,13.466530,13.983860,14.564490,14.959930,15.166420,15.299990", \ "14.092600,14.712720,15.258190,15.839720,16.294750,16.501790,16.575380"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.528370,15.480280,15.916630,16.355160,16.846950,16.993940,17.093240", \ "14.612780,15.462410,15.923870,16.525260,17.012860,16.907690,17.134820", \ "14.390710,15.399330,15.761350,16.277120,16.959550,17.106790,17.064010", \ "14.452860,15.334650,15.727030,16.369840,16.590080,16.837650,16.813630", \ "14.799120,15.738040,16.200510,16.804110,17.235050,17.327720,17.316710", \ "15.210750,16.167760,16.662390,17.351270,17.860510,17.600850,17.992550", \ "15.976890,17.134440,17.491560,18.315930,19.040960,19.437290,18.823680"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.622580,11.343480,11.925620,12.483080,12.880670,13.063210,13.143480", \ "10.544190,11.262600,11.872790,12.431660,12.830440,13.004490,13.096580", \ "10.483020,11.230870,11.825100,12.405090,12.785010,12.976230,13.064180", \ "10.686610,11.436680,12.032070,12.593310,12.984360,13.176490,13.260550", \ "11.293220,12.026600,12.545620,13.117910,13.521660,13.729180,13.829300", \ "12.282890,12.957490,13.501400,14.076830,14.475250,14.635400,14.752840", \ "13.737330,14.295800,14.824710,15.401870,15.860780,16.045270,16.090900"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.339920,15.043720,15.441460,15.625570,16.184090,16.339040,16.400500", \ "14.326380,14.986140,15.423270,15.771840,15.870920,15.939490,16.387830", \ "14.231210,14.935750,15.310450,15.720320,16.070990,15.745590,16.125230", \ "14.228760,14.943210,15.338340,15.758760,15.825930,15.337680,15.110550", \ "14.509300,15.220290,15.689630,16.078870,16.398880,16.431820,15.630770", \ "14.950940,15.696120,16.142520,16.593600,16.975720,16.502400,17.049590", \ "15.732940,16.531310,17.040880,17.454180,17.956650,18.140000,18.163980"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.574910,11.347710,11.899620,12.459600,12.815240,12.984200,13.070950", \ "10.545940,11.282960,11.848430,12.416110,12.765470,12.942720,13.020400", \ "10.493230,11.248650,11.828540,12.371390,12.732600,12.908270,12.989890", \ "10.749900,11.503790,12.053890,12.593190,12.951780,13.129690,13.219630", \ "11.278760,12.019930,12.594610,13.116650,13.493170,13.686400,13.778640", \ "12.300270,13.023640,13.562510,14.114390,14.473550,14.611480,14.724510", \ "13.678860,14.345110,14.875610,15.454930,15.885430,16.066140,16.092720"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.337920,14.967390,15.426570,15.756320,16.036870,16.320820,15.403310", \ "14.316370,15.007910,15.370390,15.832160,16.033260,15.908680,16.403580", \ "14.198570,14.902230,15.319350,15.564850,15.968240,16.173760,16.142130", \ "14.202980,14.954270,15.196730,15.749300,15.697710,16.009550,15.122350", \ "14.497130,15.233280,15.664540,15.878670,16.314000,16.324570,15.627430", \ "14.939310,15.771850,16.168910,16.635480,17.127020,17.231850,17.034260", \ "15.839590,16.587540,17.035460,17.457390,17.962430,18.333750,18.112620"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.154200,11.902610,12.468060,13.053760,13.422090,13.617320,13.723310", \ "11.122600,11.850380,12.431480,12.996080,13.372770,13.568700,13.670620", \ "11.093960,11.861270,12.417820,12.972090,13.345030,13.533000,13.641160", \ "11.267300,11.983310,12.593660,13.147960,13.526780,13.723590,13.830340", \ "11.814110,12.520060,13.080060,13.652740,14.040050,14.247490,14.362220", \ "12.841870,13.499280,14.034980,14.586460,14.952390,15.124040,15.254440", \ "14.090440,14.714400,15.301070,15.875400,16.316820,16.507330,16.562220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.501840,15.470960,15.808690,16.519510,16.801860,16.981510,17.102420", \ "14.588780,15.439400,15.822870,16.381180,17.021360,16.986070,17.091700", \ "14.400210,15.381770,15.744900,16.325240,16.804080,16.818120,17.043800", \ "14.510070,15.282730,15.735630,16.293750,16.925470,17.051320,16.918700", \ "14.798390,15.723190,16.199050,16.795650,17.036680,17.535270,17.314290", \ "15.224600,16.261960,16.710420,17.344250,18.068560,17.747330,17.944250", \ "16.115330,17.083620,17.559330,18.286130,19.021020,19.248130,19.535290"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.574910,11.347710,11.899620,12.459600,12.815240,12.984200,13.070950", \ "10.545940,11.282960,11.848430,12.416110,12.765470,12.942720,13.020400", \ "10.493230,11.248650,11.828540,12.371390,12.732600,12.908270,12.989890", \ "10.749900,11.503790,12.053890,12.593190,12.951780,13.129690,13.219630", \ "11.278760,12.019930,12.594610,13.116650,13.493170,13.686400,13.778640", \ "12.300270,13.023640,13.562510,14.114390,14.473550,14.611480,14.724510", \ "13.678860,14.345110,14.875610,15.454930,15.885430,16.066140,16.092720"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.337920,14.967390,15.426570,15.756320,16.036870,16.320820,15.403310", \ "14.316370,15.007910,15.370390,15.832160,16.033260,15.908680,16.403580", \ "14.198570,14.902230,15.319350,15.564850,15.968240,16.173760,16.142130", \ "14.202980,14.954270,15.196730,15.749300,15.697710,16.009550,15.122350", \ "14.497130,15.233280,15.664540,15.878670,16.314000,16.324570,15.627430", \ "14.939310,15.771850,16.168910,16.635480,17.127020,17.231850,17.034260", \ "15.839590,16.587540,17.035460,17.457390,17.962430,18.333750,18.112620"); } } internal_power () { related_pin : "A2"; when : "!A1 & B1 & B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.568610,11.363940,11.927810,12.440190,12.766720,12.923710,13.010250", \ "10.522030,11.312660,11.891530,12.409730,12.715370,12.872050,12.949770", \ "10.493990,11.324260,11.869510,12.366160,12.691580,12.846700,12.932550", \ "10.755560,11.555770,12.066930,12.605700,12.929570,13.085220,13.172490", \ "11.334870,12.112540,12.641260,13.144080,13.476340,13.651910,13.739060", \ "12.414720,13.063910,13.631510,14.145870,14.478190,14.596300,14.697670", \ "13.768750,14.396330,14.943260,15.516090,15.916630,16.082250,16.103510"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.345590,15.018720,15.413410,15.858130,16.133970,16.335380,16.297150", \ "14.275720,14.997960,15.353310,15.821360,16.007030,16.025070,16.415120", \ "14.202220,14.912000,15.296280,15.700420,15.952130,16.112660,16.160550", \ "14.213430,14.912040,15.278770,15.741800,16.058250,16.078110,15.135130", \ "14.493490,15.318480,15.666140,15.970280,16.108150,16.546520,15.622530", \ "15.000050,15.735670,16.188340,16.652670,17.146370,17.151090,16.913950", \ "15.809610,16.722590,17.040020,17.570380,17.878860,17.592690,18.313020"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.315650,12.013070,12.604610,13.187560,13.586420,13.802040,13.895360", \ "11.323670,12.024180,12.583490,13.191610,13.598970,13.808740,13.920370", \ "11.265420,11.966770,12.574390,13.138270,13.550580,13.764700,13.876860", \ "11.252930,11.945430,12.543530,13.136200,13.547600,13.751650,13.864760", \ "11.424490,12.164020,12.717930,13.312320,13.742890,13.955810,14.068340", \ "12.006710,12.679570,13.277150,13.859970,14.259980,14.463110,14.580970", \ "12.971790,13.562510,14.133350,14.741730,15.197190,15.412530,15.477570"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.640360,16.697230,17.265020,17.846860,18.614960,19.228790,19.492670", \ "15.607560,16.706100,17.226470,17.919100,18.722870,18.526380,19.332960", \ "15.547330,16.598420,17.175060,17.914430,18.707750,19.072260,19.207800", \ "15.692940,16.694440,17.313010,17.965220,18.682910,18.585710,19.154510", \ "16.338430,17.461000,17.971600,18.702020,19.210870,19.534580,19.989460", \ "17.210720,18.548340,19.062080,19.935190,20.737430,20.926980,20.939300", \ "18.623660,19.849720,20.488930,21.336660,22.074530,22.987770,23.121160"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.636800,11.440180,12.011780,12.564540,12.957420,13.143810,13.232170", \ "10.679500,11.443170,12.015920,12.589420,12.970620,13.158320,13.241000", \ "10.640210,11.398710,11.939640,12.522970,12.904450,13.104940,13.188390", \ "10.636040,11.353760,11.963130,12.523040,12.919960,13.095060,13.191340", \ "10.877820,11.621320,12.161130,12.739420,13.130170,13.333970,13.414840", \ "11.514460,12.160430,12.733280,13.325380,13.707380,13.891150,13.988870", \ "12.463600,13.067070,13.653050,14.250920,14.713150,14.910690,14.964630"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.319940,16.210630,16.665450,17.373790,17.860420,17.561550,17.943660", \ "15.258110,16.242680,16.627720,17.373140,17.926520,18.132250,17.811920", \ "15.308440,16.186920,16.645190,17.165290,17.442180,17.767350,17.761680", \ "15.327450,16.330780,16.761090,17.217370,17.946250,18.154880,17.994530", \ "16.085120,16.959070,17.430190,18.059330,18.508610,18.709350,19.000210", \ "17.045840,18.014680,18.559190,19.246660,19.842870,19.294560,19.195840", \ "18.282390,19.361920,19.890780,20.510440,21.276490,21.590030,21.402400"); } } internal_power () { related_pin : "B1"; when : "!A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.690140,11.426170,11.999100,12.564910,12.903350,13.078330,13.156990", \ "10.699050,11.445980,12.025650,12.575840,12.911150,13.081750,13.165830", \ "10.645310,11.380050,11.947480,12.520470,12.857020,13.027550,13.110890", \ "10.574390,11.425510,11.972760,12.530650,12.865740,13.039510,13.123990", \ "10.901740,11.614180,12.187010,12.726030,13.099760,13.273410,13.367830", \ "11.489380,12.258740,12.784620,13.350640,13.705950,13.846630,13.947040", \ "12.410460,13.145550,13.680460,14.300810,14.735360,14.917870,14.944780"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.337450,16.281030,16.741770,17.255930,17.799800,17.684850,17.861800", \ "15.224880,16.234730,16.610620,17.319630,17.850910,17.907380,17.785900", \ "15.137580,16.177240,16.673470,17.077320,17.624360,17.803300,17.633700", \ "15.341190,16.332690,16.784680,17.411300,17.952190,18.164910,17.581540", \ "16.045810,16.947520,17.461740,18.102150,18.643810,18.818380,18.280130", \ "17.094710,18.098190,18.471810,19.299240,19.621330,19.794270,19.417430", \ "18.346930,19.404030,19.887480,20.648260,21.219100,21.696030,21.179930"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.636800,11.440180,12.011780,12.564540,12.957420,13.143810,13.232170", \ "10.679500,11.443170,12.015920,12.589420,12.970620,13.158320,13.241000", \ "10.640210,11.398710,11.939640,12.522970,12.904450,13.104940,13.188390", \ "10.636040,11.353760,11.963130,12.523040,12.919960,13.095060,13.191340", \ "10.877820,11.621320,12.161130,12.739420,13.130170,13.333970,13.414840", \ "11.514460,12.160430,12.733280,13.325380,13.707380,13.891150,13.988870", \ "12.463600,13.067070,13.653050,14.250920,14.713150,14.910690,14.964630"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.319940,16.210630,16.665450,17.373790,17.860420,17.561550,17.943660", \ "15.258110,16.242680,16.627720,17.373140,17.926520,18.132250,17.811920", \ "15.308440,16.186920,16.645190,17.165290,17.442180,17.767350,17.761680", \ "15.327450,16.330780,16.761090,17.217370,17.946250,18.154880,17.994530", \ "16.085120,16.959070,17.430190,18.059330,18.508610,18.709350,19.000210", \ "17.045840,18.014680,18.559190,19.246660,19.842870,19.294560,19.195840", \ "18.282390,19.361920,19.890780,20.510440,21.276490,21.590030,21.402400"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.124190,10.859990,11.403150,11.971200,12.340220,12.505310,12.571610", \ "10.065750,10.863910,11.411820,11.977620,12.335830,12.504460,12.575150", \ "10.047890,10.745510,11.341120,11.888400,12.263170,12.430860,12.500380", \ "10.007430,10.809070,11.360650,11.911220,12.272150,12.444560,12.512790", \ "10.310050,11.058480,11.586830,12.146700,12.542220,12.718420,12.783760", \ "10.993330,11.703040,12.239580,12.814110,13.186870,13.335870,13.412910", \ "11.967270,12.652350,13.184490,13.804910,14.268900,14.451970,14.474610"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.089630,15.847170,16.276580,16.649830,16.984230,17.096030,17.348950", \ "15.051790,15.802490,16.211650,16.696820,17.013100,16.905730,17.190280", \ "15.000580,15.775950,16.173410,16.472410,16.945830,16.853760,17.063390", \ "15.134100,15.834870,16.200590,16.698580,17.101600,16.783180,17.006510", \ "15.808290,16.535680,16.976080,17.290870,17.506440,17.669640,18.107460", \ "16.646040,17.571370,17.917780,18.370580,18.912720,18.971650,18.862770", \ "18.013930,18.859360,19.267160,19.763510,20.316700,20.603760,20.030790"); } } internal_power () { related_pin : "B1"; when : "A1 & !A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.118070,10.853990,11.445520,11.969140,12.295690,12.443040,12.513760", \ "10.119240,10.850900,11.445550,11.981520,12.312070,12.443630,12.514270", \ "10.022770,10.805610,11.381750,11.898850,12.225920,12.382350,12.441100", \ "10.053340,10.810150,11.384770,11.924270,12.243680,12.394810,12.462120", \ "10.411140,11.139450,11.646280,12.160610,12.515560,12.672290,12.742870", \ "11.005060,11.740780,12.293000,12.848730,13.194450,13.318580,13.391040", \ "11.962290,12.684530,13.226980,13.851780,14.287960,14.476990,14.481660"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.076500,15.861900,16.243790,16.594180,16.621710,17.306060,17.363330", \ "15.047860,15.802290,16.183270,16.655180,16.975310,17.060740,17.220280", \ "14.973680,15.752580,16.146610,16.533500,16.896800,16.713800,17.089740", \ "15.129890,15.919320,16.311880,16.750520,17.048920,16.844780,17.007990", \ "15.831030,16.613320,16.994310,17.455590,17.711990,17.935140,17.817400", \ "16.691010,17.618040,17.971140,18.513910,18.593250,18.738570,18.947180", \ "18.076870,18.897360,19.328540,19.914620,20.094030,20.394120,19.888870"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.660090,11.376770,11.964450,12.539970,12.911560,13.081960,13.174500", \ "10.669790,11.386900,11.972890,12.560190,12.918460,13.101920,13.184820", \ "10.610260,11.329730,11.939330,12.493120,12.870990,13.040800,13.124630", \ "10.641130,11.400510,11.941420,12.496050,12.875560,13.065280,13.150300", \ "10.919450,11.644950,12.178380,12.764080,13.142440,13.330220,13.423150", \ "11.528950,12.272110,12.798870,13.380430,13.754000,13.911060,14.012690", \ "12.526580,13.166240,13.683560,14.319320,14.774610,14.980130,15.011870"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.658940,16.667740,17.110460,17.772290,18.225610,18.522700,18.823630", \ "15.680120,16.597010,17.042200,17.548590,18.319870,18.242730,18.659710", \ "15.571530,16.503050,16.981500,17.591300,18.237450,18.346340,18.538030", \ "15.773700,16.623810,17.182530,17.649790,18.338330,18.330410,18.483430", \ "16.360400,17.367170,17.689060,18.327600,18.901400,18.974440,19.257090", \ "17.370260,18.321780,18.943650,19.522270,20.151050,20.415090,20.090300", \ "18.687210,19.749870,20.252350,20.902380,21.798860,21.688370,22.160570"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.112860,10.845090,11.402480,11.950560,12.305580,12.456220,12.523450", \ "10.116490,10.848400,11.423150,11.954670,12.305190,12.455710,12.527340", \ "10.036670,10.775330,11.343680,11.876960,12.228210,12.384000,12.449930", \ "10.062130,10.811600,11.383890,11.910270,12.260710,12.424430,12.489710", \ "10.350320,11.097030,11.644140,12.175130,12.549090,12.717590,12.796220", \ "11.037640,11.751270,12.300180,12.869070,13.230380,13.358310,13.448730", \ "12.145000,12.704350,13.231760,13.886220,14.329670,14.528970,14.532450"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.447940,16.234760,16.639230,17.090150,17.228760,17.019240,17.604930", \ "15.385140,16.211400,16.470930,16.910760,17.256990,17.098130,16.940630", \ "15.355490,16.087160,16.526860,17.000840,17.345400,17.464610,16.811240", \ "15.484280,16.273240,16.667400,17.116280,17.278340,17.528050,17.447730", \ "16.151730,16.844460,17.308200,17.755230,18.123120,17.970890,17.745560", \ "17.003060,17.938050,18.282770,18.681090,19.140690,19.313370,18.971350", \ "18.349780,19.246510,19.570400,20.188650,20.686150,20.219710,20.684540"); } } internal_power () { related_pin : "B1"; when : "A1 & A2 & !B2 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.106520,10.894880,11.459340,11.959820,12.280460,12.406750,12.472370", \ "10.094820,10.919090,11.454950,11.955390,12.280230,12.411470,12.474360", \ "10.036110,10.834100,11.388520,11.882700,12.204370,12.336080,12.406300", \ "10.079800,10.841780,11.409330,11.921260,12.240610,12.379210,12.447480", \ "10.367420,11.133520,11.691850,12.201580,12.538160,12.684800,12.753590", \ "11.148560,11.824810,12.347350,12.911480,13.245870,13.348640,13.419280", \ "12.056760,12.755290,13.305380,13.933550,14.368440,14.533540,14.554330"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.443360,16.201290,16.602430,17.027510,17.225670,17.456860,17.116210", \ "15.379790,16.169430,16.551790,17.053610,17.413350,16.881460,17.570250", \ "15.327960,16.115390,16.500690,16.953100,17.067980,17.581360,16.839690", \ "15.482880,16.222820,16.655090,17.111680,17.252750,17.440280,17.179580", \ "16.167550,16.876090,17.343710,17.561670,18.114730,17.608180,18.530530", \ "17.050080,17.929160,18.404150,18.929870,19.347130,19.207700,19.635290", \ "18.398540,19.307910,19.717790,20.277530,20.828520,20.980830,20.249710"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.826840,12.545570,13.080580,13.686640,14.125440,14.359200,14.492040", \ "11.706640,12.447530,13.046230,13.639990,14.079620,14.310450,14.440500", \ "11.734190,12.392330,13.014770,13.596580,14.036820,14.269050,14.398450", \ "11.703520,12.413330,13.016740,13.624640,14.044410,14.275210,14.406960", \ "11.924980,12.613610,13.185910,13.798030,14.243140,14.480390,14.606830", \ "12.535590,13.142860,13.733790,14.298590,14.737400,14.975910,15.110490", \ "13.340750,14.001750,14.557190,15.166980,15.621410,15.842280,15.944010"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.425580,17.510260,18.046530,18.656870,19.544070,19.505880,19.433380", \ "16.400990,17.445520,18.052270,18.626880,19.519180,19.150780,20.230170", \ "16.324320,17.428790,17.832540,18.538750,19.449330,19.807490,19.956560", \ "16.338560,17.358560,17.937450,18.690830,19.434770,19.252400,19.061460", \ "16.615220,17.670690,18.261390,18.888290,19.679250,19.941540,20.444810", \ "17.100360,18.280760,18.782270,19.656230,20.515090,20.813720,20.627520", \ "17.858700,19.119000,19.583060,20.456600,21.194570,21.722990,21.756680"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.145860,11.916940,12.518910,13.081490,13.501870,13.713090,13.819810", \ "11.096860,11.902350,12.447100,13.044350,13.447940,13.658730,13.770910", \ "11.067940,11.834060,12.402190,13.003070,13.398750,13.609220,13.717770", \ "11.158070,11.842090,12.420160,13.003010,13.419980,13.629390,13.732110", \ "11.317660,12.062280,12.626620,13.226460,13.643270,13.864780,13.970690", \ "11.927780,12.653910,13.183200,13.762200,14.172370,14.380080,14.504610", \ "12.850870,13.494190,14.055460,14.653830,15.104000,15.310810,15.380510"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.134000,17.056180,17.473950,18.086230,18.800030,18.859430,18.804040", \ "16.080620,17.066920,17.528510,18.160770,18.605050,19.029160,19.039800", \ "16.091140,16.965940,17.440770,17.899860,18.527760,18.217280,18.558050", \ "15.941960,16.948080,17.432490,17.987500,18.540990,18.778030,18.491510", \ "16.315260,17.298380,17.680150,18.239120,18.736440,19.115000,18.759770", \ "16.766720,17.746840,18.327210,18.877300,19.389680,19.956910,18.869230", \ "17.475600,18.653460,19.158930,19.702370,20.470190,20.603610,20.006560"); } } internal_power () { related_pin : "B2"; when : "!A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.166340,11.929610,12.506990,13.055390,13.426550,13.627080,13.724660", \ "11.130810,11.875190,12.463060,13.017890,13.379900,13.574890,13.678650", \ "11.071950,11.838050,12.416780,12.974230,13.330100,13.526380,13.628450", \ "11.116090,11.839290,12.447660,12.991690,13.369440,13.557750,13.660410", \ "11.333720,12.051240,12.629030,13.201200,13.601000,13.785280,13.898820", \ "11.908580,12.621560,13.224180,13.775720,14.141270,14.305280,14.431480", \ "12.831110,13.524580,14.085090,14.654290,15.089390,15.268210,15.323000"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.152430,17.044590,17.526400,18.088160,18.550820,18.930370,18.818990", \ "16.090610,17.007190,17.467750,17.917570,18.715730,18.888020,18.606780", \ "15.965070,16.934270,17.421350,17.973750,18.639080,18.846150,18.550300", \ "15.963590,16.924320,17.448710,18.046400,18.505720,18.889190,18.507160", \ "16.278050,17.288120,17.729550,18.341710,18.891610,19.153020,18.680000", \ "16.814120,17.804430,18.344490,18.899730,19.438260,19.996030,20.167480", \ "17.643860,18.679530,19.171600,19.932600,20.645990,20.705100,21.216800"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.145860,11.916940,12.518910,13.081490,13.501870,13.713090,13.819810", \ "11.096860,11.902350,12.447100,13.044350,13.447940,13.658730,13.770910", \ "11.067940,11.834060,12.402190,13.003070,13.398750,13.609220,13.717770", \ "11.158070,11.842090,12.420160,13.003010,13.419980,13.629390,13.732110", \ "11.317660,12.062280,12.626620,13.226460,13.643270,13.864780,13.970690", \ "11.927780,12.653910,13.183200,13.762200,14.172370,14.380080,14.504610", \ "12.850870,13.494190,14.055460,14.653830,15.104000,15.310810,15.380510"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.134000,17.056180,17.473950,18.086230,18.800030,18.859430,18.804040", \ "16.080620,17.066920,17.528510,18.160770,18.605050,19.029160,19.039800", \ "16.091140,16.965940,17.440770,17.899860,18.527760,18.217280,18.558050", \ "15.941960,16.948080,17.432490,17.987500,18.540990,18.778030,18.491510", \ "16.315260,17.298380,17.680150,18.239120,18.736440,19.115000,18.759770", \ "16.766720,17.746840,18.327210,18.877300,19.389680,19.956910,18.869230", \ "17.475600,18.653460,19.158930,19.702370,20.470190,20.603610,20.006560"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.590030,11.310990,11.929560,12.495370,12.871980,13.058630,13.146290", \ "10.516700,11.265110,11.876600,12.439980,12.820910,13.015130,13.090550", \ "10.519530,11.207490,11.823040,12.381650,12.768920,12.961750,13.037000", \ "10.485070,11.268980,11.847710,12.398460,12.807570,12.982800,13.080770", \ "10.844440,11.475240,12.060880,12.634610,13.040310,13.246710,13.335300", \ "11.425650,12.106040,12.654400,13.247610,13.628600,13.802160,13.911200", \ "12.375550,12.998640,13.568310,14.176250,14.611680,14.802270,14.850870"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.874110,16.658240,16.938120,17.262550,17.591960,17.768490,17.481600", \ "15.850520,16.583780,17.014390,17.436340,17.765090,17.714560,18.086360", \ "15.786440,16.557900,16.940080,17.370970,17.700640,17.802390,17.812380", \ "15.758640,16.538920,16.923570,17.170920,17.782800,17.458450,18.116550", \ "16.069890,16.867370,17.239420,17.682800,18.016940,18.090300,18.316330", \ "16.395220,17.331390,17.643640,18.218750,18.718720,18.564070,18.524810", \ "17.201410,18.139570,18.494980,19.118350,19.714280,19.988460,19.905470"); } } internal_power () { related_pin : "B2"; when : "A1 & !A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.606450,11.350580,11.924980,12.471520,12.818310,12.987080,13.071730", \ "10.554670,11.336580,11.878040,12.410230,12.772000,12.936970,13.022000", \ "10.507110,11.255310,11.824610,12.369720,12.715220,12.883620,12.969150", \ "10.480640,11.282030,11.867560,12.412300,12.754680,12.928240,13.015150", \ "10.844370,11.540890,12.076380,12.639670,12.997960,13.181430,13.276540", \ "11.486230,12.116430,12.705570,13.252680,13.607170,13.745640,13.852610", \ "12.350440,13.066250,13.602050,14.194210,14.603100,14.774870,14.798650"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("15.860080,16.621010,17.023500,17.465380,17.780090,17.854220,17.722140", \ "15.830360,16.614760,16.995820,17.386270,17.755160,17.816500,18.115930", \ "15.752580,16.466970,16.912860,17.410420,17.731390,17.962770,17.839390", \ "15.746520,16.541030,16.916040,17.400750,17.700680,17.583120,18.131490", \ "16.034710,16.810370,17.242270,17.594690,17.992590,17.777370,18.314190", \ "16.541020,17.322410,17.812460,18.167190,18.525560,18.644060,18.574110", \ "17.299100,18.187450,18.550090,18.962710,19.744290,19.986160,19.881680"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & !C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.156290,11.884470,12.479390,13.048670,13.439160,13.637120,13.737440", \ "11.078540,11.868730,12.406760,12.999310,13.395970,13.584130,13.692330", \ "11.003950,11.784210,12.376020,12.945300,13.338980,13.531670,13.645090", \ "11.058860,11.799950,12.418700,12.988370,13.375240,13.576340,13.677700", \ "11.333710,12.094030,12.661290,13.239510,13.637860,13.848300,13.961450", \ "12.040300,12.682810,13.254510,13.819760,14.209870,14.399610,14.522020", \ "12.919580,13.573250,14.103190,14.727400,15.164570,15.360980,15.436870"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.478700,17.358480,17.890550,18.453510,19.118500,19.217050,18.785280", \ "16.443480,17.396930,17.814210,18.314340,19.063540,18.522420,19.553880", \ "16.326870,17.356520,17.833100,18.410920,19.034860,19.079780,19.287740", \ "16.340010,17.317450,17.726900,18.358100,19.021490,19.343960,18.561670", \ "16.617020,17.567130,18.107090,18.596140,19.065310,19.322520,19.732150", \ "17.156880,18.130610,18.576290,19.348670,20.001890,20.032920,20.311810", \ "17.783030,18.952640,19.532110,20.091950,20.598350,21.395030,20.842730"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.575070,11.291180,11.906130,12.440220,12.819670,13.008330,13.088570", \ "10.546680,11.241770,11.835340,12.395630,12.779660,12.946490,13.036010", \ "10.467860,11.193520,11.800380,12.348710,12.718010,12.900170,12.987670", \ "10.491810,11.263440,11.844280,12.401820,12.766680,12.955720,13.041120", \ "10.794360,11.554250,12.087940,12.663710,13.051340,13.240680,13.338100", \ "11.479020,12.137260,12.714830,13.293270,13.666330,13.830970,13.934270", \ "12.432270,13.087960,13.654970,14.243440,14.686340,14.859440,14.907140"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.237850,17.021410,17.402150,17.763760,18.045610,17.641000,18.180160", \ "16.234330,16.988330,17.399220,17.874670,18.213170,18.320770,18.410100", \ "16.159630,16.918250,17.303430,17.553790,18.154560,18.152600,17.561170", \ "16.063770,16.875820,17.154850,17.780770,17.880840,18.366390,17.870230", \ "16.416920,17.141970,17.540850,18.076920,18.413450,18.520340,18.014110", \ "16.776120,17.723600,18.042760,18.656800,19.019200,19.279560,19.457490", \ "17.597490,18.512090,18.959740,19.466760,20.008140,19.923500,20.479820"); } } internal_power () { related_pin : "B2"; when : "A1 & A2 & !B1 & C1 & C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.567430,11.334810,11.919590,12.433710,12.773810,12.929430,13.015240", \ "10.529690,11.306730,11.849740,12.394410,12.719980,12.882730,12.965070", \ "10.459590,11.220570,11.810070,12.353180,12.668250,12.826560,12.912450", \ "10.539150,11.281890,11.869370,12.403270,12.732440,12.898090,12.979970", \ "10.861370,11.623550,12.144630,12.660410,13.005040,13.184310,13.272590", \ "11.539200,12.196270,12.744850,13.293120,13.641900,13.775740,13.875710", \ "12.410780,13.116830,13.677070,14.276750,14.673250,14.825390,14.856760"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.232580,16.991060,17.368300,17.873450,18.197070,17.844000,18.194910", \ "16.188780,16.955030,17.303820,17.747380,17.963490,18.455120,17.861470", \ "16.094390,16.878820,17.301550,17.732230,17.894390,17.771230,17.589630", \ "16.095620,16.890610,17.266950,17.759870,17.861980,18.340740,17.885730", \ "16.416630,17.151100,17.541460,17.880660,18.405530,18.585190,18.012020", \ "16.784330,17.685300,18.176770,18.693320,18.745360,19.115200,19.410680", \ "17.686620,18.570080,18.900320,19.373560,20.121560,20.160230,20.338710"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.233330,12.009610,12.605980,13.177080,13.585760,13.795590,13.907820", \ "11.291450,12.015690,12.588500,13.202740,13.599340,13.809500,13.921710", \ "11.242380,12.003900,12.540600,13.132340,13.547950,13.760670,13.873850", \ "11.208880,11.911270,12.503710,13.100950,13.519410,13.725430,13.828730", \ "11.283710,12.045570,12.592990,13.166790,13.594140,13.813740,13.919480", \ "11.786250,12.422540,12.975550,13.573510,13.984800,14.183090,14.292630", \ "12.436910,13.112680,13.682060,14.325900,14.799200,15.023550,15.084490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.938850,18.003520,18.630720,19.260880,20.250460,20.094160,20.409200", \ "16.724890,17.980580,18.576900,19.261780,20.234780,20.632900,19.952160", \ "16.851510,17.963450,18.545940,19.344230,20.182810,20.535360,19.820710", \ "16.966530,18.071470,18.650550,19.395460,20.251120,20.668050,19.768740", \ "17.517980,18.545300,19.211600,19.906930,20.755170,21.271890,20.460690", \ "18.481160,19.790740,20.401830,21.194190,21.794020,22.238960,22.669260", \ "19.956280,21.136610,21.756470,22.621650,23.556270,24.342310,23.630590"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.678970,11.396760,12.007880,12.580650,12.958860,13.148340,13.234510", \ "10.721640,11.404990,11.996000,12.587440,12.965220,13.155950,13.243090", \ "10.624350,11.352550,11.957710,12.531300,12.901820,13.101020,13.180340", \ "10.557340,11.334450,11.915730,12.477200,12.861490,13.058240,13.140900", \ "10.734760,11.454890,12.009460,12.572110,12.964260,13.154780,13.252950", \ "11.225800,11.850890,12.448520,13.011470,13.421300,13.576890,13.675850", \ "11.988200,12.597120,13.191750,13.806070,14.298750,14.505690,14.542100"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.591660,17.632150,18.116370,18.716340,19.254000,19.806390,19.665170", \ "16.567820,17.483630,18.059740,18.709660,19.388570,19.649300,19.522300", \ "16.422710,17.424560,18.017570,18.611950,19.084840,19.583840,19.392430", \ "16.656960,17.560080,18.134660,18.722270,19.448120,19.080050,19.341640", \ "17.198700,18.140630,18.673030,19.348470,19.995530,20.305710,19.952500", \ "18.221120,19.300100,19.856720,20.479000,21.153640,20.776170,21.671410", \ "19.594760,20.707390,21.233720,21.878210,22.755540,22.658290,23.242970"); } } internal_power () { related_pin : "C1"; when : "!A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.684140,11.423910,12.007580,12.544480,12.902680,13.081880,13.162510", \ "10.691960,11.434850,12.008580,12.547880,12.909370,13.081230,13.168130", \ "10.631600,11.372940,11.949150,12.487130,12.865430,13.033340,13.109040", \ "10.606670,11.337650,11.913070,12.454960,12.812180,12.992640,13.075860", \ "10.727180,11.446140,12.032250,12.577210,12.934940,13.118310,13.205060", \ "11.262150,11.966030,12.508700,13.054360,13.433170,13.572900,13.654620", \ "12.001130,12.657660,13.255320,13.889600,14.332330,14.530900,14.558960"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.868900,17.876500,18.368610,19.065410,19.233580,20.109680,19.472670", \ "16.882330,17.855310,18.314030,19.001040,19.612800,19.864730,19.328410", \ "16.744990,17.717870,18.281960,18.957060,19.582470,19.320590,19.400290", \ "16.867300,17.825220,18.400430,19.091940,19.656820,19.748120,19.561570", \ "17.438440,18.390100,18.968060,19.585030,19.884050,20.469920,20.060870", \ "18.404840,19.617110,20.068940,20.802890,21.452680,21.672560,21.307840", \ "19.806050,21.008790,21.414330,22.159010,23.026450,22.989120,22.755100"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.678970,11.396760,12.007880,12.580650,12.958860,13.148340,13.234510", \ "10.721640,11.404990,11.996000,12.587440,12.965220,13.155950,13.243090", \ "10.624350,11.352550,11.957710,12.531300,12.901820,13.101020,13.180340", \ "10.557340,11.334450,11.915730,12.477200,12.861490,13.058240,13.140900", \ "10.734760,11.454890,12.009460,12.572110,12.964260,13.154780,13.252950", \ "11.225800,11.850890,12.448520,13.011470,13.421300,13.576890,13.675850", \ "11.988200,12.597120,13.191750,13.806070,14.298750,14.505690,14.542100"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.591660,17.632150,18.116370,18.716340,19.254000,19.806390,19.665170", \ "16.567820,17.483630,18.059740,18.709660,19.388570,19.649300,19.522300", \ "16.422710,17.424560,18.017570,18.611950,19.084840,19.583840,19.392430", \ "16.656960,17.560080,18.134660,18.722270,19.448120,19.080050,19.341640", \ "17.198700,18.140630,18.673030,19.348470,19.995530,20.305710,19.952500", \ "18.221120,19.300100,19.856720,20.479000,21.153640,20.776170,21.671410", \ "19.594760,20.707390,21.233720,21.878210,22.755540,22.658290,23.242970"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.123980,10.830300,11.407840,11.975630,12.334540,12.499620,12.573890", \ "10.137960,10.870270,11.405510,11.977670,12.336790,12.499520,12.575610", \ "10.044630,10.741680,11.327430,11.902950,12.259880,12.426760,12.490120", \ "10.000500,10.704840,11.304550,11.861990,12.219050,12.387770,12.452960", \ "10.184110,10.859640,11.403960,11.957700,12.338600,12.510750,12.588280", \ "10.635140,11.332770,11.907230,12.473900,12.863660,12.998080,13.073900", \ "11.465500,12.141500,12.709710,13.342760,13.803230,14.018810,14.026260"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.370870,17.185000,17.588110,17.846320,18.526390,18.805340,18.897820", \ "16.262910,17.128700,17.493870,17.913870,18.372590,18.402570,18.637290", \ "16.290940,16.994990,17.486600,17.990600,18.374810,18.703290,18.689490", \ "16.343720,17.119840,17.574560,18.045550,18.176710,18.422090,18.955630", \ "16.956070,17.739900,18.071580,18.558590,19.048880,18.986480,19.562910", \ "17.946990,18.861790,19.223720,19.641620,19.914910,19.684190,19.971160", \ "19.248660,20.198740,20.567060,21.174080,21.522300,21.768590,21.555120"); } } internal_power () { related_pin : "C1"; when : "A1 & !A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.109640,10.850120,11.446340,11.975730,12.300590,12.443720,12.512700", \ "10.096670,10.849820,11.430410,11.973200,12.299090,12.450860,12.515000", \ "10.015050,10.779560,11.364500,11.881040,12.220920,12.372120,12.439580", \ "10.004360,10.760390,11.300030,11.854860,12.179140,12.339140,12.399620", \ "10.172210,10.881820,11.458090,11.991290,12.336200,12.487060,12.560930", \ "10.746130,11.408190,11.971030,12.531440,12.881170,12.998620,13.075860", \ "11.505140,12.187990,12.748950,13.399450,13.851130,14.030790,14.049770"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.615070,17.362110,17.879310,18.233700,18.621680,18.780720,19.089490", \ "16.591770,17.311060,17.823340,18.295980,18.376420,18.908120,18.946720", \ "16.547340,17.315600,17.775750,18.063430,18.455060,18.782820,18.821540", \ "16.620910,17.381970,17.816790,18.212560,18.810940,18.835880,18.768730", \ "17.149950,17.958780,18.312080,18.889650,19.289220,19.066000,19.333850", \ "18.178930,19.060700,19.561320,19.998430,20.271700,20.707880,20.944270", \ "19.536070,20.446060,20.892070,21.285960,21.891580,21.752870,22.410150"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & !B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.684140,11.423910,12.007580,12.544480,12.902680,13.081880,13.162510", \ "10.691960,11.434850,12.008580,12.547880,12.909370,13.081230,13.168130", \ "10.631600,11.372940,11.949150,12.487130,12.865430,13.033340,13.109040", \ "10.606670,11.337650,11.913070,12.454960,12.812180,12.992640,13.075860", \ "10.727180,11.446140,12.032250,12.577210,12.934940,13.118310,13.205060", \ "11.262150,11.966030,12.508700,13.054360,13.433170,13.572900,13.654620", \ "12.001130,12.657660,13.255320,13.889600,14.332330,14.530900,14.558960"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.868900,17.876500,18.368610,19.065410,19.233580,20.109680,19.472670", \ "16.882330,17.855310,18.314030,19.001040,19.612800,19.864730,19.328410", \ "16.744990,17.717870,18.281960,18.957060,19.582470,19.320590,19.400290", \ "16.867300,17.825220,18.400430,19.091940,19.656820,19.748120,19.561570", \ "17.438440,18.390100,18.968060,19.585030,19.884050,20.469920,20.060870", \ "18.404840,19.617110,20.068940,20.802890,21.452680,21.672560,21.307840", \ "19.806050,21.008790,21.414330,22.159010,23.026450,22.989120,22.755100"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & !B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.109640,10.850120,11.446340,11.975730,12.300590,12.443720,12.512700", \ "10.096670,10.849820,11.430410,11.973200,12.299090,12.450860,12.515000", \ "10.015050,10.779560,11.364500,11.881040,12.220920,12.372120,12.439580", \ "10.004360,10.760390,11.300030,11.854860,12.179140,12.339140,12.399620", \ "10.172210,10.881820,11.458090,11.991290,12.336200,12.487060,12.560930", \ "10.746130,11.408190,11.971030,12.531440,12.881170,12.998620,13.075860", \ "11.505140,12.187990,12.748950,13.399450,13.851130,14.030790,14.049770"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("16.615070,17.362110,17.879310,18.233700,18.621680,18.780720,19.089490", \ "16.591770,17.311060,17.823340,18.295980,18.376420,18.908120,18.946720", \ "16.547340,17.315600,17.775750,18.063430,18.455060,18.782820,18.821540", \ "16.620910,17.381970,17.816790,18.212560,18.810940,18.835880,18.768730", \ "17.149950,17.958780,18.312080,18.889650,19.289220,19.066000,19.333850", \ "18.178930,19.060700,19.561320,19.998430,20.271700,20.707880,20.944270", \ "19.536070,20.446060,20.892070,21.285960,21.891580,21.752870,22.410150"); } } internal_power () { related_pin : "C1"; when : "A1 & A2 & B1 & B2 & !C2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.097680,10.851710,11.420120,11.950570,12.268460,12.406800,12.474070", \ "10.086870,10.898820,11.425460,11.958670,12.280360,12.419040,12.476710", \ "10.009680,10.814510,11.340570,11.884620,12.194660,12.331810,12.398740", \ "9.995383,10.754480,11.319370,11.838900,12.157910,12.302220,12.373220", \ "10.154820,10.912790,11.475660,12.004520,12.342280,12.493270,12.554260", \ "10.788480,11.441800,12.031700,12.560710,12.913140,13.026190,13.090510", \ "11.552210,12.219820,12.822380,13.454290,13.892630,14.086670,14.098960"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.065350,17.775050,18.229580,18.743600,19.225150,18.730310,18.729790", \ "17.002920,17.746430,18.145960,18.667880,19.173900,18.784110,18.706590", \ "16.942440,17.767960,18.131160,18.466830,19.038580,19.290900,19.211940", \ "17.086260,17.808050,18.234690,18.789090,19.178890,19.326740,19.740750", \ "17.637670,18.385320,18.724680,19.257680,19.788240,20.010770,20.275550", \ "18.612460,19.546980,19.897170,20.359240,20.588430,21.200250,20.639160", \ "19.966290,20.923430,21.233160,21.787490,22.192310,22.416390,22.435350"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.826740,12.520770,13.114350,13.688340,14.129550,14.363730,14.494450", \ "11.749950,12.444870,13.064900,13.661530,14.081870,14.310910,14.444740", \ "11.676870,12.421210,13.020400,13.593220,14.032920,14.264360,14.399060", \ "11.683460,12.432850,12.989350,13.604010,14.024960,14.258470,14.388380", \ "11.834410,12.518710,13.071770,13.684310,14.123470,14.357160,14.490440", \ "12.178050,12.849620,13.457560,14.037370,14.459770,14.673750,14.812610", \ "12.868430,13.534470,14.117200,14.726010,15.202460,15.426600,15.505040"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.704850,18.825880,19.306470,20.142910,21.033590,21.513090,21.539520", \ "17.681940,18.781980,19.301080,20.019190,20.988510,20.731840,21.074230", \ "17.643690,18.719590,19.334850,19.932930,20.941570,20.886560,20.865580", \ "17.630330,18.729130,19.230290,20.124830,20.915370,21.395470,20.838560", \ "17.876410,18.942070,19.586220,20.321420,21.168780,21.591780,21.053390", \ "18.274290,19.595110,20.212020,20.997550,21.748980,22.191700,22.069360", \ "19.175670,20.431020,20.919170,21.900690,22.717470,23.260960,23.341220"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.177850,11.930020,12.517920,13.096290,13.496510,13.705150,13.812180", \ "11.116320,11.878480,12.467540,13.031880,13.447520,13.655740,13.771060", \ "11.068110,11.821050,12.419200,12.987200,13.399030,13.609720,13.722920", \ "11.087750,11.805120,12.405590,12.971810,13.386580,13.607480,13.711020", \ "11.207980,11.924530,12.480550,13.068930,13.492480,13.707100,13.814610", \ "11.683400,12.320660,12.888420,13.477000,13.878750,14.065400,14.187430", \ "12.340270,13.047470,13.588430,14.193000,14.655820,14.873350,14.924090"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.379050,18.393760,18.860120,19.496400,20.096660,20.348070,20.747390", \ "17.349330,18.366240,18.771260,19.425250,20.079260,20.020780,20.428500", \ "17.302180,18.318880,18.720740,19.336800,20.063930,20.446110,20.127010", \ "17.289110,18.320460,18.774370,19.461610,20.030590,19.928060,20.519940", \ "17.546010,18.490410,18.975990,19.698280,20.282020,20.500330,20.423710", \ "18.064840,19.069690,19.663340,20.379820,20.886200,20.848540,21.562220", \ "18.739260,19.958050,20.339490,21.145250,22.047720,22.129230,22.262440"); } } internal_power () { related_pin : "C2"; when : "!A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.153070,11.919250,12.500470,13.045700,13.431670,13.615830,13.723720", \ "11.114890,11.871010,12.451960,12.992930,13.377020,13.571160,13.680400", \ "11.076720,11.793330,12.402740,12.960470,13.326560,13.521390,13.631590", \ "11.074800,11.838790,12.396170,12.955200,13.328780,13.519170,13.627100", \ "11.188370,11.908710,12.494720,13.068500,13.456810,13.657310,13.761890", \ "11.611660,12.391950,12.911830,13.503270,13.870750,14.033430,14.160950", \ "12.360460,13.059210,13.636300,14.242110,14.676190,14.872590,14.916250"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.628000,18.693820,19.159620,19.800820,20.007020,20.871430,20.559900", \ "17.617280,18.637670,19.060460,19.792420,20.197010,20.453830,20.233280", \ "17.536890,18.523600,19.056390,19.552300,20.374120,20.700280,20.158100", \ "17.610090,18.584440,18.997390,19.599030,20.393790,20.535220,20.218700", \ "17.793070,18.833460,19.323430,19.972360,20.609940,20.976330,20.628580", \ "18.345160,19.355540,19.942540,20.637830,21.270420,20.899180,21.257810", \ "19.134960,20.242570,20.691720,21.325860,22.080150,22.418210,22.558680"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.177850,11.930020,12.517920,13.096290,13.496510,13.705150,13.812180", \ "11.116320,11.878480,12.467540,13.031880,13.447520,13.655740,13.771060", \ "11.068110,11.821050,12.419200,12.987200,13.399030,13.609720,13.722920", \ "11.087750,11.805120,12.405590,12.971810,13.386580,13.607480,13.711020", \ "11.207980,11.924530,12.480550,13.068930,13.492480,13.707100,13.814610", \ "11.683400,12.320660,12.888420,13.477000,13.878750,14.065400,14.187430", \ "12.340270,13.047470,13.588430,14.193000,14.655820,14.873350,14.924090"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.379050,18.393760,18.860120,19.496400,20.096660,20.348070,20.747390", \ "17.349330,18.366240,18.771260,19.425250,20.079260,20.020780,20.428500", \ "17.302180,18.318880,18.720740,19.336800,20.063930,20.446110,20.127010", \ "17.289110,18.320460,18.774370,19.461610,20.030590,19.928060,20.519940", \ "17.546010,18.490410,18.975990,19.698280,20.282020,20.500330,20.423710", \ "18.064840,19.069690,19.663340,20.379820,20.886200,20.848540,21.562220", \ "18.739260,19.958050,20.339490,21.145250,22.047720,22.129230,22.262440"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.621250,11.315060,11.925000,12.494820,12.871090,13.062680,13.151030", \ "10.535700,11.309370,11.855140,12.435220,12.817100,13.015670,13.100770", \ "10.520640,11.207720,11.791760,12.382590,12.764790,12.957130,13.041510", \ "10.441900,11.247420,11.806810,12.380080,12.758720,12.942250,13.033400", \ "10.670360,11.346330,11.910900,12.464930,12.876420,13.070670,13.161570", \ "11.118550,11.755920,12.341890,12.902040,13.298970,13.458960,13.562230", \ "11.851100,12.514880,13.086460,13.691420,14.131210,14.328910,14.368550"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.150290,17.865060,18.273370,18.877070,19.257480,19.165780,19.123070", \ "17.100270,17.869610,18.322580,18.763260,19.259130,19.283070,19.295340", \ "17.058360,17.772680,18.235350,18.667000,19.118130,19.507090,19.346220", \ "17.033290,17.816450,18.197850,18.739040,19.114890,19.270070,19.382600", \ "17.333990,18.095430,18.492210,18.789760,19.446000,19.682880,18.984020", \ "17.756620,18.617040,19.089120,19.674470,20.073720,20.223690,19.856000", \ "18.565430,19.461510,19.821730,20.488940,21.031470,21.221170,20.553440"); } } internal_power () { related_pin : "C2"; when : "A1 & !A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.596540,11.340970,11.913110,12.460180,12.818350,12.989790,13.073020", \ "10.549130,11.290570,11.865880,12.402610,12.768490,12.936480,13.023420", \ "10.472550,11.250840,11.808440,12.347330,12.711050,12.885010,12.968380", \ "10.490290,11.242500,11.810680,12.361210,12.705920,12.879140,12.960840", \ "10.615960,11.341550,11.936030,12.468820,12.841820,13.021830,13.119410", \ "11.078310,11.854850,12.387740,12.944940,13.308190,13.450660,13.548800", \ "11.866300,12.598390,13.128410,13.746190,14.166890,14.341840,14.361280"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.421150,18.142010,18.593090,18.908890,19.255360,19.692600,19.445150", \ "17.382820,18.105020,18.617750,19.057790,19.440690,19.503680,19.849830", \ "17.339070,18.048820,18.509920,18.965470,19.349600,19.566180,19.577190", \ "17.257260,18.059510,18.520660,19.032400,19.415380,19.682160,19.834680", \ "17.597440,18.363390,18.785870,19.318760,19.393280,19.627170,19.830270", \ "18.011550,18.903770,19.325070,19.834300,20.350980,20.682680,20.885580", \ "18.826360,19.701260,20.188370,20.634810,21.401330,21.561080,21.482710"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & !B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.153070,11.919250,12.500470,13.045700,13.431670,13.615830,13.723720", \ "11.114890,11.871010,12.451960,12.992930,13.377020,13.571160,13.680400", \ "11.076720,11.793330,12.402740,12.960470,13.326560,13.521390,13.631590", \ "11.074800,11.838790,12.396170,12.955200,13.328780,13.519170,13.627100", \ "11.188370,11.908710,12.494720,13.068500,13.456810,13.657310,13.761890", \ "11.611660,12.391950,12.911830,13.503270,13.870750,14.033430,14.160950", \ "12.360460,13.059210,13.636300,14.242110,14.676190,14.872590,14.916250"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.628000,18.693820,19.159620,19.800820,20.007020,20.871430,20.559900", \ "17.617280,18.637670,19.060460,19.792420,20.197010,20.453830,20.233280", \ "17.536890,18.523600,19.056390,19.552300,20.374120,20.700280,20.158100", \ "17.610090,18.584440,18.997390,19.599030,20.393790,20.535220,20.218700", \ "17.793070,18.833460,19.323430,19.972360,20.609940,20.976330,20.628580", \ "18.345160,19.355540,19.942540,20.637830,21.270420,20.899180,21.257810", \ "19.134960,20.242570,20.691720,21.325860,22.080150,22.418210,22.558680"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & !B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.596540,11.340970,11.913110,12.460180,12.818350,12.989790,13.073020", \ "10.549130,11.290570,11.865880,12.402610,12.768490,12.936480,13.023420", \ "10.472550,11.250840,11.808440,12.347330,12.711050,12.885010,12.968380", \ "10.490290,11.242500,11.810680,12.361210,12.705920,12.879140,12.960840", \ "10.615960,11.341550,11.936030,12.468820,12.841820,13.021830,13.119410", \ "11.078310,11.854850,12.387740,12.944940,13.308190,13.450660,13.548800", \ "11.866300,12.598390,13.128410,13.746190,14.166890,14.341840,14.361280"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.421150,18.142010,18.593090,18.908890,19.255360,19.692600,19.445150", \ "17.382820,18.105020,18.617750,19.057790,19.440690,19.503680,19.849830", \ "17.339070,18.048820,18.509920,18.965470,19.349600,19.566180,19.577190", \ "17.257260,18.059510,18.520660,19.032400,19.415380,19.682160,19.834680", \ "17.597440,18.363390,18.785870,19.318760,19.393280,19.627170,19.830270", \ "18.011550,18.903770,19.325070,19.834300,20.350980,20.682680,20.885580", \ "18.826360,19.701260,20.188370,20.634810,21.401330,21.561080,21.482710"); } } internal_power () { related_pin : "C2"; when : "A1 & A2 & B1 & B2 & !C1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.544640,11.304580,11.908760,12.425110,12.783330,12.931990,13.015920", \ "10.504380,11.258080,11.851580,12.376860,12.727090,12.882790,12.967010", \ "10.456020,11.222800,11.805870,12.343100,12.666750,12.828570,12.911500", \ "10.463270,11.210020,11.801490,12.339680,12.676830,12.833220,12.921330", \ "10.650020,11.427310,11.941850,12.483570,12.835140,13.008160,13.099260", \ "11.197730,11.856370,12.423060,12.981570,13.329830,13.461010,13.561510", \ "11.975990,12.644600,13.199080,13.797670,14.203870,14.371550,14.401730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("17.819980,18.579710,19.043880,19.494010,20.007020,19.956810,19.951350", \ "17.805280,18.518840,18.984240,19.368990,19.878260,19.893390,19.534690", \ "17.730800,18.551260,18.957850,19.277070,19.932660,19.367090,20.333460", \ "17.729340,18.503850,18.965870,19.343580,19.865670,19.866260,19.468930", \ "17.986900,18.731630,19.193260,19.595400,20.104110,20.088120,19.757610", \ "18.464860,19.352030,19.839180,20.324260,20.723050,20.945690,20.381780", \ "19.314500,20.182130,20.577280,21.204750,21.452220,21.732500,22.204510"); } } } } /****************************************************************************************** Module : OR2_X1 Cell Description : Combinational cell (OR2_X1) with drive strength X1 *******************************************************************************************/ cell (OR2_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 22.694975; leakage_power () { when : "!A1 & !A2"; value : 28.578660; } leakage_power () { when : "!A1 & A2"; value : 18.166698; } leakage_power () { when : "A1 & !A2"; value : 19.322193; } leakage_power () { when : "A1 & A2"; value : 24.712347; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.946814; fall_capacitance : 0.792385; rise_capacitance : 0.946814; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.941939; fall_capacitance : 0.895446; rise_capacitance : 0.941939; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0383175,0.0427607,0.0471926,0.0544756,0.0664494,0.0869580,0.124592", \ "0.0389781,0.0434158,0.0478475,0.0551288,0.0671052,0.0876147,0.125248", \ "0.0441877,0.0486158,0.0530338,0.0603053,0.0722774,0.0927883,0.130422", \ "0.0561797,0.0605489,0.0649366,0.0721871,0.0841570,0.104674,0.142311", \ "0.0715267,0.0762280,0.0808878,0.0884784,0.100817,0.121545,0.159206", \ "0.0883722,0.0934051,0.0984247,0.106531,0.119379,0.140626,0.178749", \ "0.107371,0.112753,0.118154,0.126859,0.140442,0.162293,0.200658"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0157392,0.0199848,0.0247955,0.0340853,0.0524040,0.0888380,0.161568", \ "0.0173037,0.0215411,0.0263453,0.0356349,0.0539585,0.0904000,0.163127", \ "0.0223901,0.0265897,0.0313271,0.0405509,0.0588606,0.0953281,0.168079", \ "0.0269920,0.0315565,0.0363455,0.0454982,0.0637112,0.100105,0.172851", \ "0.0292042,0.0344760,0.0395934,0.0487417,0.0667809,0.103093,0.175751", \ "0.0285945,0.0345644,0.0403839,0.0499213,0.0678593,0.103980,0.176552", \ "0.0248448,0.0313857,0.0379725,0.0484434,0.0665208,0.102569,0.175003"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00756148,0.00937531,0.0114360,0.0152549,0.0225098,0.0369830,0.0672436", \ "0.00756248,0.00937581,0.0114355,0.0152562,0.0225115,0.0369833,0.0672431", \ "0.00756243,0.00937988,0.0114415,0.0152650,0.0225147,0.0369858,0.0672456", \ "0.00781088,0.00957624,0.0116043,0.0153888,0.0225974,0.0370257,0.0672567", \ "0.00980720,0.0113968,0.0132425,0.0167578,0.0235948,0.0375208,0.0673973", \ "0.0118791,0.0134648,0.0152770,0.0186243,0.0251244,0.0387714,0.0681145", \ "0.0140434,0.0156664,0.0175129,0.0208646,0.0270885,0.0400536,0.0689421"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00442800,0.00754510,0.0116250,0.0201148,0.0373591,0.0719202,0.141074", \ "0.00442765,0.00754491,0.0116279,0.0201155,0.0373612,0.0719345,0.141079", \ "0.00470081,0.00766708,0.0116744,0.0201231,0.0373726,0.0719394,0.141083", \ "0.00586804,0.00845278,0.0121111,0.0203128,0.0374164,0.0719341,0.141097", \ "0.00740080,0.00999004,0.0131277,0.0206704,0.0375546,0.0720197,0.141077", \ "0.00915524,0.0120740,0.0150611,0.0216819,0.0378758,0.0721780,0.141179", \ "0.0112086,0.0143831,0.0176516,0.0236612,0.0386457,0.0725519,0.141351"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0423788,0.0468173,0.0512493,0.0585306,0.0705044,0.0910118,0.128643", \ "0.0438332,0.0482707,0.0527018,0.0599843,0.0719588,0.0924671,0.130099", \ "0.0490690,0.0535048,0.0579299,0.0652066,0.0771805,0.0976905,0.135324", \ "0.0583116,0.0627121,0.0671173,0.0743851,0.0863616,0.106880,0.144521", \ "0.0703888,0.0750088,0.0796232,0.0871872,0.0995042,0.120242,0.157930", \ "0.0850274,0.0898794,0.0947403,0.102710,0.115547,0.136873,0.174966", \ "0.102473,0.107600,0.112742,0.121143,0.134590,0.156591,0.195158"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0172923,0.0216077,0.0264572,0.0357874,0.0541506,0.0906273,0.163393", \ "0.0187544,0.0230678,0.0279135,0.0372401,0.0556040,0.0920856,0.164858", \ "0.0241291,0.0283871,0.0331716,0.0424364,0.0607758,0.0972711,0.170069", \ "0.0297999,0.0343688,0.0391830,0.0483652,0.0666039,0.103029,0.175815", \ "0.0331968,0.0383962,0.0434715,0.0526176,0.0706855,0.107019,0.179722", \ "0.0340467,0.0398921,0.0455558,0.0549466,0.0728589,0.108984,0.181601", \ "0.0321773,0.0385650,0.0449215,0.0550295,0.0728887,0.108834,0.181272"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00756048,0.00937725,0.0114349,0.0152551,0.0225110,0.0369828,0.0672432", \ "0.00756249,0.00937637,0.0114352,0.0152559,0.0225116,0.0369841,0.0672452", \ "0.00756311,0.00937696,0.0114390,0.0152587,0.0225136,0.0369836,0.0672456", \ "0.00772642,0.00950329,0.0115418,0.0153391,0.0225643,0.0370092,0.0672513", \ "0.00894825,0.0106844,0.0126705,0.0163534,0.0233422,0.0374067,0.0673633", \ "0.0102896,0.0120151,0.0140026,0.0176783,0.0246174,0.0384965,0.0679170", \ "0.0118532,0.0135762,0.0155694,0.0192550,0.0261460,0.0397748,0.0687301"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00464449,0.00773088,0.0117762,0.0202136,0.0374358,0.0720085,0.141136", \ "0.00464010,0.00772928,0.0117729,0.0202180,0.0374278,0.0719987,0.141137", \ "0.00477566,0.00778972,0.0117986,0.0202224,0.0374306,0.0719922,0.141122", \ "0.00585841,0.00848464,0.0121742,0.0203755,0.0374741,0.0719818,0.141125", \ "0.00726741,0.00983599,0.0130362,0.0206711,0.0375932,0.0720687,0.141140", \ "0.00883177,0.0116780,0.0146461,0.0214281,0.0377814,0.0721837,0.141231", \ "0.0106168,0.0137149,0.0168638,0.0229470,0.0382684,0.0723617,0.141353"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.707644,2.783985,2.901217,3.081931,3.269169,3.378714,3.416882", \ "2.662489,2.739760,2.854114,3.041084,3.228301,3.334787,3.371931", \ "2.653031,2.740212,2.843807,3.030100,3.222771,3.332877,3.368662", \ "2.839269,2.915532,2.996373,3.167350,3.345257,3.450130,3.493810", \ "3.226872,3.245673,3.313417,3.439860,3.616091,3.717789,3.746305", \ "3.757734,3.707391,3.725484,3.816333,3.985733,4.124967,4.152492", \ "4.498371,4.416492,4.361001,4.386474,4.526209,4.655888,4.737228"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.774230,1.837770,1.878155,1.880752,1.990723,1.950925,1.802398", \ "1.771694,1.841675,1.892585,1.909635,1.986867,1.940118,1.734342", \ "1.805099,1.848423,1.849021,1.914736,1.900604,1.823875,1.684419", \ "1.972404,1.996410,1.936949,1.979339,1.969228,1.866971,1.831008", \ "2.256484,2.335607,2.304886,2.276926,2.265772,2.102527,2.055764", \ "2.709311,2.829394,2.816765,2.843995,2.778154,2.538420,2.433571", \ "3.319751,3.384437,3.470475,3.481878,3.517535,3.400845,3.189066"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.098253,3.180247,3.296761,3.478391,3.660205,3.771218,3.809343", \ "3.068693,3.147197,3.265582,3.446007,3.635582,3.741099,3.779095", \ "3.021308,3.116447,3.222355,3.410647,3.598670,3.706250,3.744514", \ "3.067116,3.143739,3.246519,3.414044,3.601746,3.708301,3.750563", \ "3.226406,3.269752,3.361172,3.538251,3.713280,3.824499,3.861994", \ "3.513176,3.524852,3.577259,3.723789,3.940066,4.099781,4.135090", \ "4.035157,4.018256,4.018297,4.110264,4.306299,4.487623,4.586840"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.980887,2.066815,2.111941,2.172548,2.185622,2.231286,2.278095", \ "1.934892,2.024254,2.089805,2.123114,2.164752,2.141911,2.191159", \ "1.971947,2.036691,2.060141,2.060098,2.072016,2.053113,2.116142", \ "2.136269,2.164026,2.155867,2.160890,2.100886,2.206547,2.135865", \ "2.448693,2.510497,2.459683,2.449667,2.421721,2.300778,2.314964", \ "2.887892,3.006415,2.976739,2.924602,2.871645,2.825506,2.567841", \ "3.548766,3.628797,3.677802,3.650412,3.509354,3.418556,3.475760"); } } } } /****************************************************************************************** Module : OR2_X2 Cell Description : Combinational cell (OR2_X2) with drive strength X2 *******************************************************************************************/ cell (OR2_X2) { drive_strength : 2; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 45.656022; leakage_power () { when : "!A1 & !A2"; value : 57.407020; } leakage_power () { when : "!A1 & A2"; value : 36.538480; } leakage_power () { when : "A1 & !A2"; value : 38.889400; } leakage_power () { when : "A1 & A2"; value : 49.789190; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.745940; fall_capacitance : 1.415149; rise_capacitance : 1.745940; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.694286; fall_capacitance : 1.593806; rise_capacitance : 1.694286; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0355590,0.0403936,0.0446503,0.0516815,0.0633469,0.0835447,0.120990", \ "0.0362137,0.0410426,0.0452970,0.0523288,0.0639952,0.0841938,0.121643", \ "0.0415030,0.0463121,0.0505535,0.0575739,0.0692390,0.0894396,0.126890", \ "0.0534554,0.0582103,0.0624196,0.0694233,0.0810905,0.101306,0.138753", \ "0.0681454,0.0732846,0.0777835,0.0851458,0.0971955,0.117666,0.155150", \ "0.0844609,0.0899597,0.0948126,0.102678,0.115194,0.136099,0.174030", \ "0.103033,0.108905,0.114130,0.122586,0.135840,0.157291,0.195391"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0147715,0.0195163,0.0243136,0.0336020,0.0519214,0.0883589,0.161097", \ "0.0163307,0.0210636,0.0258541,0.0351429,0.0534702,0.0899124,0.162654", \ "0.0212414,0.0259439,0.0306635,0.0398875,0.0582047,0.0946776,0.167439", \ "0.0254296,0.0305112,0.0352580,0.0444029,0.0626344,0.0990392,0.171803", \ "0.0272094,0.0330768,0.0381125,0.0472214,0.0652772,0.101604,0.174272", \ "0.0261967,0.0328293,0.0385337,0.0479706,0.0659122,0.102063,0.174673", \ "0.0220434,0.0293077,0.0357761,0.0460928,0.0641519,0.100245,0.172711"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00705904,0.00903969,0.0110545,0.0148306,0.0220733,0.0366372,0.0671402", \ "0.00705919,0.00904097,0.0110547,0.0148313,0.0220735,0.0366367,0.0671397", \ "0.00705870,0.00904518,0.0110633,0.0148385,0.0220779,0.0366383,0.0671414", \ "0.00750732,0.00934368,0.0112975,0.0150077,0.0221830,0.0366882,0.0671531", \ "0.00948348,0.0111916,0.0129820,0.0164230,0.0232596,0.0372459,0.0672947", \ "0.0115195,0.0132280,0.0149773,0.0182369,0.0246725,0.0383893,0.0680299", \ "0.0136830,0.0154162,0.0172042,0.0204499,0.0265815,0.0395989,0.0687609"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00411608,0.00763316,0.0117532,0.0202766,0.0375374,0.0721138,0.141284", \ "0.00411612,0.00763501,0.0117557,0.0202772,0.0375354,0.0721198,0.141295", \ "0.00443098,0.00777260,0.0118092,0.0202846,0.0375438,0.0721359,0.141295", \ "0.00560482,0.00849477,0.0122069,0.0204715,0.0375981,0.0721189,0.141290", \ "0.00712601,0.0100019,0.0131622,0.0208002,0.0377391,0.0722167,0.141291", \ "0.00888544,0.0121068,0.0150556,0.0217589,0.0380659,0.0723804,0.141391", \ "0.0109734,0.0144498,0.0176541,0.0236738,0.0388105,0.0727872,0.141577"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0396331,0.0444648,0.0487190,0.0557498,0.0674144,0.0876101,0.125056", \ "0.0410769,0.0459051,0.0501605,0.0571912,0.0688569,0.0890543,0.126499", \ "0.0463183,0.0511401,0.0553886,0.0624169,0.0740821,0.0942812,0.131731", \ "0.0554857,0.0602721,0.0645060,0.0715285,0.0832054,0.103417,0.140869", \ "0.0671070,0.0721476,0.0766159,0.0839689,0.0960161,0.116488,0.153990", \ "0.0813649,0.0866664,0.0913841,0.0991162,0.111667,0.132681,0.170582", \ "0.0984201,0.104024,0.109009,0.117189,0.130352,0.152040,0.190373"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0163090,0.0211317,0.0259660,0.0352965,0.0536627,0.0901457,0.162923", \ "0.0177691,0.0225885,0.0274183,0.0367453,0.0551134,0.0916028,0.164379", \ "0.0230514,0.0278069,0.0325722,0.0418368,0.0601847,0.0966874,0.169492", \ "0.0283356,0.0334221,0.0381955,0.0473721,0.0656241,0.102070,0.174862", \ "0.0313536,0.0371293,0.0421141,0.0512326,0.0693075,0.105667,0.178392", \ "0.0318351,0.0383241,0.0438644,0.0531788,0.0710922,0.107249,0.179904", \ "0.0296260,0.0367062,0.0429295,0.0528798,0.0707505,0.106735,0.179209"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00705684,0.00904044,0.0110545,0.0148297,0.0220734,0.0366366,0.0671394", \ "0.00705767,0.00903968,0.0110538,0.0148302,0.0220737,0.0366372,0.0671399", \ "0.00705788,0.00904307,0.0110581,0.0148347,0.0220751,0.0366388,0.0671405", \ "0.00733317,0.00923550,0.0112085,0.0149410,0.0221409,0.0366687,0.0671491", \ "0.00854953,0.0104374,0.0123758,0.0160059,0.0229870,0.0371114,0.0672626", \ "0.00989819,0.0117681,0.0137054,0.0173209,0.0242195,0.0381537,0.0678103", \ "0.0114815,0.0133441,0.0152890,0.0189097,0.0257485,0.0394095,0.0685744"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00433507,0.00782222,0.0119007,0.0203781,0.0376085,0.0721661,0.141336", \ "0.00433387,0.00782073,0.0119010,0.0203777,0.0376055,0.0721625,0.141340", \ "0.00450758,0.00788548,0.0119303,0.0203807,0.0376060,0.0721688,0.141341", \ "0.00558849,0.00852420,0.0122702,0.0205321,0.0376620,0.0721911,0.141334", \ "0.00697029,0.00982399,0.0130591,0.0207935,0.0377796,0.0722797,0.141358", \ "0.00852311,0.0116573,0.0146024,0.0214894,0.0379638,0.0723763,0.141437", \ "0.0103247,0.0137155,0.0167946,0.0229222,0.0384126,0.0725678,0.141565"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.240305,5.387639,5.609731,5.955758,6.279666,6.467658,6.532534", \ "5.150802,5.304985,5.521967,5.860814,6.198642,6.376274,6.441914", \ "5.169036,5.327321,5.538255,5.863909,6.195912,6.377257,6.450621", \ "5.579705,5.684565,5.835285,6.129876,6.443547,6.639858,6.703013", \ "6.328743,6.311892,6.431278,6.713115,7.010689,7.175664,7.229560", \ "7.375790,7.292017,7.261877,7.432094,7.753996,8.012442,8.061536", \ "8.892867,8.689655,8.573180,8.610960,8.853657,9.106530,9.262061"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.492044,3.672805,3.768149,3.843149,3.876571,4.000319,3.568122", \ "3.477623,3.665935,3.745209,3.851869,3.872299,3.903169,3.532114", \ "3.504444,3.628280,3.672151,3.781125,3.643083,3.947494,3.584021", \ "3.895512,3.953353,3.930460,3.886217,3.947262,3.877009,3.630197", \ "4.508866,4.690717,4.634104,4.564578,4.512019,4.345806,4.396912", \ "5.382788,5.620647,5.722593,5.682865,5.462611,5.422931,5.308254", \ "6.635262,6.858637,7.013605,6.989694,7.074132,6.791770,6.634726"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("6.037935,6.194851,6.409776,6.758210,7.098209,7.278713,7.334378", \ "5.964522,6.128859,6.361603,6.698334,7.027824,7.207476,7.269467", \ "5.901295,6.076101,6.294081,6.624968,6.952612,7.134354,7.202914", \ "6.008633,6.143568,6.338574,6.646433,6.967650,7.151091,7.219026", \ "6.279938,6.363782,6.526872,6.877926,7.211158,7.390809,7.456539", \ "6.907342,6.912660,6.994473,7.245121,7.648813,7.958534,8.022881", \ "8.004312,7.917193,7.919230,8.096962,8.431963,8.758826,8.968520"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.896279,4.058976,4.174584,4.321556,4.406225,4.378767,4.519900", \ "3.836343,4.024902,4.138710,4.211199,4.313990,4.239182,4.345816", \ "3.858087,4.041405,4.079314,4.124408,4.260389,4.362940,4.207801", \ "4.224401,4.293736,4.307700,4.265886,4.193067,4.415284,4.309267", \ "4.789178,5.003488,4.909227,4.861584,4.857719,4.819050,4.739014", \ "5.769148,5.993742,6.001180,5.850293,5.608782,5.712552,5.322020", \ "7.093926,7.306996,7.369831,7.311673,7.142856,7.009068,6.940751"); } } } } /****************************************************************************************** Module : OR2_X4 Cell Description : Combinational cell (OR2_X4) with drive strength X4 *******************************************************************************************/ cell (OR2_X4) { drive_strength : 4; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 91.312375; leakage_power () { when : "!A1 & !A2"; value : 114.814700; } leakage_power () { when : "!A1 & A2"; value : 73.077180; } leakage_power () { when : "A1 & !A2"; value : 77.779130; } leakage_power () { when : "A1 & A2"; value : 99.578490; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.384966; fall_capacitance : 2.746635; rise_capacitance : 3.384966; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.454664; fall_capacitance : 3.225723; rise_capacitance : 3.454664; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "(A1 | A2)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0342047,0.0393171,0.0435349,0.0504991,0.0620747,0.0821901,0.119632", \ "0.0348833,0.0399886,0.0442055,0.0511702,0.0627470,0.0828641,0.120306", \ "0.0402224,0.0453067,0.0495105,0.0564660,0.0680416,0.0881611,0.125608", \ "0.0521425,0.0571693,0.0613474,0.0682982,0.0798784,0.100010,0.137453", \ "0.0665652,0.0719828,0.0764598,0.0837769,0.0957475,0.116157,0.153625", \ "0.0826722,0.0884724,0.0933044,0.101105,0.113544,0.134341,0.172247", \ "0.101074,0.107273,0.112471,0.120860,0.134028,0.155358,0.193407"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0141539,0.0192252,0.0240427,0.0333525,0.0516940,0.0881689,0.160984", \ "0.0157122,0.0207699,0.0255798,0.0348898,0.0532411,0.0897230,0.162539", \ "0.0205266,0.0255585,0.0302980,0.0395446,0.0578871,0.0943988,0.167243", \ "0.0244777,0.0299270,0.0346874,0.0438547,0.0621210,0.0985714,0.171414", \ "0.0260410,0.0323176,0.0373517,0.0464758,0.0645694,0.100960,0.173721", \ "0.0248041,0.0318745,0.0375855,0.0470342,0.0650061,0.101217,0.173927", \ "0.0204485,0.0281662,0.0346419,0.0449644,0.0630417,0.0991918,0.171771"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00677217,0.00884583,0.0108497,0.0146198,0.0218764,0.0365066,0.0671603", \ "0.00677307,0.00884673,0.0108511,0.0146198,0.0218763,0.0365070,0.0671601", \ "0.00677364,0.00885253,0.0108603,0.0146290,0.0218806,0.0365089,0.0671605", \ "0.00732513,0.00921149,0.0111315,0.0148195,0.0219972,0.0365632,0.0671751", \ "0.00928857,0.0110556,0.0128233,0.0162424,0.0230940,0.0371444,0.0673156", \ "0.0113199,0.0130790,0.0148034,0.0180318,0.0244533,0.0382331,0.0680528", \ "0.0134936,0.0152724,0.0170318,0.0202422,0.0263406,0.0394098,0.0687463"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00382835,0.00755185,0.0116829,0.0202207,0.0374987,0.0721150,0.141346", \ "0.00382804,0.00755362,0.0116829,0.0202212,0.0374949,0.0720992,0.141354", \ "0.00416961,0.00769976,0.0117380,0.0202308,0.0374980,0.0721012,0.141358", \ "0.00534404,0.00840414,0.0121339,0.0204155,0.0375607,0.0721151,0.141350", \ "0.00683553,0.00990040,0.0130765,0.0207406,0.0377050,0.0722289,0.141358", \ "0.00860044,0.0120063,0.0149566,0.0216896,0.0380320,0.0723828,0.141457", \ "0.0107223,0.0143535,0.0175570,0.0235912,0.0387840,0.0727972,0.141646"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0382799,0.0433873,0.0476033,0.0545669,0.0661417,0.0862558,0.123695", \ "0.0397308,0.0448370,0.0490533,0.0560177,0.0675937,0.0877092,0.125150", \ "0.0449855,0.0500813,0.0542941,0.0612561,0.0728322,0.0929500,0.130394", \ "0.0541094,0.0591775,0.0633741,0.0703384,0.0819274,0.102059,0.139506", \ "0.0655386,0.0708761,0.0753156,0.0826186,0.0945906,0.114999,0.152493", \ "0.0796478,0.0852618,0.0899436,0.0976372,0.110103,0.131029,0.168913", \ "0.0965542,0.102473,0.107441,0.115569,0.128676,0.150264,0.188557"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0156323,0.0207887,0.0256438,0.0349970,0.0533910,0.0899185,0.162771", \ "0.0170931,0.0222449,0.0270948,0.0364449,0.0548417,0.0913737,0.164226", \ "0.0223209,0.0274090,0.0321929,0.0414797,0.0598576,0.0964057,0.169286", \ "0.0273779,0.0328275,0.0376151,0.0468171,0.0651044,0.101595,0.174467", \ "0.0301789,0.0363558,0.0413465,0.0504818,0.0685974,0.105005,0.177820", \ "0.0304620,0.0373774,0.0429167,0.0522299,0.0701829,0.106393,0.179136", \ "0.0280639,0.0355898,0.0418190,0.0517584,0.0696609,0.105691,0.178253"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00677238,0.00884627,0.0108498,0.0146199,0.0218761,0.0365069,0.0671590", \ "0.00677187,0.00884663,0.0108502,0.0146190,0.0218759,0.0365061,0.0671604", \ "0.00677203,0.00884967,0.0108546,0.0146234,0.0218790,0.0365068,0.0671611", \ "0.00711145,0.00907599,0.0110291,0.0147453,0.0219510,0.0365402,0.0671682", \ "0.00831823,0.0102822,0.0122079,0.0158272,0.0228211,0.0370015,0.0672798", \ "0.00967612,0.0116190,0.0135414,0.0171383,0.0240337,0.0380192,0.0678260", \ "0.0112757,0.0132052,0.0151336,0.0187346,0.0255659,0.0392681,0.0685687"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00404851,0.00773830,0.0118305,0.0203175,0.0375626,0.0721743,0.141406", \ "0.00404312,0.00773698,0.0118293,0.0203194,0.0375656,0.0721787,0.141406", \ "0.00424323,0.00780781,0.0118586,0.0203237,0.0375655,0.0721773,0.141402", \ "0.00532266,0.00843128,0.0121947,0.0204746,0.0376157,0.0721855,0.141403", \ "0.00667304,0.00971003,0.0129620,0.0207298,0.0377359,0.0722646,0.141423", \ "0.00821881,0.0115367,0.0144846,0.0214084,0.0379196,0.0723750,0.141505", \ "0.0100340,0.0135908,0.0166647,0.0228159,0.0383777,0.0725728,0.141637"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("10.230060,10.571650,11.026550,11.681150,12.303690,12.650320,12.771150", \ "10.062350,10.407480,10.838730,11.505550,12.131040,12.477690,12.597870", \ "10.045200,10.426430,10.860050,11.493750,12.140290,12.491860,12.621220", \ "10.953790,11.143300,11.473070,12.051270,12.660620,13.011820,13.147990", \ "12.409980,12.454400,12.618870,13.175610,13.765380,14.093070,14.199440", \ "14.613630,14.379490,14.342280,14.616470,15.276780,15.787840,15.870610", \ "17.687970,17.171300,16.966530,17.022510,17.502560,17.964200,18.290640"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.466955,6.847441,7.052358,7.286850,7.113275,6.999190,7.176228", \ "6.455865,6.829964,6.989600,7.152759,7.330937,6.719266,7.215272", \ "6.564090,6.890334,6.993809,6.966196,7.335581,6.996701,7.057797", \ "7.347707,7.510028,7.449608,7.484542,7.606468,7.728289,7.290580", \ "8.447393,8.951998,8.853188,8.807658,8.522919,8.741874,8.250467", \ "10.295280,10.961100,11.073220,10.933570,10.471710,10.230650,10.174060", \ "12.908190,13.328660,13.585450,13.618050,13.730300,13.517780,13.148440"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.775190,12.182230,12.641450,13.285090,13.922960,14.264060,14.378140", \ "11.702750,12.052320,12.460120,13.142710,13.794520,14.139430,14.255990", \ "11.607620,11.937840,12.320870,13.024750,13.648760,14.004170,14.127440", \ "11.832200,12.053010,12.451200,13.050110,13.681450,14.037430,14.162890", \ "12.391110,12.499400,12.840910,13.542470,14.158000,14.526750,14.638350", \ "13.632680,13.652020,13.774280,14.308460,15.052190,15.665730,15.793380", \ "15.846440,15.642950,15.644100,15.963140,16.657670,17.290870,17.696820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.225409,7.747628,7.922102,8.200333,8.186916,8.451687,8.644008", \ "7.117993,7.642607,7.803651,7.979563,7.993022,8.238525,8.331717", \ "7.268966,7.635538,7.786996,7.837250,8.122307,7.904854,8.037464", \ "7.923382,8.131088,8.118094,8.204952,8.283700,8.106264,8.297259", \ "9.151328,9.600360,9.553652,9.304236,9.171958,9.118419,9.217530", \ "11.145480,11.621420,11.622010,11.366840,11.273460,10.927630,10.752580", \ "13.816340,14.172150,14.230410,14.194770,13.951850,13.728580,12.939630"); } } } } /****************************************************************************************** Module : OR3_X1 Cell Description : Combinational cell (OR3_X1) with drive strength X1 *******************************************************************************************/ cell (OR3_X1) { drive_strength : 1; area : 1.330000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 24.414625; leakage_power () { when : "!A1 & !A2 & !A3"; value : 33.560560; } leakage_power () { when : "!A1 & !A2 & A3"; value : 18.092217; } leakage_power () { when : "!A1 & A2 & !A3"; value : 18.205418; } leakage_power () { when : "!A1 & A2 & A3"; value : 24.698146; } leakage_power () { when : "A1 & !A2 & !A3"; value : 19.360583; } leakage_power () { when : "A1 & !A2 & A3"; value : 24.715196; } leakage_power () { when : "A1 & A2 & !A3"; value : 24.751133; } leakage_power () { when : "A1 & A2 & A3"; value : 31.933748; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.959052; fall_capacitance : 0.775543; rise_capacitance : 0.959052; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.940092; fall_capacitance : 0.849985; rise_capacitance : 0.940092; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.921561; fall_capacitance : 0.895841; rise_capacitance : 0.921561; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0585762,0.0639441,0.0693478,0.0782280,0.0925737,0.116082,0.156512", \ "0.0587708,0.0641365,0.0695383,0.0784218,0.0927689,0.116279,0.156709", \ "0.0630247,0.0683772,0.0737772,0.0826485,0.0969889,0.120496,0.160927", \ "0.0741999,0.0795526,0.0849415,0.0938006,0.108134,0.131642,0.172077", \ "0.0925605,0.0978979,0.103258,0.112053,0.126363,0.149867,0.190305", \ "0.113698,0.119306,0.124940,0.134115,0.148771,0.172728,0.213409", \ "0.137146,0.143068,0.149040,0.158714,0.173898,0.198275,0.239524"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0167916,0.0211221,0.0259713,0.0352756,0.0535966,0.0900450,0.162771", \ "0.0183867,0.0227119,0.0275552,0.0368599,0.0551874,0.0916327,0.164363", \ "0.0237097,0.0279947,0.0327793,0.0420212,0.0603292,0.0967988,0.169556", \ "0.0288267,0.0335048,0.0383689,0.0475604,0.0657660,0.102164,0.174920", \ "0.0312140,0.0366089,0.0418401,0.0510710,0.0691187,0.105425,0.178080", \ "0.0303466,0.0364431,0.0423938,0.0520802,0.0700328,0.106136,0.178705", \ "0.0257941,0.0324826,0.0392058,0.0498528,0.0679985,0.103963,0.176373"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0114500,0.0134671,0.0157667,0.0199891,0.0277290,0.0422891,0.0713392", \ "0.0114514,0.0134658,0.0157665,0.0199887,0.0277275,0.0422871,0.0713393", \ "0.0114518,0.0134670,0.0157657,0.0199912,0.0277306,0.0422888,0.0713387", \ "0.0114351,0.0134589,0.0157648,0.0199972,0.0277386,0.0422918,0.0713406", \ "0.0122999,0.0141317,0.0162918,0.0203651,0.0279908,0.0424515,0.0714180", \ "0.0145533,0.0163029,0.0183349,0.0221671,0.0294684,0.0435501,0.0719367", \ "0.0169019,0.0186485,0.0206591,0.0243611,0.0312567,0.0449005,0.0732372"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00459910,0.00768302,0.0117125,0.0201437,0.0373753,0.0719322,0.141105", \ "0.00460119,0.00768476,0.0117128,0.0201419,0.0373686,0.0719548,0.141085", \ "0.00480878,0.00778545,0.0117638,0.0201566,0.0373714,0.0719387,0.141088", \ "0.00597958,0.00861230,0.0122384,0.0203552,0.0374086,0.0719517,0.141097", \ "0.00750620,0.0101739,0.0133206,0.0207674,0.0375678,0.0720398,0.141096", \ "0.00926131,0.0122691,0.0153111,0.0218589,0.0378825,0.0721673,0.141184", \ "0.0113202,0.0145828,0.0179339,0.0239444,0.0386535,0.0724819,0.141348"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0692567,0.0746215,0.0800222,0.0889006,0.103247,0.126756,0.167183", \ "0.0699818,0.0753439,0.0807457,0.0896271,0.103974,0.127484,0.167911", \ "0.0744586,0.0798154,0.0852152,0.0940891,0.108435,0.131943,0.172372", \ "0.0831947,0.0885430,0.0939343,0.102800,0.117137,0.140648,0.181084", \ "0.0973734,0.102740,0.108141,0.117005,0.131357,0.154888,0.195334", \ "0.115239,0.120804,0.126434,0.135667,0.150495,0.174561,0.215302", \ "0.137221,0.143001,0.148856,0.158412,0.173713,0.198344,0.239772"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0184512,0.0228553,0.0277441,0.0370910,0.0554555,0.0919428,0.164718", \ "0.0199827,0.0243863,0.0292729,0.0386173,0.0569843,0.0934729,0.166247", \ "0.0254634,0.0298164,0.0346501,0.0439397,0.0622809,0.0987795,0.171581", \ "0.0315760,0.0362564,0.0411537,0.0503740,0.0686069,0.105043,0.177823", \ "0.0350865,0.0404227,0.0456163,0.0548473,0.0729263,0.109255,0.181951", \ "0.0355180,0.0415148,0.0473406,0.0568950,0.0748229,0.110939,0.183545", \ "0.0325237,0.0390807,0.0456224,0.0559792,0.0739223,0.109833,0.182247"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0114509,0.0134655,0.0157672,0.0199895,0.0277283,0.0422870,0.0713383", \ "0.0114507,0.0134661,0.0157673,0.0199911,0.0277285,0.0422893,0.0713379", \ "0.0114498,0.0134668,0.0157681,0.0199918,0.0277315,0.0422876,0.0713368", \ "0.0114550,0.0134730,0.0157771,0.0200032,0.0277411,0.0422946,0.0713400", \ "0.0122263,0.0141176,0.0162979,0.0203793,0.0279900,0.0424485,0.0714145", \ "0.0136175,0.0155295,0.0177402,0.0218212,0.0293374,0.0434686,0.0718956", \ "0.0152380,0.0171200,0.0193103,0.0233603,0.0307822,0.0448186,0.0731067"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00480953,0.00787145,0.0118633,0.0202454,0.0374326,0.0719921,0.141143", \ "0.00480512,0.00787104,0.0118627,0.0202511,0.0374412,0.0719823,0.141130", \ "0.00489462,0.00791757,0.0118877,0.0202553,0.0374362,0.0719897,0.141133", \ "0.00597564,0.00863855,0.0122967,0.0204193,0.0374817,0.0719834,0.141136", \ "0.00740178,0.0100482,0.0132438,0.0207751,0.0376075,0.0720736,0.141142", \ "0.00900406,0.0119460,0.0149744,0.0216550,0.0378181,0.0721794,0.141233", \ "0.0108476,0.0140485,0.0173000,0.0233634,0.0383686,0.0723473,0.141351"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0727463,0.0781080,0.0835103,0.0923893,0.106735,0.130244,0.170670", \ "0.0739711,0.0793368,0.0847362,0.0936175,0.107964,0.131474,0.171902", \ "0.0788040,0.0841638,0.0895610,0.0984378,0.112781,0.136290,0.176719", \ "0.0864392,0.0917957,0.0971893,0.106062,0.120403,0.143913,0.184343", \ "0.0967474,0.102137,0.107552,0.116427,0.130788,0.154321,0.194769", \ "0.108889,0.114425,0.120034,0.129187,0.143971,0.167992,0.208730", \ "0.124760,0.130482,0.136274,0.145789,0.161026,0.185688,0.227138"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0189395,0.0234889,0.0284932,0.0379736,0.0564553,0.0930233,0.165858", \ "0.0204300,0.0249726,0.0299698,0.0394431,0.0579218,0.0944927,0.167327", \ "0.0261260,0.0305808,0.0355029,0.0448999,0.0633436,0.0999152,0.172768", \ "0.0329933,0.0377377,0.0426828,0.0519785,0.0702838,0.106776,0.179608", \ "0.0374219,0.0427879,0.0480165,0.0573062,0.0754518,0.111821,0.184583", \ "0.0390243,0.0450241,0.0508462,0.0603966,0.0784139,0.114576,0.187219", \ "0.0375411,0.0440834,0.0505948,0.0609040,0.0788243,0.114791,0.187254"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0114489,0.0134688,0.0157666,0.0199903,0.0277291,0.0422874,0.0713390", \ "0.0114493,0.0134662,0.0157677,0.0199893,0.0277280,0.0422891,0.0713382", \ "0.0114531,0.0134650,0.0157668,0.0199930,0.0277307,0.0422897,0.0713370", \ "0.0114520,0.0134679,0.0157718,0.0199973,0.0277353,0.0422933,0.0713368", \ "0.0120059,0.0139408,0.0161579,0.0202786,0.0279225,0.0424036,0.0713937", \ "0.0131361,0.0150937,0.0173463,0.0214960,0.0290887,0.0433213,0.0718432", \ "0.0144595,0.0164149,0.0186797,0.0228487,0.0304624,0.0446741,0.0729808"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00506500,0.00815473,0.0121465,0.0204918,0.0376096,0.0721008,0.141208", \ "0.00504820,0.00813716,0.0121388,0.0204898,0.0376154,0.0720950,0.141210", \ "0.00504636,0.00811789,0.0121096,0.0204606,0.0376024,0.0721014,0.141221", \ "0.00609618,0.00878385,0.0124541,0.0205726,0.0376066,0.0721186,0.141213", \ "0.00749599,0.0101485,0.0133635,0.0209118,0.0377334,0.0721638,0.141220", \ "0.00905206,0.0119757,0.0150018,0.0217340,0.0379420,0.0722779,0.141289", \ "0.0108372,0.0140007,0.0172166,0.0233145,0.0384434,0.0724500,0.141428"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.156040,3.147506,3.183428,3.282617,3.484917,3.690060,3.791858", \ "3.112351,3.110309,3.128262,3.242278,3.442019,3.650203,3.751100", \ "3.103737,3.099577,3.131446,3.231192,3.429728,3.637792,3.739890", \ "3.181900,3.203066,3.217116,3.324881,3.521545,3.728364,3.833434", \ "3.550665,3.510498,3.516153,3.586468,3.760948,3.943472,4.040728", \ "4.040505,3.998750,3.955737,3.973453,4.116454,4.293437,4.373602", \ "4.722006,4.622742,4.534058,4.487305,4.560167,4.759754,4.838695"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.772226,1.838751,1.892678,1.886473,1.934043,1.800615,1.787873", \ "1.771857,1.861688,1.904518,1.938086,1.969917,1.907812,1.999092", \ "1.824715,1.876366,1.889981,1.873069,1.924141,1.985387,1.950634", \ "1.972800,2.010562,2.009444,1.985092,2.011164,2.021781,1.992804", \ "2.210310,2.294300,2.303355,2.222722,2.197699,2.223756,2.192119", \ "2.585976,2.705025,2.706316,2.667906,2.643040,2.531388,2.487757", \ "3.115608,3.206540,3.262481,3.251802,3.275983,3.174360,3.133614"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.547501,3.545432,3.575667,3.674740,3.884045,4.085266,4.183192", \ "3.503748,3.517805,3.537417,3.641078,3.844056,4.053253,4.152939", \ "3.482770,3.479997,3.510899,3.604596,3.811839,4.018930,4.118918", \ "3.481287,3.476331,3.494304,3.596432,3.800764,4.007559,4.110514", \ "3.643701,3.616183,3.631866,3.697897,3.887483,4.075745,4.177977", \ "3.913201,3.886204,3.865355,3.933777,4.097215,4.287447,4.375172", \ "4.354478,4.304027,4.260050,4.261190,4.395287,4.620876,4.715634"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.995574,2.072693,2.114628,2.195609,2.205893,2.247337,2.214823", \ "1.991669,2.075927,2.109877,2.138443,2.222770,2.182578,2.143913", \ "2.024808,2.073043,2.125462,2.121257,2.163163,2.091148,2.064098", \ "2.160246,2.197669,2.170732,2.225763,2.252650,2.292413,2.026042", \ "2.409825,2.473682,2.477998,2.418543,2.399496,2.360528,2.470783", \ "2.785404,2.878832,2.877242,2.847619,2.732920,2.689346,2.651837", \ "3.311219,3.409395,3.446251,3.384334,3.303770,3.223465,3.157257"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.899090,3.892848,3.936221,4.031339,4.238595,4.447797,4.547252", \ "3.855398,3.878041,3.906393,4.009842,4.207802,4.413417,4.516779", \ "3.842455,3.832774,3.870964,3.965098,4.175742,4.376877,4.480049", \ "3.829679,3.823737,3.856286,3.947978,4.152939,4.358452,4.462811", \ "3.898724,3.906525,3.907920,4.001136,4.185377,4.385060,4.484729", \ "4.065700,4.034307,4.047285,4.123304,4.309408,4.513972,4.608385", \ "4.408431,4.376435,4.353213,4.387241,4.544490,4.790028,4.914324"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.121716,2.231411,2.289969,2.370143,2.419139,2.414299,2.378214", \ "2.095621,2.208279,2.282876,2.345660,2.408139,2.478795,2.295111", \ "2.111128,2.196242,2.260960,2.281840,2.361916,2.287665,2.182929", \ "2.240961,2.320797,2.337757,2.331969,2.424032,2.451477,2.437296", \ "2.534545,2.606116,2.607216,2.566622,2.601491,2.504433,2.485506", \ "2.924118,3.053477,3.060046,2.984509,2.968945,2.735370,2.946848", \ "3.540388,3.641011,3.679591,3.634444,3.538209,3.460941,3.402643"); } } } } /****************************************************************************************** Module : OR3_X2 Cell Description : Combinational cell (OR3_X2) with drive strength X2 *******************************************************************************************/ cell (OR3_X2) { drive_strength : 2; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 49.162437; leakage_power () { when : "!A1 & !A2 & !A3"; value : 67.495450; } leakage_power () { when : "!A1 & !A2 & A3"; value : 36.385250; } leakage_power () { when : "!A1 & A2 & !A3"; value : 36.617240; } leakage_power () { when : "!A1 & A2 & A3"; value : 49.758280; } leakage_power () { when : "A1 & !A2 & !A3"; value : 38.967390; } leakage_power () { when : "A1 & !A2 & A3"; value : 49.795900; } leakage_power () { when : "A1 & A2 & !A3"; value : 49.867950; } leakage_power () { when : "A1 & A2 & A3"; value : 64.412040; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.747970; fall_capacitance : 1.382455; rise_capacitance : 1.747970; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.693050; fall_capacitance : 1.506205; rise_capacitance : 1.693050; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.672973; fall_capacitance : 1.606289; rise_capacitance : 1.672973; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0537277,0.0595455,0.0647174,0.0732531,0.0871260,0.110055,0.149919", \ "0.0538976,0.0597103,0.0648826,0.0734207,0.0872942,0.110224,0.150090", \ "0.0582628,0.0640616,0.0692207,0.0777469,0.0916152,0.114544,0.154410", \ "0.0695793,0.0753716,0.0805203,0.0890209,0.102873,0.125818,0.165690", \ "0.0876016,0.0934083,0.0985502,0.107066,0.120919,0.143870,0.183760", \ "0.107995,0.114061,0.119469,0.128322,0.142541,0.165956,0.206142", \ "0.130792,0.137222,0.142967,0.152281,0.167027,0.190763,0.231395"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0156354,0.0204624,0.0252887,0.0345899,0.0529157,0.0893642,0.162118", \ "0.0172259,0.0220447,0.0268655,0.0361670,0.0544982,0.0909521,0.163703", \ "0.0223989,0.0271806,0.0319378,0.0411770,0.0594949,0.0959747,0.168750", \ "0.0269977,0.0322023,0.0370137,0.0461903,0.0644209,0.100835,0.173604", \ "0.0288515,0.0348541,0.0399862,0.0491622,0.0672280,0.103560,0.176244", \ "0.0274170,0.0342052,0.0400368,0.0495983,0.0675407,0.103675,0.176293", \ "0.0223135,0.0297397,0.0363427,0.0468403,0.0649181,0.100936,0.173391"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0106710,0.0128515,0.0150921,0.0192320,0.0268889,0.0414301,0.0706698", \ "0.0106701,0.0128499,0.0150905,0.0192324,0.0268887,0.0414287,0.0706697", \ "0.0106672,0.0128499,0.0150921,0.0192344,0.0268897,0.0414293,0.0706716", \ "0.0106375,0.0128351,0.0150894,0.0192416,0.0268992,0.0414357,0.0706727", \ "0.0117964,0.0137864,0.0158619,0.0197930,0.0272507,0.0416465,0.0707708", \ "0.0140379,0.0158956,0.0178448,0.0215470,0.0287164,0.0428292,0.0713560", \ "0.0163449,0.0181994,0.0201294,0.0237015,0.0304345,0.0440174,0.0725982"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00424262,0.00772783,0.0118045,0.0202813,0.0375316,0.0721051,0.141298", \ "0.00424463,0.00772911,0.0118044,0.0202815,0.0375271,0.0721176,0.141303", \ "0.00450969,0.00784350,0.0118547,0.0202922,0.0375300,0.0721169,0.141303", \ "0.00568117,0.00861796,0.0122958,0.0204871,0.0375788,0.0721229,0.141301", \ "0.00719055,0.0101517,0.0133153,0.0208585,0.0377316,0.0722148,0.141302", \ "0.00895279,0.0122701,0.0152660,0.0218826,0.0380436,0.0723624,0.141395", \ "0.0110428,0.0146213,0.0179038,0.0239044,0.0387808,0.0727063,0.141576"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0644042,0.0702148,0.0753846,0.0839194,0.0977916,0.120720,0.160583", \ "0.0651055,0.0709150,0.0760864,0.0846227,0.0984946,0.121426,0.161289", \ "0.0696123,0.0754154,0.0805818,0.0891131,0.102981,0.125912,0.165777", \ "0.0783761,0.0841652,0.0893214,0.0978398,0.111700,0.134640,0.174514", \ "0.0921604,0.0980168,0.103244,0.111820,0.125737,0.148719,0.188633", \ "0.109616,0.115657,0.121073,0.129992,0.144402,0.167961,0.208211", \ "0.131048,0.137331,0.142973,0.152249,0.167158,0.191241,0.232107"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0172870,0.0221972,0.0270629,0.0364064,0.0547797,0.0912735,0.164066", \ "0.0188170,0.0237250,0.0285871,0.0379289,0.0563026,0.0928005,0.165599", \ "0.0242215,0.0290708,0.0338764,0.0431609,0.0615142,0.0980241,0.170848", \ "0.0298766,0.0350856,0.0399217,0.0491314,0.0673854,0.103833,0.176639", \ "0.0329049,0.0388331,0.0439264,0.0531084,0.0711967,0.107555,0.180286", \ "0.0328258,0.0394842,0.0451815,0.0546426,0.0725697,0.108716,0.181364", \ "0.0293202,0.0365956,0.0430000,0.0531851,0.0711307,0.107074,0.179538"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0106710,0.0128505,0.0150916,0.0192320,0.0268886,0.0414301,0.0706698", \ "0.0106725,0.0128502,0.0150912,0.0192338,0.0268886,0.0414306,0.0706705", \ "0.0106723,0.0128515,0.0150925,0.0192339,0.0268908,0.0414311,0.0706718", \ "0.0106757,0.0128632,0.0151090,0.0192504,0.0269033,0.0414369,0.0706754", \ "0.0116354,0.0137094,0.0158291,0.0197789,0.0272457,0.0416369,0.0707648", \ "0.0130146,0.0150656,0.0172075,0.0211970,0.0286144,0.0427566,0.0713037", \ "0.0146545,0.0166664,0.0187898,0.0227379,0.0300413,0.0440140,0.0724822"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00445757,0.00791665,0.0119532,0.0203848,0.0376003,0.0721621,0.141337", \ "0.00445552,0.00791578,0.0119534,0.0203841,0.0375949,0.0721617,0.141333", \ "0.00457837,0.00796866,0.0119805,0.0203904,0.0375975,0.0721520,0.141332", \ "0.00566762,0.00864535,0.0123544,0.0205472,0.0376448,0.0721696,0.141338", \ "0.00706774,0.0100070,0.0132262,0.0208616,0.0377693,0.0722526,0.141347", \ "0.00866032,0.0119046,0.0148942,0.0216634,0.0379757,0.0723697,0.141449", \ "0.0105190,0.0140280,0.0172106,0.0232825,0.0384776,0.0725464,0.141570"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0678816,0.0736944,0.0788647,0.0873992,0.101271,0.124201,0.164062", \ "0.0690860,0.0748945,0.0800658,0.0886017,0.102474,0.125404,0.165268", \ "0.0739267,0.0797291,0.0848940,0.0934270,0.107297,0.130227,0.170091", \ "0.0815635,0.0873625,0.0925243,0.101051,0.114919,0.137850,0.177718", \ "0.0916028,0.0974675,0.102684,0.111263,0.125179,0.148160,0.188049", \ "0.103354,0.109358,0.114743,0.123626,0.137990,0.161497,0.201744", \ "0.118962,0.125163,0.130752,0.139967,0.154839,0.178978,0.219890"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0177188,0.0228010,0.0277863,0.0372684,0.0557611,0.0923435,0.165196", \ "0.0192152,0.0242878,0.0292652,0.0387400,0.0572303,0.0938129,0.166673", \ "0.0248851,0.0298488,0.0347438,0.0441366,0.0625907,0.0991798,0.172060", \ "0.0313226,0.0366065,0.0415016,0.0507886,0.0691111,0.105626,0.178481", \ "0.0353048,0.0412688,0.0464008,0.0556510,0.0738130,0.110218,0.182995", \ "0.0364419,0.0431060,0.0488041,0.0582768,0.0762754,0.112476,0.185169", \ "0.0345318,0.0417967,0.0481720,0.0583175,0.0762634,0.112268,0.184782"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.0106700,0.0128523,0.0150918,0.0192317,0.0268892,0.0414282,0.0706703", \ "0.0106724,0.0128503,0.0150911,0.0192329,0.0268899,0.0414287,0.0706698", \ "0.0106688,0.0128523,0.0150931,0.0192331,0.0268914,0.0414309,0.0706721", \ "0.0106719,0.0128557,0.0150996,0.0192427,0.0268982,0.0414348,0.0706713", \ "0.0113559,0.0134615,0.0156209,0.0196329,0.0271491,0.0415804,0.0707405", \ "0.0124703,0.0145865,0.0167790,0.0208458,0.0283549,0.0425743,0.0712370", \ "0.0138283,0.0159345,0.0181337,0.0222203,0.0297361,0.0439003,0.0723493"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.00472130,0.00821127,0.0122487,0.0206375,0.0377771,0.0722890,0.141414", \ "0.00470058,0.00819458,0.0122348,0.0206306,0.0377793,0.0722806,0.141411", \ "0.00472755,0.00817362,0.0122038,0.0206057,0.0377656,0.0722672,0.141422", \ "0.00579661,0.00879962,0.0125203,0.0207061,0.0377777,0.0722840,0.141428", \ "0.00717028,0.0101096,0.0133517,0.0210046,0.0378979,0.0723504,0.141438", \ "0.00872107,0.0119343,0.0149257,0.0217506,0.0380902,0.0724656,0.141512", \ "0.0105119,0.0139734,0.0171170,0.0232390,0.0385646,0.0726512,0.141656"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("5.973896,5.994018,6.040449,6.266248,6.643040,7.003553,7.168809", \ "5.927323,5.912007,5.952174,6.180776,6.557522,6.914559,7.080898", \ "5.921535,5.893820,5.955953,6.162059,6.537442,6.901803,7.067703", \ "6.135543,6.115765,6.163692,6.352419,6.723935,7.095149,7.259989", \ "6.887057,6.778556,6.778704,6.892409,7.213560,7.542857,7.691575", \ "7.898196,7.729203,7.625471,7.654774,7.943048,8.243125,8.374894", \ "9.222470,8.989487,8.807423,8.678037,8.841541,9.174076,9.323614"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.450104,3.655048,3.732963,3.844652,3.915990,3.517757,3.511318", \ "3.488555,3.665933,3.741182,3.776585,3.922720,3.526951,3.966637", \ "3.568087,3.652391,3.713455,3.821960,3.873455,3.979510,3.889048", \ "3.898963,3.928025,3.946748,3.903124,3.995636,3.796182,4.051835", \ "4.353120,4.522595,4.505624,4.469743,4.382360,4.249688,3.994037", \ "5.136492,5.403934,5.395116,5.399902,5.131712,4.979947,4.918901", \ "6.220790,6.391983,6.474904,6.489125,6.469231,6.135363,5.964875"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("6.807522,6.789518,6.859178,7.069714,7.455172,7.809746,7.969971", \ "6.726685,6.740216,6.798010,7.002215,7.384387,7.749670,7.912065", \ "6.646481,6.664584,6.727378,6.923714,7.309908,7.673276,7.841041", \ "6.659059,6.663400,6.724125,6.896032,7.288265,7.658393,7.822143", \ "7.056888,6.993532,7.002978,7.124196,7.463189,7.812816,7.962708", \ "7.561572,7.496238,7.470969,7.575750,7.911848,8.227370,8.378055", \ "8.522642,8.351069,8.251766,8.242158,8.494027,8.908197,9.083744"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("3.881276,4.097037,4.214161,4.294708,4.410913,4.486100,4.401112", \ "3.870859,4.084104,4.163344,4.289735,4.357535,4.344056,4.258650", \ "3.923021,4.074807,4.131910,4.200191,4.330604,4.288242,4.111311", \ "4.242039,4.306556,4.327562,4.355327,4.431353,4.462074,4.475021", \ "4.746512,4.904700,4.877383,4.768610,4.646998,4.668083,4.533071", \ "5.520553,5.744073,5.724289,5.631323,5.367922,5.172069,5.491796", \ "6.559351,6.763792,6.843230,6.703219,6.596434,6.461763,6.010940"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("7.505417,7.527553,7.587574,7.800530,8.178617,8.546827,8.707424", \ "7.461628,7.471900,7.528871,7.740179,8.112985,8.478992,8.636913", \ "7.377629,7.402660,7.462848,7.673507,8.038631,8.400915,8.570805", \ "7.393617,7.371471,7.437823,7.619888,8.003569,8.376679,8.538829", \ "7.610343,7.538439,7.557864,7.721349,8.081542,8.428744,8.585679", \ "7.845467,7.787422,7.780534,7.949991,8.334567,8.688785,8.852305", \ "8.630166,8.474602,8.442208,8.482853,8.811873,9.273694,9.495007"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("4.144685,4.431626,4.518153,4.680040,4.860833,4.796290,4.730952", \ "4.084448,4.367743,4.493698,4.671295,4.765240,4.610999,4.557742", \ "4.093481,4.367034,4.422274,4.590601,4.678432,4.684773,4.485649", \ "4.453578,4.565690,4.598089,4.677387,4.786898,4.870663,4.914696", \ "5.013578,5.208788,5.194659,5.132642,5.069990,5.144363,5.089738", \ "5.782180,6.099857,6.101880,6.040203,5.910611,5.787778,5.585051", \ "7.075549,7.227166,7.264404,7.282015,7.039154,6.939051,6.431411"); } } } } /****************************************************************************************** Module : OR3_X4 Cell Description : Combinational cell (OR3_X4) with drive strength X4 *******************************************************************************************/ cell (OR3_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 98.325150; leakage_power () { when : "!A1 & !A2 & !A3"; value : 134.990900; } leakage_power () { when : "!A1 & !A2 & A3"; value : 72.770830; } leakage_power () { when : "!A1 & A2 & !A3"; value : 73.234590; } leakage_power () { when : "!A1 & A2 & A3"; value : 99.517000; } leakage_power () { when : "A1 & !A2 & !A3"; value : 77.935220; } leakage_power () { when : "A1 & !A2 & A3"; value : 99.591910; } leakage_power () { when : "A1 & A2 & !A3"; value : 99.736340; } leakage_power () { when : "A1 & A2 & A3"; value : 128.824410; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.374939; fall_capacitance : 2.626697; rise_capacitance : 3.374939; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.377189; fall_capacitance : 2.976384; rise_capacitance : 3.377189; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.394655; fall_capacitance : 3.256246; rise_capacitance : 3.394655; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 242.310000; function : "((A1 | A2) | A3)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0508008,0.0568663,0.0619376,0.0703089,0.0839406,0.106576,0.146175", \ "0.0509889,0.0570493,0.0621203,0.0704932,0.0841269,0.106763,0.146363", \ "0.0554474,0.0614919,0.0665498,0.0749137,0.0885419,0.111176,0.150776", \ "0.0668751,0.0728911,0.0779248,0.0862780,0.0998912,0.122545,0.162150", \ "0.0846397,0.0906714,0.0957451,0.104139,0.117808,0.140479,0.180093", \ "0.104614,0.110922,0.116245,0.124956,0.138978,0.162130,0.202076", \ "0.127088,0.133766,0.139419,0.148595,0.163140,0.186606,0.226930"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0148342,0.0199657,0.0248038,0.0341238,0.0524749,0.0889630,0.161796", \ "0.0164223,0.0215436,0.0263751,0.0356945,0.0540544,0.0905512,0.163385", \ "0.0214701,0.0265644,0.0313294,0.0405882,0.0589385,0.0954573,0.168320", \ "0.0257270,0.0312641,0.0360731,0.0452684,0.0635339,0.0999958,0.172855", \ "0.0272327,0.0336080,0.0387182,0.0478963,0.0659971,0.102384,0.175174", \ "0.0254438,0.0326346,0.0384423,0.0479748,0.0659545,0.102145,0.174878", \ "0.0199780,0.0278234,0.0344015,0.0448649,0.0629503,0.0990249,0.171606"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0102039,0.0124543,0.0146641,0.0187663,0.0263877,0.0409418,0.0703368", \ "0.0102070,0.0124541,0.0146644,0.0187646,0.0263884,0.0409408,0.0703364", \ "0.0102055,0.0124528,0.0146643,0.0187676,0.0263897,0.0409423,0.0703378", \ "0.0101543,0.0124355,0.0146625,0.0187760,0.0263986,0.0409478,0.0703390", \ "0.0115117,0.0135268,0.0155772,0.0194497,0.0268235,0.0411933,0.0704475", \ "0.0137447,0.0156269,0.0175252,0.0211537,0.0282493,0.0424014,0.0710753", \ "0.0160485,0.0179170,0.0197975,0.0232907,0.0299289,0.0434916,0.0722574"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00394331,0.00764414,0.0117445,0.0202512,0.0375200,0.0721391,0.141417", \ "0.00394335,0.00764522,0.0117435,0.0202482,0.0375277,0.0721557,0.141425", \ "0.00424839,0.00777102,0.0117974,0.0202579,0.0375230,0.0721452,0.141425", \ "0.00541363,0.00851624,0.0122220,0.0204560,0.0375773,0.0721468,0.141427", \ "0.00690303,0.0100341,0.0132097,0.0208090,0.0377325,0.0722515,0.141416", \ "0.00867450,0.0121561,0.0151447,0.0218044,0.0380349,0.0724058,0.141517", \ "0.0108089,0.0145235,0.0177894,0.0237916,0.0387704,0.0727632,0.141704"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0614842,0.0675438,0.0726123,0.0809822,0.0946135,0.117247,0.156845", \ "0.0621890,0.0682464,0.0733154,0.0816869,0.0953196,0.117956,0.157554", \ "0.0667249,0.0727737,0.0778400,0.0862064,0.0998359,0.122472,0.162071", \ "0.0755119,0.0815315,0.0865839,0.0949368,0.108567,0.131208,0.170821", \ "0.0890497,0.0951609,0.100307,0.108763,0.122476,0.145191,0.184836", \ "0.106235,0.112529,0.117859,0.126650,0.140855,0.164139,0.204171", \ "0.127403,0.133953,0.139504,0.148646,0.163371,0.187174,0.227749"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0164191,0.0216380,0.0265144,0.0358787,0.0542768,0.0908174,0.163688", \ "0.0179495,0.0231655,0.0280387,0.0374005,0.0558024,0.0923426,0.165220", \ "0.0232936,0.0284483,0.0332617,0.0425647,0.0609477,0.0975072,0.170408", \ "0.0286144,0.0341528,0.0389867,0.0482140,0.0665023,0.103005,0.175898", \ "0.0313151,0.0376063,0.0426697,0.0518614,0.0699839,0.106400,0.179230", \ "0.0308886,0.0379448,0.0436077,0.0530417,0.0709990,0.107202,0.179965", \ "0.0270585,0.0347488,0.0411227,0.0512600,0.0692086,0.105211,0.177761"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0102060,0.0124553,0.0146657,0.0187659,0.0263889,0.0409403,0.0703360", \ "0.0102053,0.0124551,0.0146660,0.0187663,0.0263884,0.0409414,0.0703359", \ "0.0102054,0.0124559,0.0146671,0.0187678,0.0263904,0.0409416,0.0703358", \ "0.0102177,0.0124712,0.0146861,0.0187896,0.0264071,0.0409513,0.0703404", \ "0.0112712,0.0134221,0.0155218,0.0194251,0.0268119,0.0411838,0.0704427", \ "0.0126679,0.0147680,0.0168724,0.0208116,0.0281793,0.0423434,0.0710151", \ "0.0143290,0.0163852,0.0184638,0.0223587,0.0296028,0.0435499,0.0721598"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00415768,0.00783015,0.0118941,0.0203503,0.0375889,0.0722087,0.141468", \ "0.00415580,0.00782802,0.0118930,0.0203511,0.0375871,0.0721975,0.141460", \ "0.00431257,0.00788946,0.0119192,0.0203588,0.0375867,0.0722093,0.141456", \ "0.00539614,0.00854122,0.0122802,0.0205143,0.0376389,0.0722107,0.141459", \ "0.00676936,0.00987381,0.0131155,0.0208074,0.0377674,0.0723040,0.141474", \ "0.00835957,0.0117691,0.0147525,0.0215733,0.0379646,0.0724109,0.141566", \ "0.0102365,0.0138917,0.0170507,0.0231497,0.0384637,0.0726035,0.141700"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0649679,0.0710271,0.0760964,0.0844678,0.0980992,0.120734,0.160331", \ "0.0661713,0.0722291,0.0772991,0.0856712,0.0993034,0.121939,0.161537", \ "0.0710396,0.0770914,0.0821574,0.0905241,0.104154,0.126789,0.166388", \ "0.0786945,0.0847383,0.0898005,0.0981608,0.111789,0.134425,0.174028", \ "0.0885700,0.0946916,0.0998177,0.108262,0.121970,0.144664,0.184296", \ "0.100114,0.106373,0.111670,0.120417,0.134585,0.157834,0.197840", \ "0.115578,0.122046,0.127557,0.136653,0.151331,0.175202,0.215860"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0168144,0.0222252,0.0272258,0.0367328,0.0552562,0.0918831,0.164818", \ "0.0183180,0.0237164,0.0287081,0.0382075,0.0567312,0.0933583,0.166296", \ "0.0239655,0.0292414,0.0341446,0.0435596,0.0620468,0.0986816,0.171648", \ "0.0300913,0.0357126,0.0406083,0.0499125,0.0682731,0.104844,0.177792", \ "0.0337636,0.0400991,0.0452119,0.0544582,0.0726529,0.109119,0.182001", \ "0.0345967,0.0416608,0.0473205,0.0567804,0.0748057,0.111070,0.183876", \ "0.0324077,0.0400829,0.0464156,0.0565138,0.0744745,0.110553,0.183171"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.0102043,0.0124546,0.0146647,0.0187648,0.0263894,0.0409406,0.0703364", \ "0.0102065,0.0124549,0.0146652,0.0187668,0.0263900,0.0409423,0.0703368", \ "0.0102060,0.0124551,0.0146653,0.0187680,0.0263903,0.0409414,0.0703382", \ "0.0102122,0.0124622,0.0146760,0.0187769,0.0263977,0.0409455,0.0703387", \ "0.0109636,0.0131408,0.0152767,0.0192407,0.0266979,0.0411176,0.0704143", \ "0.0120902,0.0142627,0.0164234,0.0204467,0.0279168,0.0421507,0.0709388", \ "0.0134809,0.0156355,0.0178018,0.0218399,0.0293117,0.0434676,0.0720302"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("0.00441758,0.00812939,0.0121921,0.0206107,0.0377722,0.0723274,0.141551", \ "0.00439639,0.00811124,0.0121787,0.0206006,0.0377782,0.0723203,0.141541", \ "0.00445497,0.00809273,0.0121451,0.0205741,0.0377586,0.0723134,0.141541", \ "0.00552429,0.00869940,0.0124516,0.0206751,0.0377793,0.0723181,0.141551", \ "0.00687214,0.00998395,0.0132450,0.0209539,0.0378999,0.0723949,0.141563", \ "0.00841650,0.0117971,0.0147832,0.0216654,0.0380892,0.0725130,0.141641", \ "0.0102253,0.0138343,0.0169560,0.0231068,0.0385476,0.0727038,0.141781"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("11.539220,11.469660,11.579420,12.038360,12.772060,13.428400,13.730670", \ "11.371690,11.301820,11.440110,11.821960,12.592390,13.259220,13.564870", \ "11.391000,11.288120,11.425550,11.840840,12.567200,13.234940,13.544740", \ "11.860380,11.765100,11.840110,12.235540,12.956120,13.631620,13.937880", \ "13.405580,13.137110,13.107610,13.340180,13.946800,14.537450,14.820550", \ "15.470060,14.999500,14.765330,14.838140,15.396180,15.956700,16.179790", \ "18.145010,17.536930,17.127160,16.887400,17.179910,17.800190,18.110730"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("6.495941,6.905478,7.039458,7.243822,7.400854,7.213866,6.847156", \ "6.527240,6.913410,7.047158,7.149005,7.465879,7.197731,7.590287", \ "6.764242,7.013085,7.106863,7.207485,7.357418,6.669086,7.469345", \ "7.386952,7.565040,7.430748,7.582737,7.541750,7.793061,7.893034", \ "8.367736,8.792142,8.737850,8.589332,8.546089,8.185209,7.980607", \ "9.855605,10.385300,10.514190,10.407810,9.927448,9.925640,9.153213", \ "12.075410,12.413060,12.618590,12.626780,12.750850,12.473690,12.063590"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("13.157510,13.073030,13.212200,13.595180,14.368940,15.033550,15.331810", \ "13.001170,12.979230,13.087150,13.474260,14.248250,14.907640,15.205810", \ "12.904970,12.833100,12.943470,13.366270,14.104650,14.767750,15.067160", \ "12.923230,12.837120,12.942970,13.330340,14.067780,14.742700,15.038490", \ "13.632390,13.543600,13.492700,13.793740,14.439140,15.055910,15.348250", \ "14.761230,14.525540,14.428700,14.604530,15.297970,15.929900,16.184740", \ "16.639050,16.237810,16.029880,15.975950,16.510860,17.254720,17.620240"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.403133,7.887345,8.079547,8.082852,8.424898,8.163316,8.480726", \ "7.366292,7.857915,8.013112,8.176834,8.217606,8.564067,8.195742", \ "7.517588,7.879020,7.983492,8.174225,8.327428,8.138022,7.989631", \ "8.055148,8.243196,8.223106,8.380040,8.495843,8.195694,7.994496", \ "9.045368,9.494179,9.423946,9.092408,9.194972,8.888831,9.430219", \ "10.657470,11.108020,11.119290,10.931470,10.481720,9.926911,10.177390", \ "12.944700,13.255050,13.273000,13.212700,12.767660,12.641940,12.414850"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("14.616370,14.542230,14.679950,15.078940,15.831380,16.518280,16.793670", \ "14.508250,14.446490,14.528170,14.985600,15.703420,16.383530,16.681040", \ "14.289930,14.286180,14.421260,14.798170,15.572710,16.234390,16.539450", \ "14.331510,14.243460,14.357030,14.757550,15.517010,16.167970,16.483550", \ "14.755770,14.616170,14.652670,14.950370,15.649530,16.295230,16.577970", \ "15.224910,15.095380,15.102710,15.370710,16.169350,16.840150,17.115010", \ "16.773650,16.513740,16.396470,16.510650,17.134110,17.994990,18.429450"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.572190,15.144400,30.288800,60.577500,121.155000,242.310000"); values ("7.845831,8.478520,8.725040,8.947848,9.367476,9.345013,9.147128", \ "7.705717,8.326314,8.620282,8.975072,9.264597,9.254034,8.778093", \ "7.829685,8.354789,8.533017,8.794458,9.069347,8.981074,9.294918", \ "8.455029,8.790006,8.853106,8.942787,8.838449,9.092786,9.605188", \ "9.607920,10.057530,9.949553,9.930121,9.689616,9.368570,10.048810", \ "11.275180,11.793680,11.761800,11.749730,11.495250,11.270740,11.127650", \ "13.756290,14.192030,14.333150,14.189150,13.794000,13.520080,12.897200"); } } } } /****************************************************************************************** Module : OR4_X1 Cell Description : Combinational cell (OR4_X1) with drive strength X1 *******************************************************************************************/ cell (OR4_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 26.733490; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 38.536850; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 18.059668; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 18.130959; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 24.698311; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 18.244149; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 24.702744; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 24.736932; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 31.924178; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 19.398973; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 24.719222; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 24.753982; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 31.935794; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 24.789930; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 31.950897; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 31.972545; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 39.180713; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.941245; fall_capacitance : 0.748896; rise_capacitance : 0.941245; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.938321; fall_capacitance : 0.831823; rise_capacitance : 0.938321; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.923766; fall_capacitance : 0.852155; rise_capacitance : 0.923766; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.914189; fall_capacitance : 0.892889; rise_capacitance : 0.914189; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0769601,0.0830396,0.0892122,0.0993896,0.115798,0.142271,0.186269", \ "0.0767918,0.0828648,0.0890383,0.0992220,0.115630,0.142106,0.186106", \ "0.0805355,0.0865939,0.0927554,0.102931,0.119331,0.145804,0.189801", \ "0.0913150,0.0973794,0.103543,0.113699,0.130091,0.156557,0.200561", \ "0.109940,0.115944,0.122067,0.132132,0.148479,0.174913,0.218900", \ "0.134784,0.140863,0.147027,0.157179,0.173523,0.199962,0.243984", \ "0.162158,0.168505,0.174945,0.185434,0.202014,0.228839,0.273388"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0173842,0.0217684,0.0266430,0.0359600,0.0542846,0.0907350,0.163461", \ "0.0189853,0.0233642,0.0282332,0.0375514,0.0558800,0.0923317,0.165064", \ "0.0244154,0.0287528,0.0335673,0.0428252,0.0611369,0.0975983,0.170364", \ "0.0298559,0.0345967,0.0395057,0.0487249,0.0669311,0.103324,0.176084", \ "0.0324214,0.0378751,0.0431614,0.0524454,0.0705117,0.106808,0.179471", \ "0.0314184,0.0375753,0.0435938,0.0533576,0.0713175,0.107402,0.179972", \ "0.0263556,0.0331141,0.0399101,0.0506406,0.0688276,0.104754,0.177144"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0154423,0.0175928,0.0200803,0.0246601,0.0329755,0.0481795,0.0771384", \ "0.0154430,0.0175968,0.0200825,0.0246589,0.0329736,0.0481789,0.0771401", \ "0.0154418,0.0175941,0.0200806,0.0246574,0.0329711,0.0481783,0.0771400", \ "0.0154224,0.0175763,0.0200618,0.0246440,0.0329656,0.0481739,0.0771347", \ "0.0151111,0.0173681,0.0199287,0.0245794,0.0329439,0.0481673,0.0771343", \ "0.0170961,0.0190156,0.0212953,0.0256193,0.0336562,0.0486056,0.0773851", \ "0.0196307,0.0214770,0.0236362,0.0276718,0.0352937,0.0500133,0.0784915"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00470020,0.00777313,0.0117728,0.0201625,0.0373792,0.0719420,0.141092", \ "0.00470112,0.00777287,0.0117719,0.0201631,0.0373802,0.0719333,0.141090", \ "0.00487424,0.00786461,0.0118200,0.0201744,0.0373746,0.0719525,0.141092", \ "0.00604258,0.00870173,0.0123168,0.0203848,0.0374218,0.0719463,0.141088", \ "0.00755467,0.0102674,0.0134288,0.0208322,0.0375754,0.0720198,0.141087", \ "0.00930386,0.0123551,0.0154360,0.0219550,0.0378788,0.0721536,0.141176", \ "0.0113585,0.0146726,0.0180708,0.0240989,0.0386712,0.0724302,0.141345"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0946761,0.100744,0.106910,0.117085,0.133491,0.159963,0.203963", \ "0.0950373,0.101105,0.107274,0.117451,0.133857,0.160333,0.204333", \ "0.0987184,0.104777,0.110944,0.121117,0.137520,0.163997,0.207996", \ "0.106718,0.112786,0.118946,0.129115,0.145515,0.171989,0.215987", \ "0.120852,0.126826,0.132957,0.143071,0.159446,0.185896,0.229898", \ "0.140934,0.147077,0.153345,0.163736,0.180288,0.206913,0.251027", \ "0.166129,0.172427,0.178871,0.189373,0.206359,0.233586,0.278363"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0190576,0.0235168,0.0284350,0.0377947,0.0561654,0.0926475,0.165427", \ "0.0206189,0.0250769,0.0299923,0.0393509,0.0577211,0.0942041,0.166990", \ "0.0261883,0.0305995,0.0354666,0.0447742,0.0631176,0.0996197,0.172420", \ "0.0325964,0.0373395,0.0422721,0.0515219,0.0697566,0.106190,0.178971", \ "0.0362317,0.0416352,0.0468935,0.0561780,0.0742681,0.110590,0.183296", \ "0.0364550,0.0425275,0.0484355,0.0580683,0.0760278,0.112132,0.184743", \ "0.0328175,0.0394604,0.0460954,0.0565729,0.0745583,0.110449,0.182862"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0154442,0.0175940,0.0200827,0.0246628,0.0329788,0.0481805,0.0771399", \ "0.0154444,0.0175940,0.0200850,0.0246641,0.0329793,0.0481800,0.0771385", \ "0.0154451,0.0175936,0.0200839,0.0246631,0.0329773,0.0481800,0.0771416", \ "0.0154425,0.0175943,0.0200866,0.0246677,0.0329812,0.0481848,0.0771419", \ "0.0155095,0.0176709,0.0201631,0.0247407,0.0330485,0.0482322,0.0771716", \ "0.0169720,0.0190386,0.0214493,0.0258778,0.0339014,0.0487656,0.0774642", \ "0.0185337,0.0205550,0.0229263,0.0273286,0.0353736,0.0502540,0.0785679"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00490280,0.00795978,0.0119254,0.0202715,0.0374420,0.0720023,0.141140", \ "0.00490130,0.00795889,0.0119260,0.0202707,0.0374416,0.0719892,0.141137", \ "0.00496911,0.00799893,0.0119490,0.0202778,0.0374488,0.0719836,0.141143", \ "0.00603789,0.00872762,0.0123722,0.0204520,0.0374779,0.0720064,0.141149", \ "0.00746460,0.0101570,0.0133629,0.0208443,0.0376156,0.0720858,0.141147", \ "0.00908363,0.0120756,0.0151416,0.0217818,0.0378470,0.0721751,0.141224", \ "0.0109521,0.0142076,0.0175181,0.0235844,0.0384413,0.0723418,0.141358"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.104515,0.110586,0.116756,0.126929,0.143336,0.169811,0.213811", \ "0.105014,0.111085,0.117253,0.127429,0.143837,0.170312,0.214311", \ "0.109149,0.115208,0.121375,0.131548,0.147952,0.174426,0.218427", \ "0.116721,0.122784,0.128949,0.139110,0.155511,0.181985,0.225984", \ "0.127383,0.133432,0.139576,0.149699,0.166084,0.192555,0.236560", \ "0.141299,0.147440,0.153716,0.163998,0.180551,0.207196,0.251314", \ "0.159393,0.165684,0.172104,0.182721,0.199701,0.226980,0.271820"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0196588,0.0242625,0.0292921,0.0387853,0.0572696,0.0938383,0.166680", \ "0.0212130,0.0258100,0.0308346,0.0403232,0.0588048,0.0953772,0.168215", \ "0.0269299,0.0314471,0.0364050,0.0458221,0.0642716,0.100846,0.173699", \ "0.0340613,0.0388627,0.0438509,0.0531757,0.0714844,0.107976,0.180816", \ "0.0385681,0.0440018,0.0492930,0.0586398,0.0767982,0.113171,0.185924", \ "0.0398759,0.0459544,0.0518598,0.0614850,0.0795228,0.115688,0.188325", \ "0.0375349,0.0441658,0.0507734,0.0611958,0.0792050,0.115164,0.187619"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0154439,0.0175967,0.0200836,0.0246622,0.0329785,0.0481805,0.0771397", \ "0.0154445,0.0175947,0.0200831,0.0246640,0.0329756,0.0481820,0.0771399", \ "0.0154459,0.0175940,0.0200835,0.0246658,0.0329771,0.0481807,0.0771414", \ "0.0154442,0.0175941,0.0200860,0.0246640,0.0329803,0.0481830,0.0771392", \ "0.0154942,0.0176501,0.0201398,0.0247161,0.0330238,0.0482139,0.0771590", \ "0.0167607,0.0188594,0.0212937,0.0257683,0.0338370,0.0487263,0.0774407", \ "0.0180687,0.0201440,0.0225778,0.0270658,0.0352410,0.0502014,0.0785803"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00515974,0.00823930,0.0122087,0.0205161,0.0376231,0.0720947,0.141212", \ "0.00514794,0.00822698,0.0121986,0.0205127,0.0376173,0.0721084,0.141224", \ "0.00512595,0.00819706,0.0121695,0.0204858,0.0376042,0.0721165,0.141222", \ "0.00615066,0.00886507,0.0125263,0.0205990,0.0376080,0.0721133,0.141210", \ "0.00755605,0.0102542,0.0134726,0.0209743,0.0377404,0.0721547,0.141229", \ "0.00913285,0.0121073,0.0151670,0.0218572,0.0379607,0.0722742,0.141306", \ "0.0109467,0.0141677,0.0174450,0.0235375,0.0385079,0.0724382,0.141429"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.107895,0.113969,0.120136,0.130311,0.146718,0.173193,0.217190", \ "0.108888,0.114963,0.121132,0.131305,0.147714,0.174190,0.218189", \ "0.113386,0.119451,0.125617,0.135792,0.152196,0.178670,0.222669", \ "0.120670,0.126737,0.132901,0.143070,0.159470,0.185944,0.229944", \ "0.129777,0.135829,0.141985,0.152113,0.168508,0.194978,0.238983", \ "0.140236,0.146371,0.152623,0.162877,0.179382,0.205983,0.250071", \ "0.152837,0.159084,0.165474,0.175981,0.192812,0.220012,0.264732"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0195311,0.0242387,0.0293784,0.0390400,0.0577282,0.0944710,0.167416", \ "0.0210817,0.0257755,0.0309029,0.0405515,0.0592270,0.0959690,0.168915", \ "0.0270028,0.0315925,0.0366302,0.0461767,0.0647928,0.101523,0.174484", \ "0.0345936,0.0394643,0.0445154,0.0539308,0.0723588,0.108978,0.181917", \ "0.0397338,0.0452309,0.0505854,0.0600123,0.0782754,0.114743,0.187579", \ "0.0418645,0.0479930,0.0539565,0.0636672,0.0817861,0.118044,0.190754", \ "0.0406111,0.0472852,0.0539379,0.0644507,0.0825165,0.118559,0.191085"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.0154465,0.0175933,0.0200825,0.0246650,0.0329754,0.0481782,0.0771390", \ "0.0154459,0.0175938,0.0200832,0.0246637,0.0329752,0.0481783,0.0771402", \ "0.0154436,0.0175939,0.0200827,0.0246626,0.0329779,0.0481791,0.0771407", \ "0.0154432,0.0175968,0.0200846,0.0246639,0.0329793,0.0481835,0.0771425", \ "0.0154707,0.0176173,0.0201094,0.0246913,0.0330014,0.0481999,0.0771487", \ "0.0164130,0.0185209,0.0209664,0.0254597,0.0335962,0.0485770,0.0773596", \ "0.0175379,0.0196419,0.0220964,0.0266309,0.0348630,0.0498723,0.0783477"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.00529391,0.00843776,0.0124665,0.0208279,0.0379197,0.0723285,0.141369", \ "0.00527056,0.00841268,0.0124434,0.0208117,0.0379093,0.0723473,0.141373", \ "0.00523083,0.00835137,0.0123704,0.0207415,0.0378642,0.0723268,0.141364", \ "0.00627770,0.00901868,0.0126983,0.0207988,0.0378289,0.0722817,0.141345", \ "0.00770161,0.0104213,0.0136569,0.0211668,0.0379347,0.0723398,0.141365", \ "0.00929596,0.0122814,0.0153515,0.0220460,0.0381500,0.0724379,0.141415", \ "0.0111306,0.0143420,0.0176195,0.0237187,0.0386932,0.0726173,0.141569"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.555953,3.515686,3.479474,3.473839,3.597751,3.819260,3.994055", \ "3.504576,3.472851,3.447776,3.447607,3.562793,3.783636,3.958837", \ "3.502312,3.463145,3.421847,3.428969,3.553110,3.772870,3.940894", \ "3.568094,3.541947,3.505868,3.510717,3.622888,3.846167,4.015936", \ "3.787546,3.745822,3.696711,3.691445,3.806836,4.024267,4.191609", \ "4.303004,4.221824,4.159561,4.090696,4.163794,4.341851,4.486056", \ "4.899987,4.811084,4.705799,4.599305,4.609081,4.764396,4.893461"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.795736,1.853574,1.884325,1.909833,1.929223,1.811695,2.013733", \ "1.805902,1.871342,1.891130,1.895318,1.947283,2.006320,1.972551", \ "1.855747,1.893340,1.907305,1.919056,1.950280,1.941748,1.930119", \ "1.980651,2.018972,2.019567,1.975904,1.990752,1.956036,1.927033", \ "2.202497,2.275905,2.251884,2.250234,2.140864,2.117532,2.206225", \ "2.526361,2.633484,2.656762,2.575079,2.568067,2.374297,2.329601", \ "3.008243,3.043294,3.135097,3.129587,3.067183,3.002998,2.923647"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.966114,3.900403,3.866396,3.880447,3.987031,4.208634,4.386018", \ "3.924358,3.867440,3.847681,3.841772,3.955628,4.191079,4.357674", \ "3.897790,3.832386,3.812107,3.802530,3.931880,4.155703,4.323393", \ "3.884314,3.822496,3.799253,3.801364,3.915946,4.133029,4.305199", \ "3.959289,3.916509,3.871425,3.869527,3.955911,4.175529,4.349860", \ "4.243526,4.193491,4.152201,4.106676,4.169410,4.356843,4.518480", \ "4.678852,4.569182,4.497817,4.428109,4.485294,4.658955,4.800804"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("1.997658,2.091698,2.132505,2.174232,2.215892,2.198609,2.166473", \ "1.997368,2.094239,2.134563,2.179934,2.237584,2.278580,2.240066", \ "2.046944,2.108399,2.139951,2.163969,2.187486,2.117490,2.177081", \ "2.185951,2.226579,2.223529,2.239759,2.235119,2.148657,2.309172", \ "2.390720,2.470080,2.455421,2.419581,2.334011,2.400738,2.374622", \ "2.735296,2.832891,2.806579,2.742818,2.619647,2.546627,2.508675", \ "3.178720,3.272971,3.298962,3.248653,3.154946,3.042875,2.973946"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.313966,4.261984,4.240540,4.232362,4.345964,4.572783,4.747205", \ "4.284397,4.228724,4.205151,4.198529,4.327728,4.542666,4.718382", \ "4.250327,4.195382,4.160926,4.161045,4.292854,4.504229,4.686058", \ "4.231581,4.176020,4.153144,4.157432,4.270221,4.496459,4.660305", \ "4.258316,4.220209,4.175575,4.169341,4.279266,4.491049,4.668163", \ "4.474660,4.397992,4.351650,4.314397,4.394321,4.580695,4.749134", \ "4.708186,4.647655,4.593975,4.541631,4.607048,4.812354,4.964355"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.143159,2.273190,2.327816,2.382349,2.442605,2.445244,2.483007", \ "2.110292,2.262247,2.318862,2.401859,2.468725,2.435203,2.274917", \ "2.140988,2.251219,2.295480,2.331033,2.380055,2.389404,2.510091", \ "2.301441,2.359693,2.364541,2.378546,2.389867,2.408736,2.394250", \ "2.534418,2.628939,2.628196,2.586443,2.522194,2.414329,2.430841", \ "2.893711,2.996323,2.992919,2.938645,2.873547,2.865133,2.807095", \ "3.380825,3.469821,3.498754,3.470164,3.350569,3.272207,3.212588"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.667098,4.625805,4.593510,4.594725,4.709602,4.925822,5.106675", \ "4.637110,4.585378,4.562918,4.564915,4.683226,4.896786,5.075900", \ "4.586006,4.546180,4.524806,4.520626,4.641748,4.870001,5.038966", \ "4.589353,4.535322,4.506402,4.510718,4.617258,4.851928,5.015180", \ "4.599015,4.551468,4.513175,4.514190,4.627629,4.842055,5.007940", \ "4.723259,4.676399,4.637082,4.599790,4.695528,4.887745,5.056599", \ "4.873648,4.826406,4.780927,4.747279,4.834354,5.061568,5.220722"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.153265,2.318567,2.420989,2.547885,2.658727,2.559181,2.511496", \ "2.116480,2.299051,2.394871,2.513593,2.535684,2.567829,2.757059", \ "2.180976,2.309631,2.359807,2.459132,2.581713,2.642018,2.640496", \ "2.331964,2.401959,2.468214,2.514281,2.541037,2.569840,2.577685", \ "2.611615,2.686693,2.708462,2.710510,2.659829,2.643853,2.793270", \ "2.957158,3.074258,3.104305,3.051354,3.031471,3.019888,2.819368", \ "3.528360,3.639576,3.663157,3.631518,3.496877,3.529135,3.521917"); } } } } /****************************************************************************************** Module : OR4_X2 Cell Description : Combinational cell (OR4_X2) with drive strength X2 *******************************************************************************************/ cell (OR4_X2) { drive_strength : 2; area : 1.862000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 53.869509; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 77.572660; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 36.317490; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 36.464010; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 49.756740; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 36.695890; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 49.767410; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 49.837150; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 64.390590; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 39.045490; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 49.802720; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 49.874770; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 64.415890; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 49.946820; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 64.446690; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 64.490910; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 79.086920; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.723147; fall_capacitance : 1.339230; rise_capacitance : 1.723147; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.675133; fall_capacitance : 1.450126; rise_capacitance : 1.675133; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.648372; fall_capacitance : 1.500909; rise_capacitance : 1.648372; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.636906; fall_capacitance : 1.587243; rise_capacitance : 1.636906; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0700375,0.0765841,0.0824687,0.0922181,0.108011,0.133678,0.176720", \ "0.0698257,0.0763661,0.0822498,0.0920036,0.107801,0.133467,0.176510", \ "0.0737081,0.0802286,0.0860978,0.0958412,0.111634,0.137297,0.180339", \ "0.0846499,0.0911852,0.0970524,0.106782,0.122557,0.148236,0.191285", \ "0.103576,0.109955,0.115736,0.125365,0.141060,0.166671,0.209717", \ "0.127592,0.134136,0.140019,0.149703,0.165480,0.191200,0.234303", \ "0.154129,0.160964,0.167139,0.177177,0.193181,0.219175,0.262817"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0161702,0.0210333,0.0258675,0.0351551,0.0534390,0.0898001,0.162375", \ "0.0177652,0.0226214,0.0274508,0.0367371,0.0550269,0.0913929,0.163963", \ "0.0230597,0.0278756,0.0326450,0.0418730,0.0601440,0.0965327,0.169135", \ "0.0279694,0.0332219,0.0380548,0.0472339,0.0654180,0.101737,0.174328", \ "0.0299390,0.0359838,0.0411575,0.0503462,0.0683798,0.104618,0.177118", \ "0.0283156,0.0351406,0.0410198,0.0506195,0.0685073,0.104552,0.176978", \ "0.0225991,0.0300752,0.0367324,0.0473035,0.0653552,0.101221,0.173475"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0144097,0.0167169,0.0191245,0.0235919,0.0317686,0.0468374,0.0757801", \ "0.0144112,0.0167160,0.0191233,0.0235906,0.0317698,0.0468354,0.0757804", \ "0.0144088,0.0167125,0.0191191,0.0235879,0.0317652,0.0468345,0.0757791", \ "0.0143705,0.0166738,0.0190880,0.0235653,0.0317521,0.0468246,0.0757733", \ "0.0141476,0.0164946,0.0189695,0.0235107,0.0317398,0.0468291,0.0757782", \ "0.0164362,0.0184358,0.0205972,0.0247716,0.0326639,0.0474206,0.0760967", \ "0.0189310,0.0208647,0.0229175,0.0267864,0.0341760,0.0487052,0.0772878"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00434203,0.00780437,0.0118435,0.0202678,0.0374590,0.0719546,0.140970", \ "0.00434273,0.00780421,0.0118416,0.0202665,0.0374591,0.0719657,0.140966", \ "0.00457796,0.00791050,0.0118932,0.0202805,0.0374569,0.0719517,0.140965", \ "0.00574135,0.00869821,0.0123534,0.0204808,0.0375134,0.0719591,0.140970", \ "0.00723970,0.0102379,0.0133990,0.0208749,0.0376679,0.0720611,0.140975", \ "0.00899134,0.0123488,0.0153682,0.0219353,0.0379632,0.0721918,0.141062", \ "0.0110857,0.0147063,0.0180233,0.0240099,0.0387066,0.0724905,0.141238"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0877222,0.0942592,0.100138,0.109883,0.125676,0.151341,0.194385", \ "0.0880527,0.0945873,0.100466,0.110213,0.126008,0.151675,0.194721", \ "0.0917700,0.0982995,0.104173,0.113916,0.129707,0.155371,0.198418", \ "0.0998215,0.106348,0.112225,0.121965,0.137747,0.163411,0.206458", \ "0.113918,0.120350,0.126177,0.135866,0.151615,0.177280,0.220340", \ "0.133489,0.140118,0.146116,0.156053,0.172121,0.198034,0.241247", \ "0.158080,0.164878,0.171043,0.181226,0.197638,0.224112,0.268056"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0178306,0.0227773,0.0276526,0.0369836,0.0553114,0.0917207,0.164333", \ "0.0193898,0.0243353,0.0292074,0.0385373,0.0568656,0.0932758,0.165893", \ "0.0248982,0.0297870,0.0346074,0.0438825,0.0621927,0.0986107,0.171249", \ "0.0308221,0.0360767,0.0409385,0.0501486,0.0683574,0.104718,0.177342", \ "0.0339253,0.0399031,0.0450425,0.0542510,0.0723053,0.108572,0.181120", \ "0.0335614,0.0402786,0.0460359,0.0555575,0.0734581,0.109507,0.181973", \ "0.0293154,0.0366592,0.0431406,0.0534232,0.0713680,0.107198,0.179460"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0144133,0.0167183,0.0191293,0.0235965,0.0317734,0.0468415,0.0757853", \ "0.0144109,0.0167187,0.0191279,0.0235975,0.0317732,0.0468400,0.0757852", \ "0.0144133,0.0167187,0.0191285,0.0235975,0.0317743,0.0468401,0.0757845", \ "0.0144134,0.0167206,0.0191320,0.0236037,0.0317798,0.0468432,0.0757837", \ "0.0145826,0.0168809,0.0192794,0.0237329,0.0318796,0.0469137,0.0758241", \ "0.0161494,0.0183466,0.0206736,0.0250233,0.0329367,0.0475946,0.0761867", \ "0.0177534,0.0198902,0.0221715,0.0264389,0.0343158,0.0490360,0.0773828"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00455302,0.00799093,0.0119973,0.0203749,0.0375253,0.0720159,0.141007", \ "0.00455118,0.00799155,0.0119970,0.0203723,0.0375343,0.0720141,0.141015", \ "0.00465055,0.00803822,0.0120212,0.0203794,0.0375279,0.0719954,0.141006", \ "0.00573051,0.00872515,0.0124121,0.0205427,0.0375720,0.0720153,0.141018", \ "0.00713274,0.0101087,0.0133236,0.0208869,0.0377064,0.0720955,0.141030", \ "0.00874069,0.0120295,0.0150408,0.0217434,0.0379142,0.0722045,0.141122", \ "0.0106208,0.0141838,0.0174087,0.0234613,0.0384541,0.0723764,0.141239"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0975297,0.104065,0.109944,0.119691,0.135482,0.161149,0.204191", \ "0.0979900,0.104525,0.110404,0.120152,0.135946,0.161613,0.204656", \ "0.102153,0.108682,0.114558,0.124300,0.140089,0.165756,0.208799", \ "0.109757,0.116285,0.122159,0.131900,0.147686,0.173351,0.216395", \ "0.120404,0.126894,0.132751,0.142452,0.158224,0.183888,0.226945", \ "0.133715,0.140330,0.146332,0.156261,0.172341,0.198267,0.241501", \ "0.151540,0.158314,0.164465,0.174665,0.191136,0.217702,0.261687"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0183744,0.0234905,0.0284832,0.0379514,0.0564009,0.0928961,0.165572", \ "0.0199332,0.0250417,0.0300277,0.0394899,0.0579383,0.0944334,0.167108", \ "0.0256385,0.0306452,0.0355552,0.0449422,0.0633560,0.0998552,0.172554", \ "0.0323179,0.0376442,0.0425633,0.0518494,0.0701298,0.106556,0.179236", \ "0.0363442,0.0423569,0.0475325,0.0567984,0.0749216,0.111236,0.183836", \ "0.0370941,0.0438157,0.0495776,0.0591105,0.0770777,0.113188,0.185696", \ "0.0342235,0.0415512,0.0480054,0.0582501,0.0761953,0.112106,0.184431"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0144111,0.0167185,0.0191279,0.0235968,0.0317735,0.0468387,0.0757850", \ "0.0144133,0.0167189,0.0191279,0.0235961,0.0317721,0.0468394,0.0757822", \ "0.0144120,0.0167204,0.0191285,0.0235968,0.0317737,0.0468400,0.0757850", \ "0.0144162,0.0167197,0.0191299,0.0235994,0.0317769,0.0468417,0.0757828", \ "0.0145298,0.0168299,0.0192319,0.0236895,0.0318464,0.0468921,0.0758126", \ "0.0158935,0.0181302,0.0204846,0.0248726,0.0328406,0.0475458,0.0761588", \ "0.0172231,0.0194344,0.0217817,0.0261594,0.0341901,0.0490018,0.0773793"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00481570,0.00828475,0.0122880,0.0206288,0.0377101,0.0721310,0.141089", \ "0.00479863,0.00826982,0.0122755,0.0206186,0.0377058,0.0721206,0.141087", \ "0.00479995,0.00824235,0.0122433,0.0205932,0.0376913,0.0721154,0.141096", \ "0.00585003,0.00887195,0.0125717,0.0207016,0.0377056,0.0721227,0.141085", \ "0.00722799,0.0102063,0.0134397,0.0210275,0.0378338,0.0721919,0.141106", \ "0.00879776,0.0120643,0.0150776,0.0218280,0.0380344,0.0723045,0.141186", \ "0.0106166,0.0141386,0.0173308,0.0234164,0.0385345,0.0724790,0.141322"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.100903,0.107437,0.113318,0.123063,0.138857,0.164523,0.207564", \ "0.101857,0.108391,0.114272,0.124017,0.139814,0.165481,0.208524", \ "0.106367,0.112895,0.118770,0.128513,0.144304,0.169968,0.213012", \ "0.113660,0.120184,0.126060,0.135800,0.151589,0.177253,0.220296", \ "0.122740,0.129246,0.135111,0.144834,0.160615,0.186281,0.229329", \ "0.132744,0.139360,0.145336,0.155227,0.171226,0.197092,0.240272", \ "0.145076,0.151800,0.157919,0.168020,0.184380,0.210843,0.254705"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0182068,0.0234419,0.0285477,0.0381928,0.0568512,0.0935157,0.166307", \ "0.0197678,0.0249858,0.0300775,0.0397063,0.0583554,0.0950217,0.167817", \ "0.0256931,0.0307814,0.0357720,0.0452919,0.0638760,0.100538,0.173341", \ "0.0328385,0.0382451,0.0432276,0.0526086,0.0710110,0.107567,0.180351", \ "0.0375100,0.0436000,0.0488396,0.0581990,0.0764298,0.112842,0.185529", \ "0.0391329,0.0459178,0.0517369,0.0613473,0.0793995,0.115605,0.188184", \ "0.0374075,0.0447891,0.0512850,0.0616030,0.0796309,0.115618,0.188017"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.0144116,0.0167188,0.0191285,0.0235972,0.0317710,0.0468395,0.0757799", \ "0.0144115,0.0167186,0.0191280,0.0235985,0.0317716,0.0468392,0.0757807", \ "0.0144094,0.0167213,0.0191279,0.0235965,0.0317749,0.0468414,0.0757851", \ "0.0144120,0.0167200,0.0191288,0.0236001,0.0317772,0.0468420,0.0757845", \ "0.0144652,0.0167707,0.0191809,0.0236457,0.0318122,0.0468659,0.0757974", \ "0.0155000,0.0177579,0.0201271,0.0245286,0.0325421,0.0473470,0.0760534", \ "0.0166504,0.0188978,0.0212709,0.0256942,0.0337884,0.0486652,0.0771187"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.00493685,0.00848574,0.0125533,0.0209534,0.0380219,0.0723624,0.141235", \ "0.00491024,0.00845919,0.0125286,0.0209316,0.0380107,0.0723509,0.141240", \ "0.00489663,0.00839867,0.0124502,0.0208572,0.0379626,0.0723462,0.141231", \ "0.00598113,0.00903234,0.0127529,0.0209059,0.0379242,0.0723247,0.141227", \ "0.00738410,0.0103877,0.0136328,0.0212269,0.0380319,0.0723550,0.141231", \ "0.00897080,0.0122443,0.0152695,0.0220249,0.0382281,0.0724767,0.141305", \ "0.0108147,0.0143207,0.0175141,0.0236076,0.0387339,0.0726650,0.141463"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.735740,6.626196,6.555672,6.564382,6.799015,7.226360,7.529805", \ "6.650704,6.539962,6.466511,6.479677,6.705329,7.144170,7.443666", \ "6.646311,6.517276,6.444655,6.460747,6.690530,7.115960,7.416431", \ "6.796089,6.674403,6.604020,6.611749,6.838047,7.274090,7.580477", \ "7.282460,7.134084,7.052102,7.042162,7.259408,7.654709,7.953841", \ "8.268579,8.081706,7.961695,7.853388,7.970128,8.291706,8.554427", \ "9.595473,9.308941,9.072256,8.831381,8.850070,9.144553,9.372422"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.513161,3.668646,3.720281,3.837720,3.873457,3.789782,3.458715", \ "3.531147,3.696864,3.758144,3.853930,3.894913,3.591893,4.015514", \ "3.606623,3.720847,3.734384,3.808843,3.905892,3.969823,3.939779", \ "3.930993,4.020159,3.948424,3.980734,4.058118,4.076750,4.057980", \ "4.331774,4.550287,4.519649,4.428553,4.402405,4.248345,4.472634", \ "4.945589,5.264559,5.268046,5.171056,5.177824,4.709788,5.071767", \ "5.942984,6.060824,6.202324,6.200631,6.243290,6.064841,5.700384"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.552328,7.416472,7.342378,7.373073,7.585492,8.024094,8.327471", \ "7.457054,7.361391,7.290735,7.291986,7.527146,7.965238,8.267463", \ "7.425696,7.271248,7.221371,7.240560,7.456932,7.891308,8.195908", \ "7.417900,7.269309,7.208681,7.221870,7.434981,7.862527,8.169779", \ "7.590274,7.481890,7.382972,7.366071,7.549582,7.970537,8.262926", \ "8.202274,8.041197,7.938374,7.847239,7.992519,8.335888,8.605776", \ "9.030483,8.785442,8.651022,8.479301,8.582760,8.937698,9.194995"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.927999,4.142648,4.176322,4.322112,4.403677,4.468981,4.443217", \ "3.932270,4.126036,4.182537,4.270627,4.455494,4.339787,4.313754", \ "3.994712,4.166757,4.202317,4.251638,4.274947,4.324871,4.270932", \ "4.313815,4.395999,4.346700,4.388912,4.471195,4.384785,4.193028", \ "4.699618,4.872718,4.889214,4.774615,4.633591,4.840809,4.376877", \ "5.394568,5.636725,5.589408,5.505093,5.345605,5.363710,5.383376", \ "6.379516,6.505292,6.537827,6.514652,6.402286,6.170413,5.894078"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.261979,8.154893,8.084260,8.095097,8.345854,8.759470,9.061855", \ "8.183936,8.093923,8.031489,8.024257,8.275995,8.698231,8.997229", \ "8.143314,8.031073,7.961638,7.961885,8.204873,8.625720,8.923124", \ "8.114155,7.993384,7.931666,7.925652,8.159189,8.589581,8.889198", \ "8.233980,8.057907,7.977098,7.975484,8.178854,8.600652,8.902316", \ "8.599076,8.437927,8.318711,8.270218,8.434603,8.787418,9.064887", \ "9.167181,8.965824,8.811683,8.716515,8.845522,9.243706,9.517651"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.213903,4.449183,4.602630,4.747352,4.914965,4.970246,4.826797", \ "4.198593,4.469030,4.601385,4.728757,4.896288,4.961020,4.815111", \ "4.221128,4.427263,4.558706,4.629822,4.627935,4.826758,4.604944", \ "4.494526,4.654027,4.723883,4.759988,4.647714,4.957004,4.968662", \ "5.012254,5.201594,5.189095,5.157464,5.071404,5.076434,5.054972", \ "5.696192,5.939884,5.977589,5.812804,5.692896,5.666163,5.288564", \ "6.783815,6.904664,6.945216,6.916343,6.735950,6.671385,6.191712"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.982751,8.876252,8.812518,8.810051,9.046715,9.478065,9.776148", \ "8.908158,8.815808,8.753345,8.764647,8.982763,9.418386,9.721144", \ "8.859801,8.732293,8.673725,8.677349,8.942830,9.342688,9.648350", \ "8.807692,8.721709,8.644134,8.657125,8.878407,9.313582,9.612151", \ "8.856362,8.753392,8.675337,8.665319,8.879574,9.309174,9.601524", \ "9.124151,8.975402,8.903105,8.849650,9.013402,9.408076,9.694699", \ "9.464230,9.310458,9.191477,9.125823,9.294558,9.753237,10.047390"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.238351,4.607134,4.764048,4.986057,5.217245,5.114525,5.224463", \ "4.187646,4.516766,4.728281,4.993684,5.016068,5.241727,5.303885", \ "4.239422,4.526480,4.731203,4.932613,5.127779,4.930411,5.396208", \ "4.606828,4.789726,4.858976,5.018412,4.925318,5.267788,5.167838", \ "5.123155,5.354814,5.385490,5.351369,5.350235,5.223575,5.618514", \ "5.899664,6.192948,6.177718,6.101743,6.002762,5.983036,5.986172", \ "7.078917,7.275340,7.360521,7.198873,7.078863,6.856806,6.821081"); } } } } /****************************************************************************************** Module : OR4_X4 Cell Description : Combinational cell (OR4_X4) with drive strength X4 *******************************************************************************************/ cell (OR4_X4) { drive_strength : 4; area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 107.739253; leakage_power () { when : "!A1 & !A2 & !A3 & !A4"; value : 155.145100; } leakage_power () { when : "!A1 & !A2 & !A3 & A4"; value : 72.634980; } leakage_power () { when : "!A1 & !A2 & A3 & !A4"; value : 72.928350; } leakage_power () { when : "!A1 & !A2 & A3 & A4"; value : 99.513700; } leakage_power () { when : "!A1 & A2 & !A3 & !A4"; value : 73.392000; } leakage_power () { when : "!A1 & A2 & !A3 & A4"; value : 99.535260; } leakage_power () { when : "!A1 & A2 & A3 & !A4"; value : 99.674630; } leakage_power () { when : "!A1 & A2 & A3 & A4"; value : 128.781510; } leakage_power () { when : "A1 & !A2 & !A3 & !A4"; value : 78.091200; } leakage_power () { when : "A1 & !A2 & !A3 & A4"; value : 99.605660; } leakage_power () { when : "A1 & !A2 & A3 & !A4"; value : 99.749650; } leakage_power () { when : "A1 & !A2 & A3 & A4"; value : 128.831890; } leakage_power () { when : "A1 & A2 & !A3 & !A4"; value : 99.893970; } leakage_power () { when : "A1 & A2 & !A3 & A4"; value : 128.893710; } leakage_power () { when : "A1 & A2 & A3 & !A4"; value : 128.982150; } leakage_power () { when : "A1 & A2 & A3 & A4"; value : 158.174280; } pin (A1) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.348292; fall_capacitance : 2.565087; rise_capacitance : 3.348292; } pin (A2) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.375615; fall_capacitance : 2.913948; rise_capacitance : 3.375615; } pin (A3) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.504744; fall_capacitance : 3.184096; rise_capacitance : 3.504744; } pin (A4) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.612474; fall_capacitance : 3.509931; rise_capacitance : 3.612474; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 241.699000; function : "(((A1 | A2) | A3) | A4)"; timing () { related_pin : "A1"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0676151,0.0744880,0.0803048,0.0899470,0.105581,0.131037,0.173853", \ "0.0674234,0.0742913,0.0801119,0.0897565,0.105394,0.130851,0.173668", \ "0.0713812,0.0782233,0.0840338,0.0936706,0.109301,0.134752,0.177570", \ "0.0824234,0.0892560,0.0950454,0.104675,0.120311,0.145777,0.188600", \ "0.101440,0.108066,0.113754,0.123255,0.138844,0.164267,0.207066", \ "0.125160,0.131984,0.137783,0.147378,0.163061,0.188630,0.231523", \ "0.151435,0.158587,0.164690,0.174623,0.190493,0.216376,0.259800"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0156301,0.0208087,0.0256578,0.0349667,0.0532783,0.0896861,0.162346", \ "0.0172233,0.0223942,0.0272379,0.0365459,0.0548643,0.0912780,0.163940", \ "0.0224577,0.0275918,0.0323758,0.0416251,0.0599280,0.0963680,0.169058", \ "0.0271314,0.0327350,0.0375813,0.0467786,0.0649974,0.101378,0.174062", \ "0.0288783,0.0353069,0.0404700,0.0496939,0.0677549,0.104060,0.176669", \ "0.0270086,0.0342471,0.0401172,0.0497249,0.0676765,0.103770,0.176324", \ "0.0210525,0.0289739,0.0356123,0.0461725,0.0642553,0.100177,0.172581"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0140296,0.0164242,0.0188111,0.0232523,0.0314014,0.0464577,0.0754639", \ "0.0140287,0.0164236,0.0188097,0.0232508,0.0314009,0.0464571,0.0754661", \ "0.0140270,0.0164167,0.0188059,0.0232470,0.0313979,0.0464557,0.0754644", \ "0.0139761,0.0163706,0.0187665,0.0232197,0.0313809,0.0464456,0.0754584", \ "0.0138459,0.0162311,0.0186648,0.0231763,0.0313754,0.0464535,0.0754670", \ "0.0161809,0.0182360,0.0203658,0.0244886,0.0323535,0.0470946,0.0758056", \ "0.0186684,0.0206526,0.0226757,0.0264954,0.0338209,0.0483199,0.0770089"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00409924,0.00776956,0.0118270,0.0202756,0.0374861,0.0720304,0.141139", \ "0.00410008,0.00777179,0.0118280,0.0202711,0.0374852,0.0720432,0.141131", \ "0.00436231,0.00788226,0.0118779,0.0202825,0.0374898,0.0720429,0.141132", \ "0.00551822,0.00865317,0.0123284,0.0204855,0.0375378,0.0720467,0.141138", \ "0.00698926,0.0101736,0.0133519,0.0208733,0.0376989,0.0721387,0.141138", \ "0.00874452,0.0122875,0.0153093,0.0219116,0.0379929,0.0722709,0.141245", \ "0.0108619,0.0146532,0.0179658,0.0239631,0.0387340,0.0725768,0.141411"); } } timing () { related_pin : "A2"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0852977,0.0921616,0.0979744,0.107613,0.123245,0.148700,0.191517", \ "0.0856339,0.0924979,0.0983123,0.107954,0.123587,0.149044,0.191862", \ "0.0893873,0.0962425,0.102051,0.111688,0.127318,0.152773,0.195591", \ "0.0974490,0.104313,0.110115,0.119743,0.135369,0.160821,0.203642", \ "0.111541,0.118268,0.124036,0.133613,0.149214,0.174684,0.217532", \ "0.130917,0.137863,0.143789,0.153620,0.169582,0.195330,0.238355", \ "0.155325,0.162456,0.168566,0.178620,0.194951,0.221232,0.264943"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0172267,0.0224951,0.0273854,0.0367386,0.0550980,0.0915516,0.164258", \ "0.0187856,0.0240522,0.0289396,0.0382905,0.0566509,0.0931085,0.165820", \ "0.0242652,0.0294734,0.0343061,0.0436017,0.0619417,0.0984128,0.171143", \ "0.0299661,0.0355675,0.0404378,0.0496706,0.0679134,0.104330,0.177057", \ "0.0328371,0.0391980,0.0443326,0.0535563,0.0716473,0.107971,0.180632", \ "0.0322480,0.0393772,0.0451202,0.0546388,0.0725719,0.108683,0.181262", \ "0.0277718,0.0355555,0.0420197,0.0522872,0.0702544,0.106143,0.178514"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0140312,0.0164257,0.0188160,0.0232569,0.0314051,0.0464602,0.0754653", \ "0.0140330,0.0164274,0.0188159,0.0232573,0.0314057,0.0464620,0.0754660", \ "0.0140356,0.0164257,0.0188165,0.0232577,0.0314066,0.0464613,0.0754655", \ "0.0140354,0.0164284,0.0188191,0.0232638,0.0314126,0.0464661,0.0754674", \ "0.0142615,0.0166307,0.0190000,0.0234180,0.0315295,0.0465462,0.0755155", \ "0.0158410,0.0181143,0.0204207,0.0247426,0.0326439,0.0472774,0.0758970", \ "0.0174696,0.0196670,0.0219221,0.0261574,0.0339907,0.0486877,0.0771168"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00431208,0.00795676,0.0119799,0.0203811,0.0375614,0.0720819,0.141181", \ "0.00430904,0.00795582,0.0119791,0.0203788,0.0375549,0.0720868,0.141178", \ "0.00442595,0.00800751,0.0120051,0.0203838,0.0375582,0.0720823,0.141173", \ "0.00550494,0.00867786,0.0123883,0.0205502,0.0375999,0.0720885,0.141183", \ "0.00687897,0.0100392,0.0132755,0.0208799,0.0377352,0.0721714,0.141199", \ "0.00847965,0.0119560,0.0149698,0.0217180,0.0379483,0.0722907,0.141291", \ "0.0103757,0.0141067,0.0173277,0.0234019,0.0384750,0.0724674,0.141417"); } } timing () { related_pin : "A3"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0951068,0.101972,0.107786,0.117425,0.133058,0.158515,0.201330", \ "0.0955739,0.102439,0.108255,0.117896,0.133530,0.158986,0.201804", \ "0.0997727,0.106628,0.112438,0.122073,0.137705,0.163159,0.205978", \ "0.107389,0.114244,0.120052,0.129685,0.145312,0.170766,0.213586", \ "0.118026,0.124835,0.130622,0.140218,0.155841,0.181300,0.224128", \ "0.131162,0.138088,0.144023,0.153868,0.169809,0.195585,0.238609", \ "0.148890,0.155978,0.162074,0.172181,0.188512,0.214887,0.258680"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0177449,0.0231979,0.0282078,0.0377009,0.0561835,0.0927259,0.165494", \ "0.0193071,0.0247508,0.0297540,0.0392413,0.0577210,0.0942641,0.167035", \ "0.0250075,0.0303390,0.0352631,0.0446719,0.0631190,0.0996699,0.172460", \ "0.0314790,0.0371563,0.0420848,0.0513930,0.0697115,0.106197,0.178974", \ "0.0352873,0.0416855,0.0468584,0.0561491,0.0743093,0.110683,0.183390", \ "0.0358214,0.0429649,0.0487101,0.0582424,0.0762440,0.112413,0.185040", \ "0.0327455,0.0405168,0.0469479,0.0571716,0.0751562,0.111119,0.183547"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0140335,0.0164262,0.0188160,0.0232580,0.0314043,0.0464609,0.0754657", \ "0.0140327,0.0164276,0.0188152,0.0232578,0.0314051,0.0464597,0.0754648", \ "0.0140300,0.0164277,0.0188147,0.0232583,0.0314088,0.0464621,0.0754657", \ "0.0140324,0.0164282,0.0188187,0.0232628,0.0314113,0.0464641,0.0754709", \ "0.0141817,0.0165627,0.0189395,0.0233649,0.0314901,0.0465168,0.0754982", \ "0.0155648,0.0178830,0.0202190,0.0245841,0.0325386,0.0472189,0.0758684", \ "0.0169157,0.0192009,0.0215248,0.0258752,0.0338698,0.0486620,0.0771094"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00456991,0.00825184,0.0122736,0.0206327,0.0377419,0.0722081,0.141256", \ "0.00454959,0.00823683,0.0122626,0.0206298,0.0377426,0.0721990,0.141258", \ "0.00456855,0.00821042,0.0122298,0.0205983,0.0377254,0.0721964,0.141257", \ "0.00562409,0.00882646,0.0125495,0.0207061,0.0377382,0.0721987,0.141253", \ "0.00697733,0.0101392,0.0133935,0.0210200,0.0378631,0.0722824,0.141281", \ "0.00854011,0.0119866,0.0150034,0.0218001,0.0380626,0.0723841,0.141356", \ "0.0103696,0.0140611,0.0172451,0.0233585,0.0385534,0.0725656,0.141499"); } } timing () { related_pin : "A4"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0984919,0.105356,0.111169,0.120809,0.136442,0.161897,0.204713", \ "0.0994550,0.106315,0.112131,0.121772,0.137407,0.162863,0.205680", \ "0.103990,0.110846,0.116655,0.126290,0.141921,0.167378,0.210195", \ "0.111291,0.118146,0.123956,0.133591,0.149220,0.174670,0.217488", \ "0.120372,0.127206,0.133003,0.142620,0.158242,0.183691,0.226515", \ "0.130243,0.137199,0.143109,0.152905,0.168773,0.194449,0.237409", \ "0.142502,0.149543,0.155585,0.165608,0.181848,0.208124,0.251776"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0175816,0.0231627,0.0282884,0.0379615,0.0566560,0.0933744,0.166260", \ "0.0191479,0.0247105,0.0298209,0.0394785,0.0581637,0.0948831,0.167770", \ "0.0250746,0.0304949,0.0355004,0.0450463,0.0636658,0.100378,0.173280", \ "0.0320278,0.0377936,0.0427873,0.0521938,0.0706346,0.107252,0.180134", \ "0.0365024,0.0429829,0.0482210,0.0575814,0.0758478,0.112330,0.185132", \ "0.0379196,0.0451301,0.0509319,0.0605481,0.0786401,0.114906,0.187598", \ "0.0360106,0.0438381,0.0503153,0.0606134,0.0786701,0.114723,0.187227"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.0140307,0.0164262,0.0188159,0.0232570,0.0314043,0.0464583,0.0754648", \ "0.0140309,0.0164276,0.0188151,0.0232573,0.0314053,0.0464589,0.0754675", \ "0.0140326,0.0164276,0.0188158,0.0232581,0.0314072,0.0464598,0.0754670", \ "0.0140310,0.0164281,0.0188171,0.0232599,0.0314089,0.0464629,0.0754663", \ "0.0140996,0.0164909,0.0188769,0.0233145,0.0314511,0.0464926,0.0754863", \ "0.0151629,0.0175004,0.0198495,0.0242266,0.0322238,0.0470075,0.0757573", \ "0.0163251,0.0186503,0.0210040,0.0254011,0.0334667,0.0483309,0.0768375"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("0.00468781,0.00845670,0.0125447,0.0209670,0.0380665,0.0724366,0.141409", \ "0.00466025,0.00842841,0.0125179,0.0209458,0.0380484,0.0724368,0.141410", \ "0.00466560,0.00836935,0.0124417,0.0208681,0.0380040,0.0724157,0.141410", \ "0.00575442,0.00899297,0.0127361,0.0209186,0.0379597,0.0723956,0.141392", \ "0.00713237,0.0103259,0.0135896,0.0212241,0.0380702,0.0724401,0.141402", \ "0.00871857,0.0121748,0.0152022,0.0220036,0.0382631,0.0725608,0.141488", \ "0.0105780,0.0142520,0.0174376,0.0235568,0.0387571,0.0727492,0.141638"); } } internal_power () { related_pin : "A1"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("13.164060,12.956280,12.807400,12.866050,13.389920,14.177920,14.756560", \ "13.098280,12.803290,12.673090,12.699760,13.210000,14.013440,14.597480", \ "12.958170,12.738530,12.592930,12.653360,13.165510,13.967010,14.546060", \ "13.310640,13.089860,12.925750,12.972600,13.482170,14.299390,14.891960", \ "14.367810,14.045490,13.872960,13.826540,14.286310,15.053750,15.632860", \ "16.482640,15.944770,15.634710,15.437820,15.708100,16.337480,16.836930", \ "18.993610,18.367640,17.872150,17.420570,17.436620,18.050340,18.496050"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("6.666086,7.037568,7.225509,7.381699,7.435273,7.387397,6.531931", \ "6.686977,7.046726,7.240256,7.316509,7.559680,6.859180,7.647856", \ "6.921139,7.187894,7.257900,7.272470,7.522940,7.648430,7.514672", \ "7.526083,7.654626,7.619251,7.579312,7.741145,7.921796,7.810128", \ "8.308779,8.766612,8.779642,8.511775,8.243124,8.219702,7.352491", \ "9.715921,10.225520,10.278260,10.056150,10.067590,9.198714,8.919012", \ "11.732220,11.886750,12.196800,12.183530,11.892210,11.895920,11.400850"); } } internal_power () { related_pin : "A2"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("14.791630,14.545080,14.412510,14.466530,14.970310,15.775370,16.352980", \ "14.746490,14.461190,14.296430,14.356440,14.859190,15.653010,16.239760", \ "14.557590,14.288380,14.151100,14.199220,14.711730,15.513310,16.085220", \ "14.524130,14.269930,14.147950,14.164220,14.661980,15.480230,16.048280", \ "15.058390,14.709100,14.505540,14.486520,14.912370,15.669880,16.245280", \ "16.251400,15.845630,15.615430,15.447020,15.744450,16.423500,16.929880", \ "17.874370,17.377510,17.002330,16.708410,16.931220,17.611460,18.120320"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("7.526088,7.947934,8.133744,8.401631,8.309041,8.648713,8.522876", \ "7.515653,7.968293,8.139697,8.401831,8.510008,8.431981,8.264112", \ "7.697631,8.027227,8.159030,8.198146,8.474270,8.524068,8.015714", \ "8.205375,8.470009,8.469663,8.458271,8.725234,8.625724,8.108821", \ "9.123682,9.554029,9.422612,9.198357,9.281162,9.178986,9.062754", \ "10.483420,10.902100,10.913460,10.818980,10.232000,9.671847,9.502442", \ "12.479870,12.774760,12.784090,12.752030,12.473220,11.641980,11.384200"); } } internal_power () { related_pin : "A3"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("16.303090,16.045150,15.876080,15.941060,16.442170,17.258890,17.829610", \ "16.206700,15.909300,15.785990,15.807690,16.318450,17.125120,17.699930", \ "16.070090,15.769240,15.616500,15.668770,16.189900,16.980910,17.561890", \ "15.971330,15.716090,15.557230,15.598180,16.107150,16.930550,17.493880", \ "16.208600,15.886750,15.729150,15.707580,16.165760,16.942710,17.511610", \ "16.823810,16.597900,16.397630,16.282920,16.606770,17.325190,17.854110", \ "18.055180,17.636910,17.371410,17.145540,17.398640,18.236220,18.774890"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("8.043870,8.658434,8.896956,9.210793,9.190688,9.347060,9.838783", \ "8.013168,8.624620,8.859273,9.144952,9.504129,9.723258,8.955551", \ "8.073210,8.617141,8.774962,9.067605,9.049504,9.054375,9.737445", \ "8.669588,9.038126,9.143550,9.252491,9.428992,9.678123,9.630854", \ "9.618157,10.055160,10.018570,9.947393,9.979910,9.925407,9.943006", \ "11.109210,11.659630,11.637240,11.493650,11.298940,11.212760,10.392710", \ "13.300370,13.598080,13.618810,13.638150,13.274300,12.903790,12.269210"); } } internal_power () { related_pin : "A4"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("17.680330,17.467100,17.311560,17.369360,17.880390,18.707550,19.261630", \ "17.676850,17.359710,17.225620,17.249730,17.757500,18.571690,19.140350", \ "17.520220,17.214230,17.074810,17.122970,17.616320,18.425900,19.006370", \ "17.433170,17.170600,17.005100,17.047470,17.563960,18.375430,18.932890", \ "17.528510,17.199000,17.073870,17.060810,17.553090,18.339170,18.916700", \ "17.886110,17.635030,17.508770,17.453310,17.839050,18.577360,19.114210", \ "18.776760,18.340910,18.109000,18.004940,18.388640,19.276110,19.808620"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,7.553090,15.106200,30.212400,60.424700,120.849000,241.699000"); values ("8.088116,8.843594,9.360314,9.760556,9.831698,10.342920,9.912087", \ "7.995468,8.818061,9.132486,9.578359,9.693190,10.319050,10.426160", \ "8.155691,8.846804,9.037137,9.363779,9.646448,9.374683,10.430270", \ "8.891565,9.236735,9.420663,9.643397,9.565074,10.248930,10.284230", \ "9.989330,10.416800,10.500830,10.482410,10.368790,10.611860,10.638440", \ "11.587430,12.099490,12.137260,11.931720,11.900550,11.907490,11.766490", \ "13.891930,14.274660,14.417780,14.200080,13.894340,13.790360,13.463180"); } } } } /****************************************************************************************** Module : SDFFRS_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X1 *******************************************************************************************/ cell (SDFFRS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 7.714000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 122.721721; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 85.193460; } leakage_power () { when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 97.177883; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 95.719118; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 107.703541; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 89.261810; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 101.246233; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 110.614911; } leakage_power () { when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 124.637513; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 101.675970; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 111.467004; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 117.140815; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 112.201628; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 121.992552; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 127.666473; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 105.744430; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 115.535354; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 121.209165; } leakage_power () { when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 127.597921; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; value : 140.531776; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; value : 141.068917; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 110.742170; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 124.764772; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 116.130872; } leakage_power () { when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 130.153474; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 103.208193; } leakage_power () { when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 115.192616; } leakage_power () { when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 117.721439; } leakage_power () { when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; value : 131.744041; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 127.725290; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 140.659035; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 141.196176; } leakage_power () { when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 133.113882; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; value : 146.047737; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; value : 146.584878; } leakage_power () { when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 119.690703; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; value : 129.481627; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; value : 135.155548; } leakage_power () { when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; value : 134.704559; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & !Q & QN"; value : 147.638304; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & Q & !QN"; value : 148.175555; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 105.912983; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 93.223548; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 116.438421; } leakage_power () { when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 103.748986; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 109.981113; } leakage_power () { when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 97.291678; } leakage_power () { when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 113.137024; } leakage_power () { when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 105.575998; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 124.334023; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 106.993359; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 138.318389; } leakage_power () { when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 134.859351; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 117.518687; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 148.843717; } leakage_power () { when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 128.402043; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 111.061489; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 142.386409; } leakage_power () { when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 131.553004; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & !Q & QN"; value : 119.346139; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & Q & !QN"; value : 145.538910; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 113.264283; } leakage_power () { when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 105.703257; } leakage_power () { when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 118.653095; } leakage_power () { when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 111.092069; } leakage_power () { when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 123.926946; } leakage_power () { when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 111.237511; } leakage_power () { when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 120.243662; } leakage_power () { when : "CK & D & !RN & SE & SI & SN & !Q & QN"; value : 112.682636; } leakage_power () { when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 131.680263; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 119.473398; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 145.666169; } leakage_power () { when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 137.068965; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & !Q & QN"; value : 124.862210; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & Q & !QN"; value : 151.054981; } leakage_power () { when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 142.347986; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & !Q & QN"; value : 125.007322; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & Q & !QN"; value : 156.332352; } leakage_power () { when : "CK & D & RN & SE & SI & !SN & Q & !QN"; value : 138.659642; } leakage_power () { when : "CK & D & RN & SE & SI & SN & !Q & QN"; value : 126.452777; } leakage_power () { when : "CK & D & RN & SE & SI & SN & Q & !QN"; value : 152.645548; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.143733; fall_capacitance : 1.088672; rise_capacitance : 1.143733; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.020403,-0.007829,-0.002815", \ "-0.029828,-0.016389,-0.009392", \ "0.116790,0.130628,0.137346"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.010766,-0.002874,-0.003967", \ "-0.011406,-0.004759,-0.010078", \ "0.068040,0.073248,0.058976"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.071657,0.067116,0.082300", \ "0.089051,0.084260,0.099411", \ "0.130966,0.125763,0.140060"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.065172,0.051573,0.044963", \ "0.075509,0.061728,0.054852", \ "0.082209,0.068376,0.061681"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.269858,5.246761,5.215345,5.228697,5.381022,5.737567,6.310233"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.374360,4.336994,4.294047,4.307604,4.449898,4.796568,5.347406"); } } internal_power () { when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.265083,5.241884,5.215539,5.229076,5.378139,5.738002,6.310607"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.412077,4.374615,4.333401,4.345420,4.488079,4.833288,5.384995"); } } internal_power () { when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.269438,5.246076,5.214926,5.228243,5.380519,5.736996,6.309588"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.377836,4.340451,4.297363,4.311167,4.453383,4.800029,5.350989"); } } internal_power () { when : "!CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.264321,5.241280,5.215107,5.228437,5.377995,5.737250,6.309830"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.413395,4.377568,4.334364,4.348482,4.489838,4.837287,5.387656"); } } internal_power () { when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.718670,0.694491,0.682982,0.679574,0.677218,0.676569,0.675609"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.600334,-0.606048,-0.611551,-0.615224,-0.618304,-0.620055,-0.621795"); } } internal_power () { when : "!CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717360,0.693180,0.681674,0.678269,0.675922,0.675281,0.674334"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604103,-0.609815,-0.615316,-0.618987,-0.622066,-0.623808,-0.625540"); } } internal_power () { when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650130,0.657454,0.659707,0.659190,0.658326,0.657681,0.657979"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423159,-0.489105,-0.545917,-0.567378,-0.579658,-0.586862,-0.592506"); } } internal_power () { when : "!CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650208,0.657524,0.659784,0.659265,0.658415,0.657773,0.658081"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423545,-0.489487,-0.546289,-0.567745,-0.580043,-0.587218,-0.592854"); } } internal_power () { when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.078557,7.056473,7.025497,7.039286,7.188051,7.552055,8.132554"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.323561,5.286016,5.245690,5.256181,5.401489,5.742758,6.299676"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.193308,7.170054,7.140052,7.153413,7.302209,7.661239,8.239853"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.563002,5.523108,5.482312,5.497152,5.635276,5.976868,6.533662"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.157457,7.134032,7.104054,7.117277,7.266083,7.628839,8.209744"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.341411,5.303942,5.262787,5.274228,5.419005,5.760856,6.317610"); } } internal_power () { when : "!CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.078300,7.056049,7.025070,7.038832,7.187549,7.549826,8.131686"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.327038,5.289475,5.249160,5.259728,5.404976,5.746222,6.302829"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.192850,7.169899,7.139629,7.153261,7.301688,7.658519,8.239215"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.563342,5.526441,5.485796,5.494978,5.638383,5.980588,6.537188"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.156605,7.133605,7.103628,7.117186,7.265585,7.628262,8.209102"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.343895,5.307399,5.266251,5.279679,5.422494,5.764382,6.321032"); } } internal_power () { when : "!CK & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717346,0.693161,0.681660,0.678252,0.675915,0.675273,0.674327"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603702,-0.609411,-0.614906,-0.618580,-0.621686,-0.623409,-0.625148"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716866,0.692681,0.681181,0.677775,0.674961,0.674802,0.673263"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605251,-0.610961,-0.616455,-0.620128,-0.622751,-0.624952,-0.626687"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717257,0.693065,0.681573,0.678164,0.675336,0.675209,0.673637"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604656,-0.610359,-0.615841,-0.619512,-0.622132,-0.624327,-0.626054"); } } internal_power () { when : "!CK & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650172,0.657496,0.659749,0.659233,0.658369,0.657725,0.658025"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423478,-0.489426,-0.546237,-0.567694,-0.579968,-0.587169,-0.592806"); } } internal_power () { when : "!CK & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650162,0.657482,0.659739,0.659222,0.657897,0.657725,0.657442"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423774,-0.489719,-0.546523,-0.567978,-0.579787,-0.587447,-0.593079"); } } internal_power () { when : "!CK & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650023,0.657345,0.659602,0.659085,0.657760,0.657590,0.657307"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424129,-0.490073,-0.546877,-0.568333,-0.580142,-0.587802,-0.593434"); } } internal_power () { when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.990051,2.965911,2.936971,2.955239,3.108566,3.465823,4.037893"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.562179,1.525932,1.487790,1.504270,1.651588,1.991021,2.543532"); } } internal_power () { when : "CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.055591,3.031583,3.002155,3.018946,3.170033,3.524202,4.091800"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.527236,1.490458,1.452151,1.470086,1.619917,1.961867,2.516222"); } } internal_power () { when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.987528,2.963799,2.934665,2.953250,3.106314,3.463355,4.035500"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.562210,1.525067,1.487789,1.504322,1.650733,1.991024,2.543353"); } } internal_power () { when : "CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.053112,3.030501,3.000513,3.017392,3.168141,3.522386,4.089729"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.529619,1.492819,1.454507,1.472950,1.622109,1.963940,2.518094"); } } internal_power () { when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717048,0.690107,0.681031,0.677612,0.675304,0.674665,0.673436"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605764,-0.611466,-0.616965,-0.620667,-0.623882,-0.625590,-0.628027"); } } internal_power () { when : "CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716875,0.689961,0.680859,0.677450,0.675080,0.674440,0.673254"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606200,-0.611927,-0.617467,-0.621168,-0.624265,-0.626092,-0.628381"); } } internal_power () { when : "CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649989,0.657333,0.659561,0.659046,0.658242,0.657521,0.657569"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424201,-0.490204,-0.547051,-0.568481,-0.580741,-0.588290,-0.594105"); } } internal_power () { when : "CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650099,0.657462,0.659671,0.659165,0.658313,0.657592,0.657673"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424135,-0.490158,-0.547042,-0.568466,-0.580634,-0.588271,-0.593971"); } } internal_power () { when : "CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.988905,2.966059,2.937504,2.955298,3.108661,3.465780,4.037847"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561610,1.524993,1.486426,1.503242,1.650588,1.990950,2.543464"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.053712,3.029460,3.000055,3.016721,3.167674,3.522445,4.089823"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.586520,1.549853,1.511106,1.528924,1.678536,2.020994,2.575125"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.987379,2.964008,2.935063,2.953242,3.106788,3.465422,4.036549"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.631710,1.594802,1.555941,1.572338,1.718606,2.059420,2.612358"); } } internal_power () { when : "CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.986571,2.963746,2.935199,2.952964,3.106268,3.463312,4.035832"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561649,1.525001,1.486425,1.503226,1.650645,1.990953,2.543283"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.248538; fall_capacitance : 2.127788; rise_capacitance : 2.248538; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.070822,-0.082544,-0.090300", \ "-0.067241,-0.080546,-0.088288", \ "-0.034194,-0.051964,-0.063105"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.182549,0.195803,0.203845", \ "0.237590,0.250587,0.258415", \ "0.429882,0.442846,0.450382"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.128456,0.157732,0.271957"); } } internal_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.260526,1.237047,1.226819,1.222117,1.218573,1.216930,1.213358"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.101210,-1.102000,-1.104780,-1.110120,-1.118430,-1.130040,-1.145370"); } } internal_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.260426,1.236945,1.226703,1.222024,1.218918,1.216730,1.213793"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.972358,-0.976536,-0.979892,-0.980637,-0.983777,-0.982944,-0.983722"); } } internal_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.260404,1.236928,1.226680,1.222006,1.218884,1.216695,1.213750"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.972405,-0.976588,-0.979955,-0.980702,-0.983809,-0.983009,-0.983787"); } } internal_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.647223,2.580970,2.583082,2.747437,3.079384,3.624783,4.410512"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.026320,-0.001335,-0.009820,0.101479,0.399057,0.929302,1.702262"); } } internal_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.647264,2.580994,2.582533,2.747469,3.079449,3.625448,4.410592"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.026402,-0.001239,-0.009701,0.101598,0.399115,0.929423,1.702382"); } } internal_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.647256,2.580990,2.583114,2.747464,3.079439,3.624839,4.410580"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.026389,-0.001253,-0.009719,0.101579,0.399106,0.929405,1.702364"); } } internal_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.260417,1.236935,1.226693,1.222016,1.218906,1.216718,1.213778"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.972375,-0.976553,-0.979912,-0.980659,-0.983788,-0.982966,-0.983744"); } } internal_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.647214,2.580965,2.583074,2.747431,3.079371,3.624770,4.410495"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.026303,-0.001356,-0.009845,0.101454,0.399045,0.929277,1.702237"); } } internal_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.236759,1.224278,1.219371,1.216209,1.213813,1.211937,1.209706"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.965705,-0.967276,-0.969419,-0.974300,-0.974003,-0.972600,-0.973972"); } } internal_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.236404,1.223657,1.219037,1.215865,1.213335,1.211577,1.209353"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.957026,-0.960189,-0.963119,-0.963953,-0.965211,-0.963999,-0.965285"); } } internal_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.235863,1.223405,1.218488,1.215327,1.212834,1.211029,1.208817"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.920030,-0.920661,-0.923004,-0.927522,-0.934324,-0.944037,-0.956824"); } } internal_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.235060,1.222596,1.217675,1.214520,1.212101,1.210228,1.208026"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.840919,-0.841579,-0.843399,-0.847659,-0.853961,-0.862617,-0.874471"); } } internal_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.235603,1.223144,1.218231,1.215060,1.212579,1.210774,1.208549"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.975626,-0.979018,-0.981934,-0.982719,-0.984197,-0.982971,-0.984216"); } } internal_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.235650,1.223174,1.218264,1.215104,1.212712,1.210836,1.208608"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.968342,-0.969708,-0.971859,-0.976754,-0.976643,-0.975240,-0.976612"); } } internal_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.236235,1.223750,1.218846,1.215690,1.213301,1.211426,1.209196"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.966806,-0.971575,-0.976747,-0.976050,-0.975111,-0.973708,-0.975088"); } } internal_power () { when : "CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.238843,1.223385,1.218145,1.214884,1.212402,1.210556,1.208354"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.815157,-0.816016,-0.818074,-0.821966,-0.828101,-0.836516,-0.848060"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.143806; fall_capacitance : 1.917458; rise_capacitance : 2.143806; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.016083,-0.008061,-0.010167", \ "-0.031064,-0.025451,-0.026981", \ "0.112237,0.117171,0.102478"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.014679,-0.002478,-0.001128", \ "-0.019289,-0.005811,-0.008653", \ "0.070983,0.085052,0.071490"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.074505,0.060781,0.072195", \ "0.093472,0.079341,0.089929", \ "0.128022,0.113958,0.127545"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.080421,0.075370,0.090208", \ "0.086679,0.081794,0.096566", \ "0.086763,0.081835,0.096553"); } } internal_power () { when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.244828,2.207278,2.265600,2.474875,2.866240,3.488640,4.374156"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.059507,-0.094710,-0.086290,0.089353,0.494172,1.145393,2.055655"); } } internal_power () { when : "!CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.243779,2.206212,2.264539,2.473853,2.864754,3.487607,4.372584"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.062994,-0.098196,-0.089774,0.087217,0.491143,1.141746,2.052206"); } } internal_power () { when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.950041,5.874960,5.941492,6.297528,7.006312,8.149621,9.772058"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.764835,3.718529,3.708972,3.976082,4.658254,5.825962,7.484485"); } } internal_power () { when : "!CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.946384,5.871340,5.937855,6.294009,7.006503,8.145163,9.772188"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.801991,3.756066,3.747073,4.012812,4.694762,5.859148,7.520956"); } } internal_power () { when : "!CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.243501,2.205944,2.264278,2.473556,2.864938,3.487343,4.372875"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.062894,-0.098081,-0.089645,0.085994,0.490789,1.142039,2.052301"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.243083,2.205463,2.263798,2.473112,2.864002,3.486878,4.371836"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.064441,-0.099631,-0.091197,0.085788,0.489710,1.140313,2.050763"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.243424,2.205847,2.264190,2.473504,2.864377,3.487286,4.372210"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063849,-0.099032,-0.090584,0.086403,0.490330,1.140938,2.051394"); } } internal_power () { when : "!CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.759927,7.684871,7.750887,8.108321,8.821492,9.965252,11.599690"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.713846,4.668951,4.659459,4.926144,5.608165,6.772960,8.435891"); } } internal_power () { when : "!CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.874196,7.799054,7.864104,8.221255,8.933962,10.078650,11.706530"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.948573,4.903815,4.898143,5.165447,5.847032,7.007367,8.670439"); } } internal_power () { when : "!CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.837761,7.763191,7.829151,8.186839,8.898805,10.043170,11.676240"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.732152,4.686548,4.677604,4.944369,5.626793,6.791402,8.453349"); } } internal_power () { when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.504055,6.471758,6.576441,6.865089,7.356246,8.088316,9.074296"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.547513,4.514028,4.454648,4.578270,4.961711,5.623358,6.568653"); } } internal_power () { when : "!CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.504002,6.471314,6.576255,6.864688,7.356260,8.088156,9.074546"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.475219,4.441517,4.382513,4.506069,4.887220,5.551013,6.496700"); } } internal_power () { when : "!CK & D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.086196,2.051859,2.115351,2.330311,2.725295,3.352609,4.238946"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034511,0.004906,0.015061,0.181925,0.578066,1.225769,2.136503"); } } internal_power () { when : "!CK & D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.085487,2.051978,2.115471,2.330440,2.725877,3.352753,4.239664"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034065,0.004616,0.014792,0.181662,0.577319,1.225504,2.136250"); } } internal_power () { when : "!CK & D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.452490,7.422225,7.526221,7.814899,8.306937,9.039510,10.028200"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.351425,6.319997,6.261552,6.381497,6.763885,7.418328,8.373577"); } } internal_power () { when : "!CK & D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.412476,7.380508,7.484563,7.773555,8.264991,9.001688,9.986356"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.405224,6.368805,6.311852,6.433115,6.816005,7.477790,8.425880"); } } internal_power () { when : "!CK & D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.465589,7.433876,7.538019,7.826396,8.318243,9.056348,10.040490"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.445215,6.411604,6.352565,6.477768,6.859418,7.512338,8.467793"); } } internal_power () { when : "!CK & D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.085305,2.051846,2.115436,2.330397,2.725844,3.352706,4.239610"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034011,0.004733,0.014811,0.181697,0.577382,1.225553,2.136298"); } } internal_power () { when : "!CK & D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.086230,2.051923,2.115421,2.330385,2.725372,3.352704,4.239031"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034044,0.004385,0.014545,0.181416,0.577557,1.225273,2.136023"); } } internal_power () { when : "!CK & D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.085185,2.051693,2.115289,2.330249,2.725238,3.352571,4.238896"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.033365,0.004086,0.014169,0.181057,0.577201,1.224920,2.135670"); } } internal_power () { when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.243248,2.206099,2.264156,2.473513,2.864690,3.487157,4.372395"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.050631,-0.084386,-0.077094,0.098834,0.503985,1.154960,2.064142"); } } internal_power () { when : "CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.244230,2.207278,2.265331,2.474716,2.865994,3.488300,4.373570"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047960,-0.083693,-0.074472,0.101528,0.506060,1.157549,2.066912"); } } internal_power () { when : "CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.662687,3.588557,3.659884,4.024319,4.737489,5.872868,7.491572"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.913686,0.866042,0.866076,1.139293,1.823473,2.986996,4.641802"); } } internal_power () { when : "CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.732132,3.656397,3.727399,4.087122,4.797351,5.930139,7.546345"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.880791,0.835259,0.833807,1.110525,1.798314,2.962272,4.618650"); } } internal_power () { when : "CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.243189,2.206040,2.264100,2.473455,2.864638,3.487105,4.372342"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.050809,-0.084564,-0.077269,0.098659,0.503801,1.154784,2.063957"); } } internal_power () { when : "CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.242241,2.205141,2.263492,2.472827,2.864195,3.486584,4.371833"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.066967,-0.100524,-0.091896,0.083787,0.488573,1.139705,2.049518"); } } internal_power () { when : "CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.242978,2.205237,2.263618,2.472946,2.864375,3.486769,4.371975"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.065564,-0.100670,-0.091991,0.083698,0.488351,1.139620,2.049283"); } } internal_power () { when : "CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.662595,3.588854,3.659770,4.024277,4.737444,5.872729,7.491531"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.912084,0.864933,0.864465,1.139296,1.826333,2.986045,4.641721"); } } internal_power () { when : "CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.730541,3.655941,3.726344,4.086006,4.796443,5.929732,7.545778"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.975836,0.930192,0.928037,1.203651,1.889166,3.049146,4.698491"); } } internal_power () { when : "CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.662210,3.588076,3.659390,4.023042,4.737042,5.872334,7.491388"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.019941,0.973220,0.971516,1.243564,1.929046,3.084844,4.733514"); } } internal_power () { when : "CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.708047,3.675980,3.778903,4.068220,4.559336,5.286941,6.270228"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.081308,2.059097,2.044765,2.199053,2.600024,3.264265,4.209485"); } } internal_power () { when : "CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.672730,3.640415,3.743378,4.033169,4.524625,5.253561,6.237110"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.141206,2.119168,2.104914,2.260674,2.658879,3.327751,4.272157"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.860252; fall_capacitance : 0.815860; rise_capacitance : 0.860252; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.024081,-0.010996,-0.005865", \ "-0.030027,-0.016646,-0.010770", \ "0.109825,0.123764,0.130790"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.011050,-0.003253,-0.005100", \ "-0.011142,-0.004577,-0.010571", \ "0.056791,0.062542,0.048547"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.079573,0.074735,0.089769", \ "0.097182,0.092008,0.106996", \ "0.142216,0.136470,0.150490"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.067434,0.053478,0.047160", \ "0.079237,0.065250,0.058645", \ "0.089175,0.075241,0.068238"); } } internal_power () { when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.544068,0.527518,0.520488,0.516808,0.515139,0.513867,0.512869"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.461964,-0.465866,-0.468177,-0.469325,-0.470709,-0.471225,-0.471828"); } } internal_power () { when : "!CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543009,0.526456,0.519430,0.515751,0.513621,0.512829,0.511256"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465449,-0.469351,-0.471656,-0.472802,-0.473711,-0.474686,-0.475276"); } } internal_power () { when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.227292,5.213203,5.190839,5.197226,5.295504,5.551304,5.962489"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.907162,3.880748,3.852145,3.858659,3.950248,4.189172,4.584875"); } } internal_power () { when : "!CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.227460,5.213322,5.190989,5.197387,5.296517,5.549038,5.962713"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.942566,3.917976,3.889008,3.895341,3.988568,4.225859,4.620994"); } } internal_power () { when : "!CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542746,0.526188,0.519168,0.515486,0.513837,0.512571,0.511588"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465336,-0.469231,-0.471533,-0.472681,-0.474091,-0.474580,-0.475181"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542264,0.525707,0.518687,0.515009,0.512869,0.512101,0.510507"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466882,-0.470780,-0.473080,-0.474229,-0.475142,-0.476123,-0.476719"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542654,0.526090,0.519079,0.515399,0.513244,0.512507,0.510882"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466288,-0.470178,-0.472465,-0.473613,-0.474522,-0.475498,-0.476087"); } } internal_power () { when : "!CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.044653,7.030749,7.010678,7.017010,7.115475,7.369915,7.795546"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.856891,4.829716,4.802476,4.807663,4.902227,5.139820,5.536199"); } } internal_power () { when : "!CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.154676,7.140922,7.116244,7.122937,7.222392,7.478319,7.905134"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.095632,5.064913,5.037450,5.046729,5.137003,5.378778,5.770242"); } } internal_power () { when : "!CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.122344,7.108578,7.086216,7.092850,7.192485,7.448585,7.872682"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.875279,4.848018,4.820594,4.825904,4.925591,5.162523,5.554260"); } } internal_power () { when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480778,0.488815,0.490621,0.490226,0.490080,0.490168,0.490123"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321575,-0.370331,-0.410262,-0.425786,-0.434736,-0.439843,-0.443891"); } } internal_power () { when : "!CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480857,0.488889,0.490694,0.490301,0.490168,0.490260,0.490224"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321961,-0.370714,-0.410635,-0.426155,-0.435122,-0.440201,-0.444239"); } } internal_power () { when : "!CK & D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.226518,5.212515,5.190109,5.197769,5.294873,5.550418,5.960887"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.947340,3.921425,3.893236,3.898308,3.992834,4.230345,4.624550"); } } internal_power () { when : "!CK & D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.226730,5.212692,5.190324,5.196720,5.295603,5.548209,5.961789"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.946208,3.921545,3.892486,3.898777,3.992068,4.229447,4.625048"); } } internal_power () { when : "!CK & D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480824,0.488861,0.490664,0.490269,0.490122,0.490212,0.490169"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321893,-0.370652,-0.410583,-0.426102,-0.435046,-0.440150,-0.444190"); } } internal_power () { when : "!CK & D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480806,0.488844,0.490652,0.490256,0.489581,0.490211,0.489500"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322189,-0.370946,-0.410868,-0.426387,-0.434798,-0.440429,-0.444465"); } } internal_power () { when : "!CK & D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480668,0.488703,0.490519,0.490121,0.489445,0.490077,0.489366"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322542,-0.371298,-0.411222,-0.426741,-0.435152,-0.440782,-0.444818"); } } internal_power () { when : "!CK & D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.043994,7.030137,7.009964,7.016323,7.114726,7.369082,7.794606"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.860184,4.833284,4.806053,4.811142,4.905725,5.143453,5.539395"); } } internal_power () { when : "!CK & D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.154020,7.140341,7.115328,7.122269,7.221662,7.477367,7.904233"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.095603,5.073384,5.045667,5.049783,5.140893,5.382336,5.773886"); } } internal_power () { when : "!CK & D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.121678,7.107987,7.085537,7.092187,7.191749,7.447196,7.871758"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.878251,4.851583,4.824094,4.829395,4.929090,5.160907,5.557741"); } } internal_power () { when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542128,0.525483,0.518541,0.514900,0.513241,0.511996,0.510695"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467144,-0.470958,-0.473240,-0.474573,-0.476015,-0.476292,-0.477727"); } } internal_power () { when : "CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543322,0.526710,0.519738,0.516105,0.514383,0.513133,0.511869"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.464450,-0.468301,-0.470623,-0.471953,-0.473279,-0.473665,-0.474954"); } } internal_power () { when : "CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.953982,2.940031,2.919056,2.928475,3.030931,3.278770,3.685906"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.168516,1.141516,1.115834,1.123576,1.219655,1.456176,1.851080"); } } internal_power () { when : "CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.015149,3.001427,2.979877,2.988839,3.089609,3.334396,3.741051"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122619,1.096136,1.070758,1.081419,1.180253,1.418034,1.814417"); } } internal_power () { when : "CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542071,0.525425,0.518485,0.514843,0.513188,0.511945,0.510643"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467323,-0.471138,-0.473417,-0.474749,-0.476198,-0.476468,-0.477913"); } } internal_power () { when : "CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.541975,0.525421,0.518397,0.514730,0.513051,0.511789,0.510549"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467513,-0.471400,-0.473724,-0.474883,-0.476231,-0.476786,-0.477922"); } } internal_power () { when : "CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542098,0.525514,0.518520,0.514842,0.513232,0.511975,0.510693"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467678,-0.471540,-0.473816,-0.474973,-0.476445,-0.476867,-0.478154"); } } internal_power () { when : "CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.954239,2.940144,2.918728,2.929016,3.031001,3.278839,3.686228"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.166956,1.140172,1.113393,1.122655,1.219825,1.456350,1.851363"); } } internal_power () { when : "CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.012143,2.998401,2.976863,2.985822,3.086332,3.331384,3.738055"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122961,1.096491,1.071120,1.081788,1.180613,1.418454,1.814783"); } } internal_power () { when : "CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.950895,2.936937,2.915956,2.925725,3.027864,3.275694,3.682838"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.168442,1.141394,1.115708,1.123477,1.219535,1.456080,1.850946"); } } internal_power () { when : "CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482858,0.489863,0.491718,0.491323,0.491148,0.491236,0.490878"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.319368,-0.368013,-0.408019,-0.423382,-0.432311,-0.437266,-0.441878"); } } internal_power () { when : "CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482027,0.488976,0.490887,0.490505,0.490284,0.490373,0.490053"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321450,-0.370236,-0.410156,-0.425518,-0.434352,-0.439401,-0.443897"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.523381; fall_capacitance : 1.477281; rise_capacitance : 1.523381; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.043042,-0.057682,-0.064963", \ "-0.031943,-0.046599,-0.054326", \ "0.033371,0.012816,0.001602"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.139201,0.150069,0.158802", \ "0.149499,0.160166,0.168792", \ "0.216242,0.226493,0.234481"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.160810,0.190298,0.305898"); } } internal_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688504,0.668408,0.660530,0.657414,0.655994,0.654891,0.653800"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.502758,-0.509377,-0.520585,-0.528769,-0.533438,-0.535199,-0.536705"); } } internal_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688512,0.668414,0.660539,0.657422,0.656011,0.654908,0.653821"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.502735,-0.509351,-0.520553,-0.528737,-0.533423,-0.535166,-0.536672"); } } internal_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688493,0.668398,0.660518,0.657404,0.655977,0.654873,0.653778"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.502780,-0.509403,-0.520616,-0.528801,-0.533453,-0.535230,-0.536737"); } } internal_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.680069,0.663490,0.657095,0.653744,0.652200,0.650736,0.649421"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610544,-0.612240,-0.614451,-0.615486,-0.616694,-0.616115,-0.616646"); } } internal_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.680107,0.663512,0.657132,0.653775,0.652264,0.650801,0.649502"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610457,-0.612138,-0.614328,-0.615362,-0.616636,-0.615992,-0.616522"); } } internal_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.680099,0.663508,0.657126,0.653770,0.652254,0.650791,0.649490"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610470,-0.612154,-0.614347,-0.615381,-0.616645,-0.616010,-0.616541"); } } internal_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688504,0.668405,0.660531,0.657415,0.655999,0.654896,0.653806"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.502749,-0.509368,-0.520573,-0.528758,-0.533432,-0.535187,-0.536694"); } } internal_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.680061,0.663485,0.657088,0.653738,0.652187,0.650722,0.649405"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610562,-0.612261,-0.614477,-0.615511,-0.616706,-0.616140,-0.616671"); } } internal_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706539,0.677984,0.665259,0.660347,0.658354,0.656509,0.653617"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.607072,-0.608480,-0.613272,-0.615077,-0.615178,-0.615621,-0.617419"); } } internal_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706012,0.677453,0.664733,0.659820,0.657836,0.655993,0.653097"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608254,-0.609659,-0.614447,-0.616253,-0.616370,-0.616800,-0.618619"); } } internal_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706709,0.678327,0.665452,0.660542,0.658533,0.656707,0.653817"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605895,-0.609711,-0.612666,-0.614429,-0.614298,-0.614821,-0.616691"); } } internal_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.705904,0.677361,0.664558,0.659705,0.657673,0.655826,0.652965"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608673,-0.610093,-0.614943,-0.616711,-0.616731,-0.617262,-0.618960"); } } internal_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706533,0.678099,0.665297,0.660426,0.658416,0.656552,0.653653"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606846,-0.608625,-0.613442,-0.615246,-0.615066,-0.615574,-0.617511"); } } internal_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706494,0.677940,0.665147,0.660289,0.658282,0.656433,0.653548"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.607051,-0.608459,-0.613290,-0.615058,-0.615135,-0.615610,-0.617381"); } } internal_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706013,0.677456,0.664734,0.659822,0.657833,0.655990,0.653098"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608205,-0.609613,-0.614404,-0.616211,-0.616317,-0.616759,-0.618565"); } } internal_power () { when : "CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706488,0.678073,0.665253,0.660389,0.658339,0.656473,0.653602"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606952,-0.608748,-0.613591,-0.615395,-0.615137,-0.615723,-0.617563"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.954596; fall_capacitance : 0.863676; rise_capacitance : 0.954596; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.065884,0.076622,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.040244,0.045592,0.198733"); } } internal_power () { when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.931695,6.905438,6.918040,7.097787,7.468247,8.059880,8.924066"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.095514,8.075358,8.049643,8.152839,8.472267,9.075999,9.989612"); } } internal_power () { when : "!D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.850398,3.826138,3.839724,4.012011,4.373062,4.949355,5.786647"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.136726,4.118216,4.110193,4.227851,4.554669,5.156424,6.047242"); } } internal_power () { when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.931703,6.905443,6.918048,7.097795,7.468263,8.059902,8.924085"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.095542,8.075386,8.049677,8.152874,8.472281,9.076032,9.989646"); } } internal_power () { when : "!D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.854564,3.829208,3.842770,4.015054,4.378361,4.952629,5.790646"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.826485,3.808977,3.799849,3.917046,4.244954,4.844337,5.738966"); } } internal_power () { when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.931705,6.905398,6.917995,7.097742,7.468207,8.059855,8.924030"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.096023,8.075340,8.049612,8.152808,8.472245,9.075958,9.989577"); } } internal_power () { when : "!D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.854426,3.829073,3.842628,4.016642,4.378213,4.952578,5.790594"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.826514,3.809003,3.799856,3.917057,4.244990,4.844286,5.738929"); } } internal_power () { when : "!D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.442581,5.415127,5.430228,5.604779,5.967658,6.548877,7.399014"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.820980,4.801393,4.794242,4.920735,5.269633,5.893463,6.816143"); } } internal_power () { when : "!D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.374194,6.348339,6.364468,6.549278,6.925393,7.518270,8.375930"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.883370,15.864260,15.860160,15.992240,16.343490,16.974070,17.906730"); } } internal_power () { when : "!D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.248576,7.222691,7.235594,7.417983,7.795740,8.400623,9.278731"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.063710,11.043130,11.004940,11.101910,11.429630,12.053860,13.001520"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.856324,3.829714,3.843165,4.016286,4.376862,4.952367,5.790659"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.835056,3.817756,3.808517,3.925577,4.253385,4.852651,5.746789"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.370153,7.338002,7.350387,7.533497,7.910705,8.516924,9.393067"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.248578,7.222692,7.235598,7.417979,7.795750,8.400640,9.278748"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.063740,11.043160,11.004970,11.101940,11.429650,12.053890,13.001550"); } } internal_power () { when : "!D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.856330,3.829715,3.843176,4.016294,4.376862,4.952383,5.790658"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.835080,3.817782,3.808549,3.925611,4.253424,4.852682,5.746822"); } } internal_power () { when : "!D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.370167,7.338020,7.350410,7.533521,7.910712,8.516959,9.393079"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.248342,7.222458,7.235363,7.417752,7.795524,8.400537,9.278682"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.063690,11.043110,11.004900,11.101880,11.429610,12.053820,13.001480"); } } internal_power () { when : "!D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.856202,3.829599,3.843054,4.016201,4.376760,4.952331,5.790649"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.835120,3.817802,3.808551,3.925607,4.253424,4.852631,5.746788"); } } internal_power () { when : "!D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.369940,7.337764,7.350165,7.533283,7.910506,8.516836,9.393030"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.980640,3.954191,3.969963,4.146716,4.508987,5.090885,5.934240"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.702988,3.683024,3.671611,3.789506,4.126274,4.730564,5.631241"); } } internal_power () { when : "!D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.569288,7.541617,7.553981,7.735669,8.112095,8.701629,9.553130"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.981056,3.953960,3.968972,4.145795,4.507809,5.091165,5.933892"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.707071,3.694048,3.676510,3.794754,4.129735,4.735710,5.634173"); } } internal_power () { when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.442912,5.415145,5.430264,5.604808,5.967720,6.548943,7.399093"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.821076,4.801496,4.794371,4.920866,5.269697,5.893587,6.816264"); } } internal_power () { when : "D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.374252,6.348363,6.364533,6.549310,6.925419,7.518297,8.376012"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.884810,15.864340,15.860280,15.991530,16.343490,16.974160,17.906830"); } } internal_power () { when : "D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.441014,5.414182,5.429878,5.603613,5.966858,6.548334,7.397120"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.850144,4.831659,4.823457,4.955313,5.302695,5.925704,6.848903"); } } internal_power () { when : "D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.374242,6.348344,6.364527,6.549301,6.925409,7.518286,8.376000"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.884470,15.864460,15.860260,15.991520,16.343490,16.974140,17.906820"); } } internal_power () { when : "D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.929426,6.903202,6.915807,7.095559,7.465505,8.058350,8.921785"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.187394,8.167394,8.140526,8.243943,8.567224,9.172506,10.083610"); } } internal_power () { when : "D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.854417,3.829067,3.842617,4.016640,4.378235,4.952601,5.790622"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.826550,3.809033,3.799901,3.917106,4.245004,4.844333,5.738976"); } } internal_power () { when : "D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.442573,5.415121,5.430219,5.604772,5.967645,6.548863,7.398997"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.820963,4.801372,4.794217,4.920709,5.269621,5.893438,6.816117"); } } internal_power () { when : "D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.374186,6.348334,6.364460,6.549271,6.925381,7.518341,8.375914"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.883360,15.864240,15.860140,15.992210,16.343480,16.974050,17.906700"); } } internal_power () { when : "D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.980675,3.954205,3.969995,4.146743,4.509050,5.090949,5.934323"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.703095,3.683165,3.671737,3.789632,4.126328,4.730683,5.631363"); } } internal_power () { when : "D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.561076,7.529879,7.546667,7.730798,8.099579,8.694398,9.547716"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.981087,3.954063,3.969002,4.145821,4.507805,5.090977,5.933887"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.707130,3.694149,3.676639,3.794880,4.129857,4.737416,5.634296"); } } internal_power () { when : "D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.980673,3.954200,3.969989,4.146738,4.509037,5.090939,5.934311"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.703081,3.683150,3.671718,3.789613,4.126319,4.730665,5.631344"); } } internal_power () { when : "D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.561062,7.529864,7.546649,7.730779,8.099570,8.694377,9.547712"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.981076,3.954059,3.968997,4.145816,4.507804,5.090967,5.933887"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.707117,3.694134,3.676620,3.794861,4.129836,4.737397,5.634277"); } } internal_power () { when : "D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.248362,7.222476,7.235384,7.417773,7.795529,8.400565,9.278707"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.063720,11.043150,11.004940,11.101920,11.429630,12.053860,13.001530"); } } internal_power () { when : "D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.856204,3.829592,3.843043,4.016198,4.376760,4.952354,5.790649"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.835150,3.817834,3.808595,3.925655,4.253460,4.852677,5.746831"); } } internal_power () { when : "D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.369981,7.337811,7.350220,7.533338,7.910536,8.516882,9.393050"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.980632,3.954186,3.969955,4.146709,4.508973,5.090871,5.934223"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.702971,3.683003,3.671586,3.789481,4.126262,4.730539,5.631215"); } } internal_power () { when : "D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.560990,7.529770,7.546538,7.730813,8.103517,8.694311,9.547933"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.981047,3.953954,3.968964,4.145788,4.507808,5.091151,5.933891"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.707054,3.694027,3.676485,3.794729,4.129710,4.735684,5.634147"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.272200; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.097862,0.100717,0.103829,0.109383,0.119322,0.137870,0.174290", \ "0.099350,0.102205,0.105314,0.110873,0.120808,0.139357,0.175775", \ "0.104367,0.107219,0.110329,0.115887,0.125832,0.144373,0.180796", \ "0.109897,0.112759,0.115867,0.121423,0.131364,0.149907,0.186324", \ "0.113993,0.116839,0.119951,0.125498,0.135446,0.153995,0.190424", \ "0.116492,0.119369,0.122478,0.128041,0.137942,0.156485,0.192912", \ "0.117113,0.119963,0.123071,0.128616,0.138466,0.157019,0.193467"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.108745,0.113084,0.117741,0.126618,0.144442,0.180420,0.252648", \ "0.110225,0.114563,0.119221,0.128095,0.145920,0.181905,0.254130", \ "0.115347,0.119684,0.124338,0.133212,0.151039,0.187016,0.259247", \ "0.121155,0.125492,0.130158,0.139027,0.156854,0.192831,0.265057", \ "0.125669,0.130004,0.134663,0.143534,0.161358,0.197342,0.269576", \ "0.128639,0.132979,0.137632,0.146511,0.164338,0.200312,0.272538", \ "0.129618,0.133957,0.138615,0.147508,0.165320,0.201284,0.273517"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005489,0.007087,0.008851,0.012278,0.019332,0.034308,0.065678", \ "0.005493,0.007087,0.008851,0.012278,0.019333,0.034309,0.065674", \ "0.005490,0.007088,0.008850,0.012278,0.019335,0.034313,0.065677", \ "0.005493,0.007090,0.008853,0.012279,0.019331,0.034313,0.065676", \ "0.005492,0.007088,0.008851,0.012277,0.019330,0.034312,0.065671", \ "0.005496,0.007094,0.008855,0.012280,0.019337,0.034309,0.065679", \ "0.005500,0.007096,0.008852,0.012281,0.019337,0.034313,0.065683"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006797,0.009872,0.013688,0.021594,0.038249,0.072453,0.141205", \ "0.006798,0.009873,0.013688,0.021593,0.038250,0.072455,0.141204", \ "0.006795,0.009869,0.013689,0.021595,0.038250,0.072457,0.141200", \ "0.006794,0.009872,0.013689,0.021594,0.038254,0.072454,0.141205", \ "0.006795,0.009871,0.013689,0.021593,0.038254,0.072457,0.141203", \ "0.006799,0.009873,0.013688,0.021591,0.038250,0.072457,0.141204", \ "0.006795,0.009867,0.013688,0.021595,0.038249,0.072454,0.141199"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ "0.032823,0.036252,0.039733,0.045623,0.055824,0.074593,0.111195", \ "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ "0.027407,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ "0.031066,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ "0.005049,0.006649,0.008498,0.012059,0.019249,0.034329,0.065732", \ "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ "0.006384,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ "0.006394,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ "0.032812,0.036243,0.039728,0.045618,0.055819,0.074592,0.111245", \ "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005045,0.006634,0.008485,0.012050,0.019247,0.034338,0.065974", \ "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ "0.005056,0.006656,0.008506,0.012062,0.019250,0.034345,0.065980", \ "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ "0.032823,0.036252,0.039733,0.045623,0.055824,0.074593,0.111201", \ "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ "0.027407,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ "0.031068,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ "0.005049,0.006649,0.008498,0.012059,0.019249,0.034326,0.065728", \ "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ "0.006384,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ "0.006393,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ "0.032812,0.036243,0.039728,0.045618,0.055819,0.074592,0.111250", \ "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005045,0.006634,0.008485,0.012050,0.019247,0.034338,0.065974", \ "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ "0.005056,0.006656,0.008506,0.012062,0.019250,0.034345,0.065978", \ "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ "0.032823,0.036252,0.039733,0.045623,0.055824,0.074593,0.111195", \ "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ "0.027406,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ "0.031066,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ "0.005049,0.006649,0.008498,0.012059,0.019249,0.034326,0.065732", \ "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ "0.006386,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ "0.006394,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ "0.032812,0.036243,0.039728,0.045618,0.055819,0.074591,0.111250", \ "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005045,0.006634,0.008485,0.012050,0.019246,0.034338,0.065974", \ "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ "0.005056,0.006656,0.008506,0.012062,0.019252,0.034348,0.065978", \ "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ "0.044425,0.048037,0.051655,0.057656,0.067910,0.086677,0.123264", \ "0.056798,0.060877,0.064917,0.071498,0.082314,0.101371,0.137922", \ "0.069871,0.074366,0.078840,0.086048,0.097571,0.117091,0.153804", \ "0.084093,0.088968,0.093850,0.101706,0.114057,0.134265,0.171143"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026135,0.030926,0.036131,0.045720,0.064101,0.100389,0.172772", \ "0.027416,0.032205,0.037407,0.047001,0.065375,0.101666,0.174048", \ "0.031092,0.035865,0.041046,0.050613,0.068979,0.105271,0.177667", \ "0.036475,0.041382,0.046630,0.056233,0.074568,0.110832,0.183229", \ "0.041669,0.046848,0.052246,0.061927,0.080263,0.116528,0.188860", \ "0.045569,0.051204,0.056942,0.066901,0.085313,0.121493,0.193810", \ "0.047719,0.053853,0.060107,0.070594,0.089337,0.125652,0.197927"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006630,0.008479,0.012044,0.019245,0.034324,0.065721", \ "0.005036,0.006631,0.008477,0.012044,0.019244,0.034328,0.065718", \ "0.005048,0.006650,0.008498,0.012058,0.019254,0.034329,0.065721", \ "0.006056,0.007491,0.009163,0.012485,0.019466,0.034393,0.065744", \ "0.007843,0.009287,0.010926,0.014088,0.020621,0.034914,0.065812", \ "0.009718,0.011204,0.012880,0.015974,0.022157,0.035844,0.066213", \ "0.011720,0.013261,0.014992,0.018128,0.024083,0.037087,0.066730"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009512,0.013394,0.021428,0.038219,0.072484,0.141343", \ "0.006384,0.009509,0.013391,0.021428,0.038232,0.072483,0.141363", \ "0.006393,0.009519,0.013403,0.021432,0.038232,0.072484,0.141352", \ "0.006842,0.009918,0.013717,0.021623,0.038265,0.072487,0.141364", \ "0.007721,0.010708,0.014347,0.022023,0.038486,0.072601,0.141340", \ "0.009028,0.012044,0.015514,0.022818,0.038867,0.072748,0.141429", \ "0.010576,0.013773,0.017243,0.024177,0.039716,0.073180,0.141553"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066641,0.103297", \ "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ "0.044409,0.048026,0.051644,0.057649,0.067907,0.086685,0.123340", \ "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ "0.084061,0.088971,0.093859,0.101720,0.114075,0.134288,0.171234"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034339,0.065974", \ "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065973", \ "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ "0.006063,0.007503,0.009170,0.012489,0.019468,0.034412,0.066007", \ "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ "0.044425,0.048037,0.051655,0.057656,0.067910,0.086677,0.123264", \ "0.056798,0.060877,0.064917,0.071498,0.082314,0.101371,0.137922", \ "0.069871,0.074366,0.078840,0.086048,0.097571,0.117091,0.153804", \ "0.084093,0.088968,0.093850,0.101706,0.114057,0.134265,0.171143"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026135,0.030926,0.036131,0.045720,0.064101,0.100389,0.172772", \ "0.027416,0.032205,0.037407,0.047001,0.065375,0.101665,0.174048", \ "0.031092,0.035865,0.041046,0.050613,0.068979,0.105271,0.177667", \ "0.036475,0.041382,0.046630,0.056233,0.074568,0.110832,0.183229", \ "0.041669,0.046848,0.052246,0.061927,0.080263,0.116529,0.188860", \ "0.045569,0.051204,0.056942,0.066901,0.085313,0.121493,0.193810", \ "0.047719,0.053853,0.060107,0.070594,0.089337,0.125652,0.197927"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006630,0.008479,0.012045,0.019244,0.034324,0.065721", \ "0.005036,0.006631,0.008477,0.012044,0.019244,0.034328,0.065718", \ "0.005048,0.006650,0.008498,0.012058,0.019254,0.034329,0.065721", \ "0.006056,0.007491,0.009163,0.012484,0.019466,0.034393,0.065744", \ "0.007843,0.009287,0.010926,0.014088,0.020622,0.034914,0.065812", \ "0.009718,0.011204,0.012880,0.015975,0.022157,0.035844,0.066213", \ "0.011720,0.013261,0.014992,0.018128,0.024083,0.037087,0.066730"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009512,0.013394,0.021428,0.038219,0.072484,0.141343", \ "0.006384,0.009509,0.013391,0.021428,0.038232,0.072483,0.141363", \ "0.006393,0.009519,0.013403,0.021432,0.038232,0.072484,0.141352", \ "0.006842,0.009918,0.013717,0.021623,0.038266,0.072487,0.141364", \ "0.007721,0.010708,0.014347,0.022023,0.038486,0.072601,0.141340", \ "0.009028,0.012044,0.015514,0.022818,0.038867,0.072748,0.141429", \ "0.010576,0.013773,0.017243,0.024177,0.039715,0.073180,0.141553"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066645,0.103292", \ "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ "0.044409,0.048026,0.051644,0.057649,0.067907,0.086684,0.123340", \ "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ "0.084061,0.088971,0.093859,0.101720,0.114072,0.134288,0.171234"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034338,0.065974", \ "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065974", \ "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ "0.006063,0.007503,0.009170,0.012489,0.019468,0.034406,0.066007", \ "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ "0.044425,0.048037,0.051655,0.057656,0.067910,0.086676,0.123264", \ "0.056798,0.060877,0.064917,0.071500,0.082314,0.101371,0.137922", \ "0.069871,0.074366,0.078840,0.086049,0.097570,0.117091,0.153804", \ "0.084093,0.088968,0.093850,0.101707,0.114056,0.134265,0.171143"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026135,0.030926,0.036131,0.045720,0.064100,0.100388,0.172772", \ "0.027416,0.032205,0.037407,0.047000,0.065373,0.101665,0.174048", \ "0.031092,0.035865,0.041046,0.050612,0.068977,0.105271,0.177667", \ "0.036475,0.041382,0.046630,0.056232,0.074569,0.110831,0.183229", \ "0.041669,0.046848,0.052246,0.061927,0.080263,0.116530,0.188860", \ "0.045569,0.051204,0.056942,0.066900,0.085313,0.121493,0.193810", \ "0.047719,0.053853,0.060107,0.070594,0.089339,0.125652,0.197927"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006630,0.008479,0.012047,0.019242,0.034323,0.065721", \ "0.005036,0.006631,0.008477,0.012047,0.019244,0.034328,0.065718", \ "0.005048,0.006650,0.008498,0.012060,0.019254,0.034329,0.065721", \ "0.006056,0.007491,0.009163,0.012484,0.019466,0.034393,0.065744", \ "0.007843,0.009287,0.010926,0.014087,0.020624,0.034914,0.065812", \ "0.009718,0.011204,0.012880,0.015974,0.022159,0.035844,0.066213", \ "0.011720,0.013261,0.014992,0.018129,0.024085,0.037087,0.066730"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009512,0.013394,0.021429,0.038219,0.072483,0.141343", \ "0.006384,0.009509,0.013391,0.021431,0.038232,0.072485,0.141363", \ "0.006393,0.009519,0.013403,0.021432,0.038232,0.072485,0.141352", \ "0.006842,0.009918,0.013717,0.021623,0.038270,0.072486,0.141364", \ "0.007721,0.010708,0.014347,0.022021,0.038486,0.072598,0.141340", \ "0.009028,0.012044,0.015514,0.022813,0.038864,0.072748,0.141429", \ "0.010576,0.013773,0.017243,0.024174,0.039710,0.073180,0.141553"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066644,0.103291", \ "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ "0.044409,0.048026,0.051644,0.057649,0.067907,0.086685,0.123340", \ "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ "0.084061,0.088971,0.093859,0.101720,0.114072,0.134288,0.171234"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034338,0.065973", \ "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065973", \ "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ "0.006063,0.007503,0.009170,0.012489,0.019468,0.034412,0.066007", \ "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024851,0.028300,0.031791,0.037675,0.047865,0.066628,0.103225", \ "0.026421,0.029867,0.033355,0.039241,0.049432,0.068198,0.104794", \ "0.032823,0.036252,0.039733,0.045623,0.055824,0.074591,0.111198", \ "0.044416,0.048027,0.051646,0.057643,0.067895,0.086664,0.123247", \ "0.056778,0.060856,0.064900,0.071479,0.082290,0.101353,0.137892", \ "0.069833,0.074330,0.078802,0.086015,0.097524,0.117055,0.153762", \ "0.084029,0.088916,0.093804,0.101664,0.114008,0.134228,0.171106"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026130,0.030919,0.036121,0.045713,0.064090,0.100376,0.172764", \ "0.027406,0.032197,0.037398,0.046989,0.065366,0.101662,0.174041", \ "0.031068,0.035841,0.041025,0.050593,0.068952,0.105247,0.177642", \ "0.036441,0.041348,0.046599,0.056201,0.074531,0.110798,0.183201", \ "0.041628,0.046804,0.052206,0.061888,0.080229,0.116489,0.188833", \ "0.045521,0.051159,0.056898,0.066857,0.085270,0.121448,0.193769", \ "0.047656,0.053790,0.060051,0.070547,0.089287,0.125602,0.197882"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005033,0.006628,0.008475,0.012045,0.019242,0.034321,0.065724", \ "0.005033,0.006630,0.008479,0.012046,0.019244,0.034328,0.065718", \ "0.005049,0.006649,0.008498,0.012059,0.019249,0.034325,0.065728", \ "0.006056,0.007494,0.009165,0.012484,0.019467,0.034395,0.065744", \ "0.007843,0.009286,0.010925,0.014087,0.020626,0.034913,0.065815", \ "0.009720,0.011204,0.012881,0.015978,0.022155,0.035845,0.066215", \ "0.011724,0.013260,0.014993,0.018130,0.024085,0.037085,0.066731"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009511,0.013392,0.021432,0.038228,0.072513,0.141347", \ "0.006386,0.009509,0.013389,0.021428,0.038231,0.072513,0.141341", \ "0.006393,0.009523,0.013401,0.021434,0.038226,0.072512,0.141351", \ "0.006843,0.009915,0.013715,0.021624,0.038271,0.072485,0.141360", \ "0.007721,0.010705,0.014345,0.022021,0.038486,0.072578,0.141350", \ "0.009032,0.012043,0.015514,0.022812,0.038859,0.072751,0.141418", \ "0.010575,0.013772,0.017245,0.024174,0.039713,0.073180,0.141571"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024839,0.028290,0.031781,0.037666,0.047860,0.066624,0.103277", \ "0.026406,0.029855,0.033347,0.039232,0.049427,0.068193,0.104840", \ "0.032812,0.036243,0.039728,0.045618,0.055819,0.074592,0.111249", \ "0.044401,0.048020,0.051638,0.057638,0.067893,0.086659,0.123304", \ "0.056762,0.060842,0.064889,0.071481,0.082292,0.101355,0.137963", \ "0.069834,0.074336,0.078811,0.086029,0.097544,0.117067,0.153818", \ "0.084035,0.088930,0.093820,0.101680,0.114037,0.134253,0.171160"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005045,0.006634,0.008485,0.012050,0.019246,0.034338,0.065974", \ "0.005041,0.006635,0.008485,0.012052,0.019246,0.034341,0.065975", \ "0.005056,0.006656,0.008506,0.012062,0.019250,0.034345,0.065979", \ "0.006062,0.007500,0.009172,0.012491,0.019470,0.034406,0.066007", \ "0.007859,0.009295,0.010934,0.014093,0.020622,0.034929,0.066080", \ "0.009737,0.011222,0.012893,0.015983,0.022162,0.035858,0.066435", \ "0.011745,0.013286,0.015015,0.018141,0.024093,0.037094,0.066884"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024863,0.028314,0.031804,0.037687,0.047877,0.066634,0.103233", \ "0.026431,0.029880,0.033370,0.039255,0.049447,0.068209,0.104803", \ "0.032831,0.036261,0.039742,0.045631,0.055833,0.074597,0.111206", \ "0.044425,0.048037,0.051655,0.057656,0.067910,0.086677,0.123264", \ "0.056798,0.060877,0.064917,0.071498,0.082314,0.101371,0.137922", \ "0.069871,0.074366,0.078840,0.086048,0.097571,0.117091,0.153804", \ "0.084093,0.088968,0.093850,0.101706,0.114057,0.134265,0.171143"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.026135,0.030926,0.036131,0.045720,0.064101,0.100389,0.172772", \ "0.027416,0.032205,0.037407,0.047001,0.065375,0.101666,0.174048", \ "0.031092,0.035865,0.041046,0.050613,0.068979,0.105271,0.177667", \ "0.036475,0.041382,0.046630,0.056233,0.074568,0.110833,0.183229", \ "0.041669,0.046848,0.052246,0.061927,0.080263,0.116528,0.188860", \ "0.045569,0.051204,0.056942,0.066901,0.085313,0.121493,0.193810", \ "0.047719,0.053853,0.060107,0.070594,0.089337,0.125652,0.197927"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006630,0.008479,0.012044,0.019245,0.034324,0.065721", \ "0.005036,0.006631,0.008477,0.012044,0.019244,0.034328,0.065718", \ "0.005048,0.006650,0.008498,0.012057,0.019254,0.034329,0.065721", \ "0.006056,0.007491,0.009163,0.012485,0.019466,0.034393,0.065744", \ "0.007843,0.009287,0.010926,0.014088,0.020621,0.034913,0.065812", \ "0.009718,0.011204,0.012880,0.015974,0.022157,0.035844,0.066213", \ "0.011720,0.013261,0.014992,0.018128,0.024083,0.037087,0.066730"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006387,0.009512,0.013394,0.021428,0.038219,0.072484,0.141343", \ "0.006384,0.009509,0.013391,0.021428,0.038232,0.072483,0.141363", \ "0.006393,0.009519,0.013403,0.021432,0.038232,0.072484,0.141352", \ "0.006842,0.009918,0.013717,0.021623,0.038265,0.072489,0.141364", \ "0.007721,0.010708,0.014347,0.022023,0.038486,0.072601,0.141340", \ "0.009028,0.012044,0.015514,0.022818,0.038867,0.072748,0.141429", \ "0.010576,0.013773,0.017243,0.024177,0.039716,0.073180,0.141553"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024853,0.028302,0.031796,0.037686,0.047879,0.066641,0.103297", \ "0.026420,0.029868,0.033361,0.039252,0.049447,0.068209,0.104858", \ "0.032823,0.036254,0.039741,0.045632,0.055835,0.074607,0.111267", \ "0.044409,0.048026,0.051644,0.057649,0.067907,0.086684,0.123340", \ "0.056773,0.060859,0.064905,0.071492,0.082312,0.101386,0.137998", \ "0.069855,0.074365,0.078838,0.086051,0.097557,0.117101,0.153873", \ "0.084061,0.088971,0.093859,0.101720,0.114072,0.134288,0.171234"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005046,0.006637,0.008485,0.012050,0.019245,0.034339,0.065974", \ "0.005042,0.006634,0.008484,0.012051,0.019245,0.034347,0.065973", \ "0.005057,0.006655,0.008506,0.012062,0.019250,0.034344,0.065977", \ "0.006063,0.007503,0.009170,0.012489,0.019468,0.034406,0.066007", \ "0.007859,0.009296,0.010938,0.014092,0.020620,0.034927,0.066080", \ "0.009742,0.011220,0.012894,0.015978,0.022151,0.035852,0.066433", \ "0.011745,0.013284,0.015012,0.018135,0.024087,0.037084,0.066878"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ "0.044427,0.048038,0.051654,0.057655,0.067910,0.086675,0.123258", \ "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086053,0.097561,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ "0.036325,0.041226,0.046469,0.056068,0.074386,0.110663,0.183056", \ "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ "0.045434,0.051081,0.056825,0.066781,0.085189,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012048,0.019241,0.034322,0.065717", \ "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012060,0.019253,0.034327,0.065718", \ "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014084,0.020623,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015971,0.022152,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018125,0.024080,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021400,0.038198,0.072508,0.141397", \ "0.006333,0.009467,0.013353,0.021395,0.038206,0.072521,0.141375", \ "0.006343,0.009478,0.013366,0.021401,0.038195,0.072528,0.141400", \ "0.006815,0.009893,0.013695,0.021599,0.038247,0.072503,0.141385", \ "0.007723,0.010701,0.014337,0.022010,0.038473,0.072575,0.141363", \ "0.009045,0.012059,0.015521,0.022809,0.038849,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024178,0.039700,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066634,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068205,0.104797", \ "0.032833,0.036260,0.039743,0.045631,0.055832,0.074597,0.111204", \ "0.044427,0.048038,0.051654,0.057653,0.067910,0.086674,0.123258", \ "0.056803,0.060879,0.064921,0.071501,0.082312,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086052,0.097560,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045361,0.063723,0.100027,0.172415", \ "0.027087,0.031864,0.037060,0.046647,0.065012,0.101314,0.173692", \ "0.030824,0.035588,0.040763,0.050323,0.068673,0.104980,0.177386", \ "0.036325,0.041226,0.046469,0.056067,0.074386,0.110661,0.183054", \ "0.041552,0.046731,0.052133,0.061809,0.080139,0.116391,0.188738", \ "0.045434,0.051081,0.056825,0.066781,0.085192,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089211,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012046,0.019244,0.034326,0.065717", \ "0.005035,0.006629,0.008478,0.012044,0.019244,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012057,0.019251,0.034325,0.065718", \ "0.006055,0.007489,0.009164,0.012484,0.019463,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014082,0.020625,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015969,0.022151,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018122,0.024084,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021401,0.038204,0.072507,0.141397", \ "0.006333,0.009467,0.013353,0.021400,0.038205,0.072517,0.141375", \ "0.006343,0.009478,0.013366,0.021406,0.038197,0.072524,0.141400", \ "0.006815,0.009893,0.013695,0.021599,0.038251,0.072508,0.141380", \ "0.007723,0.010701,0.014337,0.022006,0.038471,0.072571,0.141363", \ "0.009045,0.012059,0.015521,0.022807,0.038842,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024182,0.039703,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066644,0.103225", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055834,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019244,0.034311,0.065802", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ "0.032833,0.036260,0.039743,0.045630,0.055832,0.074596,0.111204", \ "0.044427,0.048038,0.051654,0.057655,0.067910,0.086676,0.123258", \ "0.056803,0.060879,0.064921,0.071500,0.082312,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086053,0.097562,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045363,0.063724,0.100023,0.172415", \ "0.027087,0.031864,0.037060,0.046649,0.065015,0.101312,0.173692", \ "0.030824,0.035588,0.040763,0.050323,0.068677,0.104979,0.177386", \ "0.036325,0.041226,0.046469,0.056068,0.074386,0.110664,0.183054", \ "0.041552,0.046731,0.052133,0.061809,0.080138,0.116390,0.188738", \ "0.045434,0.051081,0.056825,0.066781,0.085189,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089207,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012046,0.019241,0.034323,0.065717", \ "0.005035,0.006629,0.008478,0.012043,0.019245,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012059,0.019253,0.034328,0.065718", \ "0.006055,0.007489,0.009164,0.012483,0.019465,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014086,0.020622,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015973,0.022153,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018125,0.024078,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021398,0.038194,0.072509,0.141397", \ "0.006333,0.009467,0.013353,0.021396,0.038205,0.072524,0.141375", \ "0.006343,0.009478,0.013366,0.021404,0.038195,0.072530,0.141400", \ "0.006815,0.009893,0.013695,0.021598,0.038243,0.072503,0.141380", \ "0.007723,0.010701,0.014337,0.022010,0.038473,0.072577,0.141363", \ "0.009045,0.012059,0.015521,0.022810,0.038851,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024179,0.039704,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ "0.032833,0.036260,0.039743,0.045630,0.055832,0.074596,0.111204", \ "0.044427,0.048038,0.051654,0.057655,0.067910,0.086676,0.123258", \ "0.056803,0.060879,0.064921,0.071500,0.082312,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086053,0.097562,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045363,0.063724,0.100023,0.172415", \ "0.027087,0.031864,0.037060,0.046649,0.065015,0.101312,0.173692", \ "0.030824,0.035588,0.040763,0.050324,0.068677,0.104979,0.177386", \ "0.036325,0.041226,0.046469,0.056068,0.074386,0.110664,0.183054", \ "0.041552,0.046731,0.052133,0.061809,0.080138,0.116390,0.188738", \ "0.045434,0.051081,0.056825,0.066781,0.085188,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089208,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012046,0.019241,0.034323,0.065717", \ "0.005035,0.006629,0.008478,0.012043,0.019245,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012059,0.019253,0.034328,0.065718", \ "0.006055,0.007489,0.009164,0.012483,0.019465,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014086,0.020623,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015973,0.022153,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018125,0.024078,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021398,0.038195,0.072509,0.141397", \ "0.006333,0.009467,0.013353,0.021395,0.038205,0.072523,0.141375", \ "0.006344,0.009478,0.013366,0.021404,0.038195,0.072529,0.141400", \ "0.006815,0.009893,0.013695,0.021598,0.038244,0.072503,0.141380", \ "0.007723,0.010701,0.014337,0.022010,0.038473,0.072577,0.141363", \ "0.009045,0.012059,0.015521,0.022810,0.038851,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024178,0.039704,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103225", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078830,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012888,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ "0.044427,0.048038,0.051654,0.057655,0.067910,0.086675,0.123258", \ "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086053,0.097561,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ "0.036325,0.041226,0.046469,0.056068,0.074386,0.110663,0.183054", \ "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ "0.045434,0.051081,0.056825,0.066781,0.085189,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012048,0.019241,0.034322,0.065717", \ "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012060,0.019253,0.034327,0.065718", \ "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014084,0.020623,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015971,0.022152,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018125,0.024080,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021400,0.038198,0.072508,0.141397", \ "0.006333,0.009467,0.013353,0.021395,0.038206,0.072521,0.141375", \ "0.006343,0.009478,0.013366,0.021401,0.038195,0.072528,0.141400", \ "0.006815,0.009893,0.013695,0.021599,0.038247,0.072503,0.141380", \ "0.007723,0.010701,0.014337,0.022010,0.038473,0.072575,0.141363", \ "0.009045,0.012059,0.015521,0.022809,0.038849,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024178,0.039700,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066634,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068205,0.104797", \ "0.032833,0.036260,0.039743,0.045631,0.055832,0.074597,0.111204", \ "0.044427,0.048038,0.051654,0.057653,0.067910,0.086674,0.123258", \ "0.056803,0.060879,0.064921,0.071501,0.082312,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086052,0.097560,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045361,0.063723,0.100027,0.172415", \ "0.027087,0.031864,0.037060,0.046647,0.065012,0.101314,0.173692", \ "0.030824,0.035588,0.040763,0.050323,0.068673,0.104980,0.177386", \ "0.036325,0.041226,0.046469,0.056067,0.074386,0.110661,0.183056", \ "0.041552,0.046731,0.052133,0.061809,0.080139,0.116391,0.188738", \ "0.045434,0.051081,0.056825,0.066781,0.085192,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089211,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012046,0.019244,0.034326,0.065717", \ "0.005035,0.006629,0.008478,0.012044,0.019244,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012057,0.019251,0.034325,0.065718", \ "0.006055,0.007489,0.009164,0.012484,0.019463,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014082,0.020625,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015969,0.022151,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018122,0.024084,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021401,0.038204,0.072507,0.141397", \ "0.006333,0.009467,0.013353,0.021400,0.038205,0.072517,0.141375", \ "0.006343,0.009478,0.013366,0.021406,0.038197,0.072524,0.141400", \ "0.006815,0.009893,0.013695,0.021599,0.038251,0.072508,0.141385", \ "0.007723,0.010701,0.014337,0.022006,0.038471,0.072571,0.141363", \ "0.009045,0.012059,0.015521,0.022807,0.038842,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024182,0.039703,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066644,0.103226", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019244,0.034311,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ "0.044427,0.048038,0.051654,0.057654,0.067910,0.086675,0.123258", \ "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086054,0.097561,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ "0.036325,0.041226,0.046469,0.056067,0.074386,0.110663,0.183054", \ "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ "0.045434,0.051081,0.056825,0.066780,0.085190,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012047,0.019241,0.034323,0.065717", \ "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012060,0.019252,0.034327,0.065718", \ "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014082,0.020624,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015970,0.022152,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018125,0.024081,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021401,0.038200,0.072508,0.141397", \ "0.006333,0.009467,0.013353,0.021397,0.038206,0.072520,0.141375", \ "0.006343,0.009478,0.013366,0.021402,0.038196,0.072527,0.141400", \ "0.006815,0.009893,0.013695,0.021599,0.038248,0.072503,0.141380", \ "0.007723,0.010701,0.014337,0.022010,0.038473,0.072574,0.141363", \ "0.009045,0.012059,0.015521,0.022808,0.038847,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024179,0.039698,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066643,0.103224", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034309,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024865,0.028315,0.031803,0.037686,0.047877,0.066633,0.103230", \ "0.026433,0.029881,0.033369,0.039255,0.049445,0.068204,0.104797", \ "0.032833,0.036260,0.039743,0.045630,0.055832,0.074597,0.111204", \ "0.044427,0.048038,0.051654,0.057654,0.067910,0.086675,0.123258", \ "0.056803,0.060879,0.064921,0.071502,0.082313,0.101373,0.137911", \ "0.069876,0.074368,0.078844,0.086054,0.097561,0.117089,0.153798", \ "0.084071,0.088946,0.093830,0.101695,0.114051,0.134259,0.171136"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.025800,0.030579,0.035777,0.045362,0.063723,0.100025,0.172415", \ "0.027087,0.031864,0.037060,0.046648,0.065014,0.101313,0.173692", \ "0.030824,0.035588,0.040763,0.050324,0.068676,0.104980,0.177386", \ "0.036325,0.041226,0.046469,0.056067,0.074386,0.110663,0.183054", \ "0.041552,0.046731,0.052133,0.061808,0.080138,0.116391,0.188738", \ "0.045434,0.051081,0.056825,0.066780,0.085190,0.121359,0.193680", \ "0.047563,0.053709,0.059979,0.070473,0.089209,0.125524,0.197816"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005035,0.006628,0.008477,0.012047,0.019241,0.034323,0.065717", \ "0.005035,0.006629,0.008478,0.012044,0.019245,0.034329,0.065706", \ "0.005047,0.006649,0.008497,0.012060,0.019252,0.034327,0.065718", \ "0.006055,0.007489,0.009164,0.012484,0.019465,0.034390,0.065722", \ "0.007842,0.009282,0.010921,0.014082,0.020624,0.034914,0.065804", \ "0.009713,0.011198,0.012877,0.015970,0.022152,0.035844,0.066210", \ "0.011715,0.013251,0.014989,0.018125,0.024081,0.037081,0.066727"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006333,0.009470,0.013356,0.021401,0.038200,0.072508,0.141397", \ "0.006333,0.009467,0.013353,0.021397,0.038206,0.072520,0.141375", \ "0.006343,0.009478,0.013366,0.021402,0.038196,0.072527,0.141400", \ "0.006815,0.009893,0.013695,0.021599,0.038248,0.072503,0.141380", \ "0.007723,0.010701,0.014337,0.022010,0.038473,0.072574,0.141363", \ "0.009045,0.012059,0.015521,0.022808,0.038847,0.072765,0.141430", \ "0.010591,0.013791,0.017260,0.024179,0.039698,0.073194,0.141591"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.024855,0.028304,0.031799,0.037689,0.047882,0.066644,0.103224", \ "0.026421,0.029872,0.033364,0.039255,0.049451,0.068213,0.104796", \ "0.032824,0.036257,0.039740,0.045632,0.055835,0.074600,0.111189", \ "0.044412,0.048028,0.051647,0.057651,0.067911,0.086681,0.123257", \ "0.056780,0.060862,0.064902,0.071488,0.082308,0.101380,0.137920", \ "0.069849,0.074357,0.078827,0.086037,0.097550,0.117067,0.153786", \ "0.084048,0.088945,0.093829,0.101692,0.114038,0.134217,0.171113"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.005042,0.006634,0.008485,0.012048,0.019246,0.034311,0.065803", \ "0.005037,0.006633,0.008484,0.012049,0.019243,0.034314,0.065805", \ "0.005056,0.006652,0.008505,0.012062,0.019250,0.034312,0.065808", \ "0.006058,0.007497,0.009167,0.012488,0.019465,0.034379,0.065861", \ "0.007854,0.009295,0.010931,0.014089,0.020618,0.034909,0.066019", \ "0.009729,0.011215,0.012889,0.015981,0.022157,0.035817,0.066527", \ "0.011739,0.013274,0.015006,0.018132,0.024083,0.037043,0.067139"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059871,0.064234,0.068903,0.077779,0.095605,0.131588,0.203815", \ "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006831,0.009899,0.013712,0.021613,0.038267,0.072457,0.141197", \ "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059871,0.064234,0.068903,0.077779,0.095606,0.131586,0.203815", \ "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006831,0.009899,0.013712,0.021613,0.038269,0.072457,0.141197", \ "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059871,0.064234,0.068903,0.077779,0.095603,0.131588,0.203815", \ "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006831,0.009899,0.013712,0.021613,0.038265,0.072460,0.141197", \ "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131584,0.203814", \ "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141198", \ "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131585,0.203814", \ "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141198", \ "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131585,0.203815", \ "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141202", \ "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059871,0.064234,0.068903,0.077779,0.095603,0.131588,0.203815", \ "0.061459,0.065818,0.070486,0.079362,0.097190,0.133175,0.205405", \ "0.067741,0.072104,0.076774,0.085648,0.103477,0.139456,0.211685", \ "0.080411,0.084759,0.089406,0.098255,0.116056,0.152016,0.224233", \ "0.097649,0.101830,0.106310,0.114932,0.132528,0.168348,0.240492", \ "0.115884,0.119969,0.124262,0.132582,0.149904,0.185519,0.257544", \ "0.135073,0.139120,0.143263,0.151278,0.168292,0.203693,0.275577"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006831,0.009899,0.013712,0.021613,0.038266,0.072457,0.141197", \ "0.006837,0.009897,0.013709,0.021613,0.038270,0.072459,0.141203", \ "0.006834,0.009900,0.013709,0.021611,0.038266,0.072456,0.141201", \ "0.006857,0.009910,0.013720,0.021616,0.038269,0.072460,0.141199", \ "0.007040,0.010071,0.013848,0.021691,0.038296,0.072465,0.141199", \ "0.007406,0.010382,0.014075,0.021821,0.038358,0.072477,0.141199", \ "0.007824,0.010763,0.014372,0.021974,0.038437,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059865,0.064230,0.068897,0.077774,0.095600,0.131584,0.203814", \ "0.061450,0.065814,0.070483,0.079357,0.097186,0.133169,0.205400", \ "0.067729,0.072093,0.076763,0.085637,0.103463,0.139446,0.211676", \ "0.080409,0.084752,0.089403,0.098254,0.116053,0.152012,0.224233", \ "0.097646,0.101824,0.106303,0.114925,0.132522,0.168344,0.240488", \ "0.115890,0.119969,0.124258,0.132577,0.149900,0.185510,0.257535", \ "0.135065,0.139113,0.143253,0.151260,0.168299,0.203688,0.275574"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006830,0.009898,0.013711,0.021613,0.038271,0.072459,0.141199", \ "0.006835,0.009896,0.013707,0.021613,0.038266,0.072459,0.141197", \ "0.006833,0.009898,0.013712,0.021613,0.038266,0.072456,0.141200", \ "0.006860,0.009910,0.013722,0.021616,0.038271,0.072460,0.141199", \ "0.007041,0.010071,0.013848,0.021691,0.038296,0.072464,0.141199", \ "0.007405,0.010384,0.014075,0.021821,0.038358,0.072477,0.141200", \ "0.007824,0.010764,0.014369,0.021974,0.038433,0.072497,0.141205"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203678,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009877,0.013696,0.021600,0.038253,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ "0.007776,0.010718,0.014331,0.021938,0.038403,0.072504,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131598,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009877,0.013696,0.021600,0.038253,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ "0.007776,0.010718,0.014331,0.021938,0.038403,0.072503,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009877,0.013696,0.021600,0.038254,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ "0.007776,0.010718,0.014331,0.021938,0.038403,0.072503,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095618,0.131598,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009878,0.013696,0.021600,0.038253,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009878,0.013696,0.021600,0.038253,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131597,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009878,0.013696,0.021600,0.038253,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095619,0.131598,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168361,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009877,0.013696,0.021600,0.038253,0.072452,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141206", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038284,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141207", \ "0.007776,0.010718,0.014331,0.021938,0.038403,0.072503,0.141214"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.059908,0.064257,0.068918,0.077793,0.095616,0.131597,0.203820", \ "0.061492,0.065843,0.070503,0.079378,0.097203,0.133186,0.205408", \ "0.067770,0.072118,0.076782,0.085657,0.103481,0.139462,0.211686", \ "0.080448,0.084777,0.089423,0.098275,0.116071,0.152033,0.224247", \ "0.097684,0.101847,0.106317,0.114946,0.132540,0.168362,0.240503", \ "0.115918,0.119971,0.124248,0.132574,0.149881,0.185506,0.257534", \ "0.135095,0.139125,0.143260,0.151270,0.168258,0.203673,0.275566"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("0.006809,0.009878,0.013696,0.021600,0.038252,0.072453,0.141201", \ "0.006813,0.009878,0.013691,0.021600,0.038252,0.072449,0.141208", \ "0.006810,0.009880,0.013698,0.021598,0.038251,0.072447,0.141203", \ "0.006834,0.009891,0.013707,0.021604,0.038254,0.072450,0.141205", \ "0.007011,0.010046,0.013828,0.021673,0.038285,0.072455,0.141205", \ "0.007364,0.010343,0.014047,0.021794,0.038338,0.072474,0.141210", \ "0.007776,0.010718,0.014330,0.021938,0.038403,0.072503,0.141214"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.717741,5.786060,5.839757,5.964865,6.069201,6.063713,6.018930", \ "5.708368,5.773733,5.838199,5.967010,6.059561,6.051374,6.033975", \ "5.698604,5.763042,5.805245,5.960119,6.052874,6.089082,6.031150", \ "5.755743,5.823697,5.876412,6.001929,6.102808,6.146882,6.135151", \ "5.919558,5.984338,6.045026,6.171804,6.277077,6.286263,6.334288", \ "6.237263,6.299951,6.362943,6.476295,6.582811,6.586193,6.614540", \ "6.700634,6.769455,6.828884,6.955807,7.052490,7.075716,7.091362"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.784192,5.821239,5.862175,5.882465,5.867263,5.920515,5.868351", \ "5.773637,5.811182,5.852260,5.860942,5.913561,5.918397,5.883099", \ "5.773006,5.815305,5.846885,5.870055,5.908463,5.913473,5.880637", \ "5.816262,5.875516,5.903887,5.928805,5.963916,5.953469,5.979127", \ "6.001130,6.035374,6.067239,6.093921,6.131685,6.089414,6.145364", \ "6.310323,6.339329,6.376921,6.391074,6.442016,6.427813,6.380320", \ "6.752962,6.794534,6.841397,6.863447,6.840113,6.875969,6.904993"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.079227,4.305824,4.507355,4.754302,5.004596,5.350559,5.876347", \ "4.045897,4.261438,4.486998,4.718078,4.978487,5.333921,5.841708", \ "4.067911,4.262386,4.483618,4.706943,4.979329,5.331074,5.847473", \ "4.220862,4.465018,4.631474,4.864919,5.126819,5.466788,5.977562", \ "4.413490,4.576049,4.772338,5.072979,5.436008,5.782788,6.294751", \ "4.954641,5.028439,5.159005,5.412439,5.763310,6.265252,6.795159", \ "5.763511,5.818115,5.873000,6.081418,6.379588,6.818489,7.541124"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.265174,2.626551,2.885936,3.132458,3.214327,3.234472,3.169493", \ "2.259734,2.539424,2.795177,3.127453,3.281683,3.145411,3.431737", \ "2.212459,2.571468,2.820012,3.089235,3.238524,3.105166,3.097950", \ "2.341334,2.612520,2.817639,3.076733,3.135243,3.331617,3.082478", \ "2.664019,2.871912,3.120484,3.228804,3.397553,3.227572,3.432745", \ "3.102017,3.376855,3.574115,3.804742,3.780859,3.773488,3.797784", \ "3.691761,3.946567,4.237228,4.476481,4.586514,4.433477,4.464857"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.606654,4.740048,4.822608,4.900408,4.902202,4.897092,4.856132", \ "4.587044,4.692110,4.796678,4.888066,4.890102,4.956544,4.933723", \ "4.590501,4.722310,4.777569,4.866091,4.905887,4.830164,4.887034", \ "4.706891,4.788862,4.877330,4.927998,4.998807,4.994949,4.865018", \ "4.970081,5.040541,5.081085,5.130904,5.118993,5.108697,5.067287", \ "5.380128,5.396765,5.397386,5.434000,5.406046,5.458822,5.400887", \ "5.934925,5.915305,5.932247,5.917950,5.903259,5.869462,5.714542"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.079243,4.305837,4.507364,4.754309,5.004600,5.350557,5.876348", \ "4.045914,4.261450,4.487009,4.718084,4.978491,5.333923,5.841708", \ "4.067927,4.262398,4.483628,4.706948,4.979334,5.330908,5.837999", \ "4.220882,4.465032,4.631483,4.864924,5.126823,5.466785,5.977557", \ "4.413505,4.576063,4.772350,5.072988,5.436011,5.782786,6.294750", \ "4.954653,5.028450,5.159015,5.412447,5.763316,6.265254,6.795155", \ "5.763520,5.818124,5.873006,6.081426,6.379592,6.818493,7.541126"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.265181,2.626558,2.885942,3.132460,3.214324,3.234466,3.169481", \ "2.259741,2.539429,2.795180,3.127457,3.281684,3.145403,3.431740", \ "2.212765,2.571476,2.820019,3.089239,3.238526,3.105159,3.097940", \ "2.341340,2.612528,2.817644,3.076736,3.135240,3.331620,3.082466", \ "2.664020,2.871915,3.120490,3.228805,3.397555,3.227563,3.432743", \ "3.102020,3.376861,3.574120,3.804747,3.780858,3.773486,3.797782", \ "3.691765,3.946571,4.237235,4.476484,4.586515,4.433474,4.464856"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.606248,4.739635,4.822165,4.899943,4.901686,4.896504,4.855482", \ "4.586643,4.691687,4.796235,4.887603,4.889456,4.955967,4.933078", \ "4.590105,4.721894,4.777133,4.865629,4.905379,4.829601,4.886385", \ "4.706498,4.788462,4.876911,4.927560,4.998420,4.994391,4.864407", \ "4.969720,5.040170,5.080692,5.130491,5.118533,5.108183,5.066690", \ "5.379817,5.396517,5.397035,5.433629,5.405636,5.458350,5.400322", \ "5.934660,5.915025,5.931944,5.917636,5.902904,5.869045,5.714031"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.079183,4.305785,4.507313,4.754269,5.004577,5.350554,5.876342", \ "4.045853,4.261395,4.486955,4.718043,4.978465,5.333910,5.841704", \ "4.067867,4.262343,4.483575,4.706908,4.979306,5.330897,5.847466", \ "4.220823,4.464976,4.631434,4.864885,5.126797,5.466781,5.977560", \ "4.413455,4.576014,4.772301,5.072949,5.435986,5.782780,6.294746", \ "4.954615,5.028413,5.158979,5.412413,5.763289,6.265239,6.795157", \ "5.763490,5.818092,5.872981,6.081396,6.379570,6.818475,7.541114"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.265155,2.626522,2.885905,3.132434,3.214314,3.234466,3.169493", \ "2.259724,2.539399,2.795149,3.127430,3.281668,3.145410,3.431726", \ "2.212445,2.571442,2.819982,3.089211,3.238508,3.105165,3.097952", \ "2.341323,2.612503,2.817614,3.076709,3.135231,3.331605,3.082481", \ "2.664035,2.871903,3.120460,3.228786,3.397534,3.227571,3.432739", \ "3.102007,3.376835,3.574092,3.804721,3.780846,3.773478,3.797777", \ "3.691746,3.946548,4.237205,4.476460,4.586497,4.433469,4.464849"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.606456,4.718729,4.822326,4.900046,4.901949,4.896008,4.855730", \ "4.586664,4.691918,4.796439,4.887797,4.889810,4.956177,4.933375", \ "4.590113,4.721881,4.777354,4.865816,4.905784,4.829504,4.886718", \ "4.706718,4.788704,4.877110,4.927942,4.998680,4.994662,4.864779", \ "4.969897,5.040205,5.080904,5.130651,5.118747,5.108445,5.067126", \ "5.379816,5.396715,5.397230,5.433808,5.405827,5.458567,5.400566", \ "5.934792,5.915129,5.932252,5.917805,5.903059,5.869729,5.714272"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.405117,5.633592,5.838622,6.076437,6.328918,6.685873,7.196375", \ "5.327874,5.557912,5.780415,6.003053,6.263765,6.610240,7.117207", \ "5.329922,5.561042,5.772907,6.010575,6.270872,6.633799,7.130829", \ "5.654462,5.917839,6.112697,6.327431,6.578299,6.933986,7.442542", \ "6.179586,6.363799,6.568917,6.892632,7.242299,7.585991,8.100243", \ "7.230454,7.341108,7.482189,7.757841,8.123053,8.623178,9.155484", \ "8.687560,8.807877,8.931452,9.165227,9.512668,9.962450,10.689220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.050698,3.421032,3.679322,3.953315,4.053572,4.043672,3.948073", \ "3.020961,3.308305,3.622872,3.922034,4.101780,3.975907,4.187600", \ "2.973578,3.338593,3.588799,3.878440,4.020583,3.924704,3.847273", \ "3.222569,3.478780,3.731517,3.984640,4.034163,3.890069,3.941777", \ "3.805361,4.112745,4.312644,4.464125,4.567241,4.433287,4.592525", \ "4.710308,5.043926,5.268699,5.496602,5.528797,5.505081,5.491159", \ "5.880549,6.313121,6.591956,6.936014,7.100666,6.931767,6.936832"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.303326,5.415861,5.519095,5.596050,5.596478,5.590727,5.548190", \ "5.263469,5.400048,5.472190,5.562985,5.564344,5.629488,5.605255", \ "5.273565,5.396254,5.459877,5.547647,5.586517,5.509732,5.565153", \ "5.470846,5.570713,5.640729,5.716235,5.761696,5.757116,5.625772", \ "5.904639,5.977256,6.014870,6.064046,6.051087,6.075058,6.037578", \ "6.592879,6.618003,6.621127,6.642191,6.612274,6.664122,6.604042", \ "7.551503,7.549400,7.533491,7.518646,7.502972,7.465582,7.477084"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.405074,5.633577,5.838609,6.076429,6.328904,6.685937,7.196419", \ "5.327831,5.557887,5.780411,6.003050,6.263181,6.610238,7.117193", \ "5.329874,5.561013,5.772893,6.010568,6.270272,6.633789,7.130805", \ "5.654412,5.917809,6.112682,6.326388,6.578278,6.933959,7.442517", \ "6.179550,6.363771,6.568897,6.891277,7.242287,7.585960,8.100230", \ "7.230422,7.341082,7.482166,7.757819,8.123043,8.623156,9.155458", \ "8.687522,8.807850,8.931432,9.165275,9.511811,9.962441,10.689240"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.050669,3.421000,3.679294,3.951047,4.053372,4.041398,3.948006", \ "3.020927,3.308264,3.622843,3.919818,4.101772,3.975859,4.187590", \ "2.973543,3.338562,3.588773,3.876236,4.018440,3.924653,3.847211", \ "3.222545,3.478748,3.731490,3.982347,4.031889,4.217793,3.941710", \ "3.805335,4.112722,4.312624,4.464071,4.565000,4.433223,4.592496", \ "4.710280,5.043899,5.268672,5.496590,5.526556,5.502744,5.491133", \ "5.880517,6.313095,6.591927,6.933445,7.100641,6.931736,6.936807"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.303785,5.416341,5.519614,5.596555,5.597051,5.590852,5.549344", \ "5.263924,5.400527,5.472675,5.563481,5.564884,5.630193,5.606105", \ "5.274016,5.396699,5.460344,5.548150,5.587112,5.510380,5.565988", \ "5.471266,5.571149,5.641165,5.716674,5.762252,5.757455,5.626438", \ "5.905016,5.977637,6.015367,6.064569,6.051610,6.075692,6.038306", \ "6.593269,6.618329,6.621449,6.642623,6.612712,6.664707,6.604749", \ "7.551821,7.549766,7.533896,7.518978,7.502282,7.466100,7.477679"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.405097,5.633589,5.838618,6.076527,6.328875,6.685920,7.196421", \ "5.327850,5.557900,5.780421,6.003133,6.261220,6.610366,7.117197", \ "5.329894,5.561027,5.772903,6.010609,6.265393,6.633840,7.130811", \ "5.654437,5.917825,6.112692,6.325851,6.578250,6.933986,7.442522", \ "6.179570,6.363788,6.568910,6.880384,7.242335,7.585967,8.100234", \ "7.230441,7.341097,7.482179,7.763203,8.123018,8.623163,9.155464", \ "8.687541,8.807866,8.931445,9.165815,9.509648,9.962446,10.689220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.050681,3.421009,3.679302,3.932848,4.163567,4.023345,3.948019", \ "3.020938,3.308274,3.622851,3.901904,4.101802,3.975798,4.187595", \ "2.973555,3.338571,3.588780,3.858285,4.001154,3.924602,3.847224", \ "3.222554,3.478758,3.731498,3.964024,4.013645,4.199956,3.941724", \ "3.805345,4.112730,4.312630,4.464026,4.546958,4.433192,4.592504", \ "4.710292,5.043908,5.268681,5.523642,5.508411,5.483964,5.491140", \ "5.880531,6.313107,6.591938,6.912613,7.100467,6.931744,6.936814"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.303820,5.416373,5.519534,5.596540,5.596853,5.591514,5.549027", \ "5.263919,5.400523,5.472710,5.563469,5.564910,5.630186,5.606041", \ "5.274008,5.396741,5.460387,5.548134,5.587143,5.510379,5.565919", \ "5.471311,5.571153,5.641212,5.716733,5.762286,5.757798,5.626442", \ "5.905035,5.977655,6.015327,6.064481,6.051620,6.075697,6.038414", \ "6.593214,6.618408,6.642700,6.642580,6.612730,6.664708,6.604743", \ "7.551783,7.549685,7.533839,7.518937,7.502271,7.466095,7.477691"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.079192,4.305796,4.507318,4.754275,5.004577,5.350548,5.876340", \ "4.045863,4.261401,4.486961,4.718046,4.978467,5.333909,5.841701", \ "4.067877,4.262349,4.483581,4.706910,4.979309,5.330980,5.830972", \ "4.220834,4.464984,4.631439,4.864888,5.126799,5.466775,5.977553", \ "4.413464,4.576024,4.772308,5.072954,5.435987,5.782775,6.294744", \ "4.954622,5.028418,5.158984,5.412418,5.763292,6.265238,6.795150", \ "5.763493,5.818097,5.872984,6.081400,6.379572,6.818478,7.541115"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.265158,2.626527,2.885909,3.132435,3.214307,3.234457,3.169476", \ "2.259728,2.539401,2.795149,3.127431,3.281667,3.145396,3.431727", \ "2.212747,2.571447,2.819986,3.089213,3.238508,3.105152,3.097936", \ "2.341327,2.612507,2.817616,3.076709,3.135142,3.331606,3.082462", \ "2.664038,2.871905,3.120464,3.228785,3.397533,3.227556,3.432733", \ "3.102009,3.376838,3.574094,3.804724,3.780843,3.773474,3.797772", \ "3.691747,3.946549,4.237206,4.476460,4.586496,4.433462,4.464845"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.606050,4.739609,4.821893,4.899580,4.901353,4.895378,4.854991", \ "4.586279,4.691481,4.796002,4.887333,4.889295,4.955551,4.932628", \ "4.589725,4.721473,4.776916,4.865365,4.905195,4.829267,4.932669", \ "4.706322,4.788295,4.876700,4.927457,4.998164,4.994048,4.864068", \ "4.969538,5.039811,5.080459,5.130203,5.118247,5.107858,5.066358", \ "5.379466,5.396337,5.396842,5.433400,5.405369,5.458015,5.399859", \ "5.934505,5.914843,5.931841,5.917402,5.902630,5.869202,5.713602"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.405132,5.633604,5.838630,6.076448,6.328926,6.685894,7.196383", \ "5.327887,5.557922,5.780421,6.003058,6.263852,6.610242,7.117210", \ "5.329937,5.561053,5.772915,6.010580,6.270962,6.633802,7.130835", \ "5.654479,5.917850,6.112705,6.327590,6.578305,6.933993,7.442548", \ "6.179600,6.363810,6.568926,6.892835,7.242303,7.585999,8.100246", \ "7.230466,7.341117,7.482198,7.757849,8.123057,8.623184,9.155490", \ "8.687573,8.807887,8.931460,9.165224,9.512795,9.962453,10.689230"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.050706,3.421038,3.679328,3.953647,4.053581,4.044007,3.948087", \ "3.020968,3.308313,3.622877,3.922359,4.101782,3.975918,4.187603", \ "2.973586,3.338598,3.588805,3.878763,4.020897,3.924715,3.847287", \ "3.222574,3.478786,3.731523,3.984974,4.034497,3.890342,3.941792", \ "3.805368,4.112750,4.312649,4.464133,4.567570,4.433300,4.592532", \ "4.710316,5.043933,5.268706,5.496598,5.529127,5.505425,5.491166", \ "5.880559,6.313128,6.591964,6.936392,7.100672,6.931775,6.936838"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.303854,5.416407,5.519858,5.596642,5.597104,5.590864,5.549015", \ "5.263986,5.400585,5.472753,5.563573,5.564974,5.630239,5.606105", \ "5.274078,5.396785,5.460432,5.548237,5.587154,5.510459,5.565992", \ "5.471346,5.571222,5.641261,5.716753,5.762305,5.757484,5.626641", \ "5.905100,5.977733,6.015373,6.064630,6.051734,6.073610,6.038250", \ "6.593327,6.618394,6.621520,6.642690,6.612799,6.664733,6.604792", \ "7.551878,7.549823,7.533943,7.519098,7.502332,7.466149,7.477759"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086831,5.295517,5.432930,5.588877,5.660141,5.691645,5.696903", \ "5.017025,5.222059,5.360085,5.505233,5.596894,5.620483,5.629018", \ "5.043791,5.215972,5.380385,5.525865,5.602658,5.637551,5.650099", \ "5.332976,5.547969,5.680933,5.818727,5.904693,5.939612,5.958775", \ "5.822922,5.987272,6.150170,6.363417,6.558691,6.588657,6.607865", \ "6.847395,6.932778,7.015739,7.226184,7.434440,7.630699,7.658996", \ "8.260968,8.335399,8.389121,8.576052,8.781262,8.958005,9.166943"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520346,2.664483,2.691057,2.781431,2.869139,2.767444,2.823309", \ "2.484789,2.609100,2.656377,2.749614,2.812816,2.653773,2.588006", \ "2.424383,2.552738,2.634711,2.695771,2.741248,2.601889,2.585107", \ "2.586112,2.700178,2.771176,2.792361,2.869787,2.930381,2.845388", \ "3.209043,3.293591,3.308250,3.261550,3.268961,3.115408,3.310693", \ "4.071697,4.252721,4.313358,4.341178,4.206637,4.173390,4.181182", \ "5.180054,5.397106,5.602512,5.698858,5.645784,5.561849,5.570036"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.193195,6.329160,6.412927,6.457780,6.517824,6.518219,6.494815", \ "6.148487,6.283376,6.373336,6.424892,6.413508,6.435963,6.381122", \ "6.160878,6.265401,6.364205,6.437275,6.428325,6.459754,6.503683", \ "6.354083,6.453491,6.541544,6.573745,6.630981,6.624061,6.638753", \ "6.774592,6.838606,6.899545,6.924264,6.934705,6.859146,6.998790", \ "7.433074,7.462168,7.459389,7.488247,7.445965,7.502217,7.525431", \ "8.253944,8.272272,8.292521,8.263044,8.231890,8.272018,8.117975"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086848,5.295528,5.432935,5.588933,5.660205,5.691743,5.696896", \ "5.017041,5.222069,5.360090,5.513809,5.596869,5.620611,5.629015", \ "5.043809,5.215981,5.380391,5.519490,5.602112,5.637472,5.650097", \ "5.332997,5.547981,5.680939,5.831417,5.904723,5.939679,5.958773", \ "5.822938,5.987285,6.150180,6.363219,6.562827,6.588646,6.607863", \ "6.847410,6.932790,7.015747,7.213301,7.434345,7.630697,7.658991", \ "8.260981,8.335412,8.389130,8.576436,8.773907,8.958006,9.166942"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520352,2.664488,2.691059,2.757141,2.882272,2.741019,2.823348", \ "2.484795,2.609105,2.656380,2.725495,2.812673,2.626775,2.587995", \ "2.424389,2.552742,2.634715,2.671836,2.716377,2.574963,2.585098", \ "2.586118,2.700182,2.771182,2.767534,2.881385,2.904346,2.667729", \ "3.209031,3.293639,3.308252,3.313136,3.242773,3.087526,3.310691", \ "4.071704,4.252727,4.313362,4.316530,4.180613,4.145919,4.181180", \ "5.180062,5.397112,5.602518,5.671018,5.642047,5.561847,5.570035"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.192806,6.328761,6.412527,6.457353,6.517601,6.517941,6.494110", \ "6.148103,6.282978,6.372944,6.424462,6.413050,6.435344,6.380531", \ "6.134149,6.294360,6.363791,6.436842,6.427852,6.459159,6.503095", \ "6.353719,6.453118,6.541348,6.573332,6.630533,6.623383,6.639847", \ "6.774273,6.838266,6.899184,6.923881,6.934288,6.858683,6.997945", \ "7.432812,7.461924,7.459082,7.487918,7.445597,7.501798,7.524889", \ "8.253731,8.272049,8.292284,8.262790,8.231597,8.271654,8.117532"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086723,5.295421,5.432850,5.588812,5.660099,5.691645,5.696908", \ "5.016920,5.221965,5.360007,5.505166,5.596786,5.620434,5.629019", \ "5.043692,5.215883,5.380310,5.519477,5.604813,5.637619,5.650100", \ "5.332895,5.547891,5.680865,5.818682,5.904706,5.939581,5.958775", \ "5.822857,5.987211,6.150116,6.370411,6.558600,6.588659,6.607867", \ "6.847340,6.932727,7.015695,7.233159,7.434477,7.630696,7.659002", \ "8.260918,8.335353,8.389082,8.578193,8.785305,8.957999,9.166961"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520334,2.664464,2.691037,2.794604,2.869037,2.781974,2.823329", \ "2.484776,2.609082,2.656357,2.762582,2.812859,2.668623,2.588010", \ "2.424371,2.552720,2.634689,2.630264,2.754886,2.616726,2.585109", \ "2.586100,2.700161,2.771156,2.805811,2.869753,2.662984,2.667743", \ "3.209019,3.293578,3.308229,3.275116,3.283385,3.130796,3.310687", \ "4.071674,4.252695,4.313332,4.278545,4.220840,4.188503,4.181175", \ "5.180028,5.397077,5.602480,5.713972,5.661195,5.561841,5.570028"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.192757,6.328659,6.412187,6.457119,6.516895,6.517562,6.493453", \ "6.148062,6.282878,6.372937,6.424228,6.412685,6.435910,6.379838", \ "6.152897,6.264921,6.363644,6.436607,6.427497,6.459805,6.502400", \ "6.353714,6.453041,6.536957,6.573115,6.630174,6.625260,6.622879", \ "6.777143,6.838202,6.899086,6.923645,6.933958,6.858203,7.000245", \ "7.432442,7.461846,7.461663,7.487726,7.445323,7.501322,7.524306", \ "8.253694,8.271968,8.292155,8.262617,8.231320,8.271211,8.116994"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086918,5.295600,5.432991,5.588906,5.660151,5.691668,5.696928", \ "5.017112,5.222132,5.360146,5.505266,5.596830,5.620463,5.629053", \ "5.043877,5.216047,5.380439,5.519566,5.604678,5.637641,5.650132", \ "5.333063,5.548038,5.680992,5.818768,5.904757,5.939627,5.958811", \ "5.822992,5.987328,6.150211,6.369909,6.558657,6.588707,6.607899", \ "6.847452,6.932828,7.015791,7.232655,7.434515,7.630741,7.659047", \ "8.261017,8.335448,8.389177,8.577646,8.785024,8.958029,9.166987"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520351,2.664470,2.691057,2.793543,2.869208,2.780808,2.822759", \ "2.484780,2.609088,2.656375,2.761529,2.812936,2.667432,2.588059", \ "2.424505,2.552729,2.634697,2.629153,2.753766,2.615534,2.585142", \ "2.586544,2.700172,2.771760,2.804718,2.869720,2.663257,2.667783", \ "3.209234,3.293170,3.308263,3.274028,3.282221,3.129573,3.310700", \ "4.071716,4.252726,4.313364,4.278563,4.219697,4.187278,4.181190", \ "5.180081,5.397131,5.602525,5.712772,5.659970,5.561868,5.570048"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.192595,6.328214,6.410988,6.455893,6.514698,6.513169,6.487233", \ "6.147906,6.282435,6.371440,6.422992,6.410495,6.433543,6.373524", \ "6.160779,6.264568,6.362927,6.435345,6.425295,6.463114,6.496018", \ "6.352962,6.452662,6.536254,6.571861,6.627925,6.628382,6.626866", \ "6.775612,6.837826,6.899417,6.922422,6.931759,6.853604,6.993546", \ "7.431449,7.461400,7.480145,7.486486,7.443214,7.497490,7.517772", \ "8.253551,8.271574,8.291423,8.261427,8.229217,8.267413,8.110970"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086876,5.295573,5.432970,5.588905,5.660164,5.691666,5.696902", \ "5.017070,5.222106,5.360125,5.505263,5.596915,5.620504,5.629043", \ "5.043836,5.216016,5.380421,5.525892,5.602680,5.637571,5.650122", \ "5.333016,5.548007,5.680969,5.818758,5.904718,5.939639,5.958802", \ "5.822954,5.987300,6.150191,6.363443,6.558716,6.588683,6.607890", \ "6.847421,6.932804,7.015765,7.226208,7.434460,7.630725,7.659025", \ "8.260983,8.335423,8.389153,8.576082,8.781298,8.958026,9.166985"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520334,2.664457,2.691035,2.781409,2.869276,2.767421,2.822680", \ "2.484766,2.609074,2.656356,2.749592,2.812861,2.653753,2.587995", \ "2.424363,2.552712,2.634685,2.695749,2.741224,2.601865,2.585086", \ "2.586520,2.700155,2.771744,2.792345,2.869725,2.930351,2.667722", \ "3.209235,3.293106,3.308244,3.261534,3.268944,3.115393,3.310673", \ "4.071695,4.252710,4.313346,4.341165,4.206623,4.173374,4.181165", \ "5.180054,5.397101,5.602505,5.698851,5.645776,5.561838,5.570023"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.193035,6.328673,6.411476,6.456391,6.515229,6.514188,6.487855", \ "6.148341,6.282895,6.371929,6.423482,6.411021,6.434228,6.374265", \ "6.152257,6.265013,6.363406,6.435856,6.425871,6.463752,6.496779", \ "6.353397,6.453079,6.536705,6.572320,6.628470,6.629063,6.627240", \ "6.778348,6.838212,6.899834,6.922859,6.932272,6.854156,6.994329", \ "7.431760,7.461735,7.458635,7.486860,7.443624,7.497981,7.518464", \ "8.253778,8.271824,8.291704,8.261717,8.229553,8.267895,8.111484"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086893,5.295583,5.432976,5.588961,5.660232,5.691767,5.696906", \ "5.017086,5.222115,5.360132,5.513836,5.596889,5.620634,5.629044", \ "5.043853,5.216026,5.380427,5.519519,5.602138,5.637495,5.650124", \ "5.333038,5.548020,5.680976,5.831446,5.904753,5.939714,5.958804", \ "5.822972,5.987314,6.150200,6.363246,6.562852,6.588683,6.607891", \ "6.847436,6.932816,7.015775,7.213329,7.434367,7.630728,7.659029", \ "8.260998,8.335436,8.389164,8.576468,8.773935,8.958027,9.166946"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520340,2.664462,2.691042,2.757125,2.882247,2.741014,2.822732", \ "2.484773,2.609079,2.656362,2.725478,2.812720,2.626776,2.588008", \ "2.424371,2.552718,2.634690,2.671818,2.716358,2.574960,2.585098", \ "2.586530,2.700162,2.771752,2.767524,2.881362,2.904321,2.845363", \ "3.209225,3.293159,3.308250,3.313119,3.242764,3.087535,3.310680", \ "4.071704,4.252717,4.313353,4.316521,4.180609,4.145914,4.181172", \ "5.180066,5.397112,5.602513,5.671018,5.642050,5.561845,5.570030"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.193041,6.328677,6.411478,6.456394,6.515547,6.535169,6.487911", \ "6.148346,6.282899,6.371931,6.423485,6.411025,6.434231,6.374263", \ "6.152091,6.265018,6.363408,6.435857,6.425871,6.463751,6.496774", \ "6.353400,6.453084,6.536708,6.572323,6.628470,6.629069,6.627190", \ "6.777690,6.838218,6.899836,6.922861,6.932271,6.854159,6.994374", \ "7.431766,7.461596,7.459889,7.486863,7.443628,7.497985,7.518456", \ "8.253786,8.271830,8.291707,8.261721,8.229557,8.267892,8.111489"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086735,5.295428,5.432853,5.588820,5.660107,5.691657,5.696898", \ "5.016932,5.221972,5.360010,5.505148,5.596883,5.620504,5.629015", \ "5.043705,5.215889,5.380313,5.523914,5.602118,5.637534,5.650097", \ "5.332908,5.547899,5.680869,5.818725,5.904683,5.939621,5.958772", \ "5.822867,5.987219,6.150122,6.363268,6.558690,6.588650,6.607864", \ "6.847348,6.932734,7.015698,7.223241,7.434420,7.630692,7.658994", \ "8.260925,8.335361,8.389086,8.576107,8.779574,8.957999,9.166921"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520337,2.664467,2.691037,2.775920,2.869143,2.761422,2.823321", \ "2.484780,2.609085,2.656357,2.744177,2.812705,2.647624,2.587992", \ "2.424374,2.552722,2.634692,2.690347,2.735601,2.595766,2.585094", \ "2.586101,2.700163,2.771158,2.786772,2.869783,2.924443,2.667726", \ "3.209020,3.293585,3.308229,3.255890,3.262993,3.109056,3.310682", \ "4.071677,4.252699,4.313333,4.335580,4.200755,4.167133,4.181170", \ "5.180032,5.397079,5.602484,5.692540,5.642454,5.561835,5.570024"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.192373,6.328256,6.411766,6.456670,6.516377,6.516490,6.492844", \ "6.147669,6.282474,6.372479,6.423783,6.412189,6.435283,6.379092", \ "6.150329,6.264522,6.363221,6.436152,6.426983,6.459180,6.501651", \ "6.353325,6.452649,6.536542,6.572679,6.629672,6.624605,6.622458", \ "6.779024,6.837828,6.898691,6.923224,6.933475,6.857635,6.999460", \ "7.432127,7.461508,7.461319,7.487344,7.444884,7.500795,7.523616", \ "8.253431,8.271687,8.291853,8.262289,8.230941,8.270721,8.116370"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("5.086931,5.295607,5.432996,5.588907,5.660166,5.691690,5.696933", \ "5.017124,5.222140,5.360152,5.505249,5.596925,5.620536,5.629056", \ "5.043890,5.216055,5.380444,5.523999,5.602172,5.637565,5.650134", \ "5.333078,5.548047,5.680998,5.818821,5.904744,5.939674,5.958813", \ "5.823004,5.987337,6.150218,6.363353,6.558749,6.588711,6.607901", \ "6.847462,6.932836,7.015799,7.223319,7.434467,7.630744,7.659052", \ "8.261026,8.335457,8.389186,8.576188,8.779632,8.958030,9.166947"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("2.520356,2.664474,2.691062,2.775939,2.869301,2.761463,2.822769", \ "2.484784,2.609092,2.656380,2.744192,2.812783,2.647682,2.588073", \ "2.424387,2.552734,2.634701,2.690360,2.735611,2.595819,2.585155", \ "2.586550,2.700177,2.771764,2.786799,2.869751,2.924438,2.667797", \ "3.209236,3.293180,3.308268,3.255922,3.263021,3.109127,3.310707", \ "4.071722,4.252731,4.313368,4.335603,4.200789,4.167164,4.181197", \ "5.180089,5.397139,5.602531,5.692587,5.642508,5.561875,5.570055"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("6.193102,6.328737,6.411534,6.456462,6.515294,6.514186,6.488071", \ "6.148408,6.282958,6.371985,6.423556,6.411112,6.434295,6.374348", \ "6.161817,6.265081,6.363465,6.435915,6.425926,6.463820,6.496839", \ "6.353453,6.453152,6.536768,6.572403,6.628529,6.629109,6.627578", \ "6.773387,6.838284,6.899891,6.922922,6.932326,6.854244,6.994344", \ "7.431821,7.461724,7.458690,7.486923,7.443704,7.498067,7.518709", \ "8.253836,8.271878,8.291748,8.261776,8.229622,8.267929,8.111596"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.051522,4.150197,4.300409,4.474509,4.579251,4.519370,4.563630", \ "4.024191,4.165978,4.272779,4.462603,4.568277,4.579898,4.471334", \ "4.037167,4.132766,4.288745,4.426957,4.499258,4.583516,4.579340", \ "4.134310,4.220972,4.348348,4.500133,4.563872,4.645764,4.624772", \ "4.419752,4.453020,4.569482,4.688836,4.771408,4.793639,4.764246", \ "4.745472,4.790339,4.880430,5.006048,5.038716,5.029095,5.038702", \ "5.217844,5.242924,5.301027,5.360035,5.432376,5.400748,5.419646"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.051453,4.150120,4.300324,4.474409,4.579048,4.624886,4.563416", \ "4.024121,4.165903,4.272694,4.462500,4.568149,4.579731,4.471121", \ "4.036874,4.132688,4.288658,4.426855,4.499130,4.583351,4.579132", \ "4.134238,4.220894,4.348261,4.500031,4.563745,4.645603,4.624565", \ "4.419682,4.452944,4.569399,4.688739,4.771288,4.793485,4.764052", \ "4.745414,4.790275,4.880360,5.005965,5.038610,5.028957,5.038521", \ "5.217806,5.242880,5.300978,5.359973,5.432291,5.400628,5.419481"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.051661,4.150354,4.300580,4.474719,4.579520,4.519714,4.564082", \ "4.024330,4.166142,4.272951,4.462818,4.568551,4.580253,4.471788", \ "4.037027,4.132925,4.288919,4.427168,4.499526,4.583867,4.579789", \ "4.134454,4.221132,4.348528,4.500345,4.564143,4.646112,4.625208", \ "4.419892,4.453174,4.569653,4.689033,4.771659,4.793964,4.764668", \ "4.745590,4.790467,4.880574,5.006220,5.038938,5.029395,5.039091", \ "5.217919,5.243009,5.301125,5.360158,5.432550,5.400998,5.419991"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.954824,4.053254,4.203770,4.376894,4.482147,4.472660,4.468528", \ "3.929312,4.071216,4.180230,4.367867,4.473252,4.485527,4.417461", \ "3.942760,4.058957,4.186522,4.332688,4.404583,4.489517,4.486978", \ "4.036040,4.125719,4.252279,4.406176,4.521590,4.552098,4.532286", \ "4.326676,4.359730,4.476592,4.595080,4.677439,4.681894,4.672567", \ "4.653109,4.698002,4.787502,4.912684,4.944857,4.936344,4.947558", \ "5.125693,5.151360,5.209187,5.267506,5.313092,5.342344,5.328079"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.954883,4.053317,4.203830,4.376969,4.482269,4.481607,4.468675", \ "3.929369,4.071290,4.180293,4.367960,4.473366,4.485661,4.416865", \ "3.942820,4.059028,4.186585,4.332766,4.404675,4.489646,4.487181", \ "4.036090,4.125773,4.252349,4.406258,4.521720,4.552254,4.532437", \ "4.326725,4.359780,4.476664,4.595145,4.677525,4.682008,4.672798", \ "4.653149,4.698052,4.787567,4.912760,4.944946,4.936504,4.947760", \ "5.125718,5.151393,5.209234,5.267556,5.313158,5.342443,5.328200"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.955147,4.053603,4.204136,4.377333,4.482697,4.482552,4.511995", \ "3.929634,4.071586,4.180596,4.368338,4.473832,4.486255,4.418384", \ "3.943090,4.059323,4.186894,4.333134,4.405125,4.490230,4.487934", \ "4.036356,4.126066,4.252674,4.406629,4.522192,4.552844,4.533150", \ "4.326989,4.360066,4.476976,4.595488,4.677948,4.682541,4.673522", \ "4.653375,4.698298,4.787839,4.913071,4.945328,4.937022,4.948428", \ "5.125875,5.151571,5.209433,5.267789,5.313463,5.342871,5.328783"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("4.051457,4.150128,4.300337,4.474432,4.579162,4.519255,4.563491", \ "4.024125,4.165912,4.272708,4.462523,4.568187,4.579788,4.471196", \ "4.036817,4.132696,4.288673,4.426879,4.499170,4.583408,4.579202", \ "4.134245,4.220904,4.348275,4.500055,4.563782,4.645655,4.624640", \ "4.419688,4.452953,4.569411,4.688762,4.771325,4.793538,4.764112", \ "4.745418,4.790280,4.880366,5.005980,5.038641,5.028998,5.038577", \ "5.217804,5.242878,5.300976,5.359980,5.432312,5.400666,5.419536"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.955091,4.053544,4.204081,4.377258,4.482621,4.473192,4.469231", \ "3.929579,4.071516,4.180542,4.368245,4.473713,4.486115,4.418123", \ "3.943033,4.059257,4.186838,4.333052,4.405029,4.490098,4.487730", \ "4.036310,4.126008,4.252600,4.406544,4.522055,4.552689,4.533007", \ "4.326942,4.360013,4.476905,4.595421,4.677858,4.682436,4.673295", \ "4.653331,4.698248,4.787775,4.912993,4.945236,4.936857,4.948220", \ "5.125848,5.151534,5.209384,5.267736,5.313393,5.342771,5.328657"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969231,4.021532,4.110441,4.244512,4.857443,6.515199,6.855775", \ "3.942167,4.031300,4.103678,4.233186,4.847983,6.563986,6.761329", \ "3.953350,4.020965,4.089620,4.200259,4.745505,6.570641,6.877906", \ "4.066078,4.104366,4.171519,4.291040,4.877699,6.655361,6.924585", \ "4.368893,4.390912,4.415076,4.506126,5.168370,6.793028,7.079510", \ "4.709756,4.713750,4.716002,4.823517,5.371846,7.066322,7.381665", \ "5.209478,5.182265,5.169388,5.199305,5.611191,7.458488,7.848394"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969165,4.021424,4.110359,4.244416,4.857349,6.513264,6.855552", \ "3.942101,4.031226,4.103705,4.233025,4.847867,6.563828,6.761123", \ "3.953283,4.020890,4.089538,4.200164,4.745390,6.570484,6.877684", \ "4.066009,4.104291,4.171436,4.290945,4.877585,6.655208,6.924384", \ "4.368828,4.390840,4.414998,4.506036,5.168262,6.792880,7.079323", \ "4.709702,4.713689,4.715935,4.823440,5.371751,7.066192,7.381490", \ "5.209442,5.182224,5.169341,5.199247,5.611116,7.470875,7.848234"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969367,4.021667,4.110607,4.244713,4.857718,6.492552,6.856258", \ "3.942304,4.031455,4.103955,4.233336,4.848239,6.564326,6.761772", \ "3.953490,4.021121,4.089788,4.200460,4.745756,6.570976,6.878397", \ "4.066218,4.104521,4.171691,4.291242,4.877952,6.655696,6.925009", \ "4.369029,4.391061,4.415241,4.506314,5.168602,6.793341,7.079921", \ "4.709871,4.713874,4.716140,4.823681,5.372051,7.066610,7.382045", \ "5.209551,5.182348,5.169482,5.199438,5.611350,7.471220,7.848730"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969218,4.022560,4.110429,4.244503,4.856942,6.501038,6.858191", \ "3.942146,4.031281,4.103048,4.233759,4.847504,6.564619,6.763676", \ "3.953328,4.020948,4.089611,4.200466,4.745058,6.571260,6.873287", \ "4.066054,4.104351,4.171505,4.291022,4.877244,6.656015,6.926950", \ "4.368864,4.390888,4.415066,4.506093,5.167938,6.794896,7.081825", \ "4.709727,4.713722,4.715987,4.823500,5.371441,7.066923,7.383946", \ "5.209440,5.182236,5.169410,5.200742,5.610865,7.472623,7.850491"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969268,4.022618,4.110482,4.244566,4.857025,6.492958,6.858413", \ "3.942196,4.031346,4.103009,4.233890,4.847559,6.564786,6.763958", \ "3.953381,4.021008,4.089662,4.200556,4.745093,6.571418,6.873588", \ "4.066094,4.104397,4.171568,4.291092,4.877306,6.656201,6.927192", \ "4.368908,4.390943,4.415133,4.506147,5.167967,6.795385,7.082143", \ "4.709759,4.713768,4.716043,4.823565,5.371478,7.067102,7.384227", \ "5.209460,5.182266,5.169457,5.201122,5.610888,7.459311,7.850686"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969529,4.022907,4.110786,4.244924,4.857456,6.493563,6.859133", \ "3.942458,4.031639,4.103355,4.234270,4.848025,6.565368,6.764695", \ "3.953648,4.021303,4.089969,4.200918,4.745544,6.571993,6.874326", \ "4.066360,4.104688,4.171889,4.291458,4.877772,6.656782,6.927902", \ "4.369169,4.391228,4.415444,4.506487,5.168393,6.795887,7.082856", \ "4.709984,4.714013,4.716313,4.823874,5.371863,7.067618,7.384888", \ "5.209618,5.182443,5.169657,5.201331,5.611199,7.459727,7.851256"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969166,4.021437,4.110367,4.244429,4.857356,6.521998,6.855627", \ "3.942102,4.031228,4.103691,4.233051,4.847883,6.563869,6.761191", \ "3.953284,4.020893,4.089545,4.200175,4.745409,6.570526,6.877801", \ "4.066012,4.104295,4.171442,4.290956,4.877599,6.655246,6.924453", \ "4.368829,4.390842,4.415002,4.506047,5.168277,6.792923,7.079377", \ "4.709701,4.713689,4.715935,4.823444,5.371760,7.066219,7.381541", \ "5.209437,5.182218,5.169335,5.199250,5.611120,7.470895,7.848284"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.883510,3.767010,7.534020,15.068000,30.136100,60.272200"); values ("3.969475,4.022838,4.110730,4.244854,4.857627,6.521885,6.858876", \ "3.942404,4.031569,4.103282,4.234162,4.847972,6.565197,6.764382", \ "3.953592,4.021236,4.089912,4.200815,4.745512,6.571819,6.873992", \ "4.066314,4.104634,4.171818,4.291384,4.877713,6.656579,6.927619", \ "4.369121,4.391168,4.415372,4.506430,5.168350,6.795296,7.082506", \ "4.709947,4.713963,4.716252,4.823805,5.371830,7.067427,7.384577", \ "5.209596,5.182410,5.169604,5.200876,5.611181,7.473011,7.851038"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.079464,0.083759,0.087956,0.094815,0.106204,0.126126,0.163250", \ "0.080942,0.085239,0.089436,0.096291,0.107682,0.127610,0.164737", \ "0.086064,0.090360,0.094554,0.101408,0.112800,0.132720,0.169852", \ "0.091875,0.096171,0.100371,0.107224,0.118615,0.138538,0.175659", \ "0.096388,0.100680,0.104879,0.111730,0.123118,0.143047,0.180177", \ "0.099352,0.103659,0.107847,0.114708,0.126099,0.146016,0.183132", \ "0.100336,0.104634,0.108829,0.115704,0.127081,0.146989,0.184118"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.075762,0.081576,0.087510,0.097907,0.116959,0.153584,0.226283", \ "0.077250,0.083064,0.088997,0.099392,0.118450,0.155075,0.227771", \ "0.082267,0.088078,0.094012,0.104410,0.123468,0.160090,0.232787", \ "0.087796,0.093615,0.099550,0.109948,0.129002,0.165625,0.238318", \ "0.091888,0.097696,0.103629,0.114020,0.133081,0.169712,0.242411", \ "0.094383,0.100226,0.106163,0.116566,0.135583,0.172202,0.244903", \ "0.094989,0.100816,0.106758,0.117144,0.136105,0.172734,0.245457"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005731,0.007612,0.009668,0.013449,0.020774,0.035382,0.065973", \ "0.005735,0.007613,0.009668,0.013451,0.020773,0.035383,0.065978", \ "0.005732,0.007614,0.009671,0.013453,0.020776,0.035382,0.065986", \ "0.005732,0.007611,0.009671,0.013449,0.020774,0.035379,0.065978", \ "0.005732,0.007607,0.009670,0.013450,0.020774,0.035380,0.065976", \ "0.005732,0.007612,0.009669,0.013450,0.020774,0.035373,0.065963", \ "0.005733,0.007617,0.009671,0.013452,0.020775,0.035376,0.065979"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007096,0.010497,0.014416,0.022273,0.038506,0.072245,0.141146", \ "0.007094,0.010496,0.014419,0.022273,0.038505,0.072245,0.141148", \ "0.007094,0.010499,0.014417,0.022274,0.038504,0.072245,0.141149", \ "0.007100,0.010500,0.014420,0.022276,0.038504,0.072246,0.141144", \ "0.007103,0.010497,0.014422,0.022278,0.038509,0.072246,0.141149", \ "0.007119,0.010515,0.014433,0.022284,0.038508,0.072246,0.141150", \ "0.007144,0.010537,0.014451,0.022294,0.038513,0.072247,0.141150"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159007,0.165490,0.171858,0.182418,0.201248,0.237689,0.310329", \ "0.160519,0.167001,0.173368,0.183928,0.202763,0.239189,0.311838", \ "0.166549,0.173028,0.179389,0.189956,0.208797,0.245217,0.317885", \ "0.176568,0.183051,0.189427,0.199996,0.218841,0.255265,0.327941", \ "0.191541,0.198016,0.204378,0.214950,0.233754,0.270180,0.342821", \ "0.212902,0.219389,0.225743,0.236304,0.255098,0.291467,0.364080", \ "0.239837,0.246396,0.252789,0.263382,0.282183,0.318529,0.391057"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009120,0.012418,0.015921,0.022981,0.038637,0.072390,0.141195", \ "0.009119,0.012419,0.015920,0.022980,0.038634,0.072399,0.141190", \ "0.009119,0.012419,0.015922,0.022983,0.038628,0.072391,0.141197", \ "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ "0.009119,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ "0.009137,0.012438,0.015940,0.022995,0.038641,0.072406,0.141196", \ "0.009359,0.012651,0.016132,0.023120,0.038686,0.072425,0.141207"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159008,0.165490,0.171858,0.182418,0.201248,0.237689,0.310329", \ "0.160519,0.167001,0.173369,0.183928,0.202768,0.239189,0.311839", \ "0.166549,0.173028,0.179389,0.189956,0.208798,0.245217,0.317885", \ "0.176568,0.183051,0.189427,0.199997,0.218838,0.255265,0.327941", \ "0.191541,0.198016,0.204378,0.214950,0.233754,0.270180,0.342821", \ "0.212903,0.219386,0.225744,0.236304,0.255099,0.291467,0.364080", \ "0.239838,0.246396,0.252789,0.263382,0.282183,0.318529,0.391057"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009120,0.012418,0.015921,0.022981,0.038637,0.072390,0.141195", \ "0.009119,0.012419,0.015920,0.022980,0.038634,0.072399,0.141190", \ "0.009119,0.012419,0.015922,0.022983,0.038635,0.072391,0.141197", \ "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ "0.009119,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ "0.009137,0.012438,0.015940,0.022995,0.038641,0.072406,0.141196", \ "0.009359,0.012651,0.016132,0.023120,0.038686,0.072425,0.141207"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159002,0.165485,0.171850,0.182413,0.201243,0.237683,0.310323", \ "0.160514,0.166996,0.173364,0.183924,0.202758,0.239183,0.311832", \ "0.166544,0.173022,0.179384,0.189952,0.208788,0.245211,0.317878", \ "0.176562,0.183045,0.189422,0.199992,0.218831,0.255258,0.327933", \ "0.191537,0.198011,0.204373,0.214941,0.233749,0.270174,0.342813", \ "0.212895,0.219382,0.225739,0.236300,0.255095,0.291463,0.364076", \ "0.239835,0.246392,0.252785,0.263379,0.282179,0.318525,0.391054"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009120,0.012418,0.015920,0.022981,0.038637,0.072391,0.141195", \ "0.009119,0.012419,0.015920,0.022980,0.038633,0.072399,0.141190", \ "0.009119,0.012419,0.015922,0.022982,0.038635,0.072392,0.141197", \ "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ "0.009118,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ "0.009137,0.012438,0.015940,0.022994,0.038640,0.072406,0.141196", \ "0.009359,0.012651,0.016133,0.023120,0.038686,0.072425,0.141207"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159036,0.165517,0.171883,0.182447,0.201284,0.237715,0.310364", \ "0.160540,0.167019,0.173382,0.183948,0.202785,0.239212,0.311863", \ "0.166573,0.173045,0.179411,0.189980,0.208820,0.245248,0.317911", \ "0.176621,0.183105,0.189468,0.200037,0.218880,0.255306,0.327983", \ "0.191612,0.198083,0.204448,0.215010,0.233825,0.270256,0.342895", \ "0.213001,0.219479,0.225837,0.236392,0.255205,0.291584,0.364190", \ "0.239972,0.246505,0.252908,0.263492,0.282322,0.318694,0.391244"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009116,0.012414,0.015918,0.022980,0.038635,0.072389,0.141202", \ "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ "0.009117,0.012415,0.015914,0.022976,0.038639,0.072406,0.141198", \ "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ "0.009358,0.012646,0.016127,0.023117,0.038685,0.072427,0.141206"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159036,0.165518,0.171883,0.182448,0.201284,0.237711,0.310356", \ "0.160540,0.167020,0.173383,0.183949,0.202785,0.239211,0.311861", \ "0.166574,0.173047,0.179411,0.189980,0.208820,0.245248,0.317908", \ "0.176622,0.183106,0.189469,0.200034,0.218880,0.255315,0.327983", \ "0.191610,0.198081,0.204446,0.215010,0.233825,0.270256,0.342895", \ "0.213000,0.219478,0.225835,0.236390,0.255205,0.291582,0.364190", \ "0.239971,0.246504,0.252907,0.263491,0.282325,0.318694,0.391243"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009116,0.012414,0.015917,0.022980,0.038635,0.072404,0.141202", \ "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ "0.009117,0.012415,0.015914,0.022976,0.038639,0.072397,0.141198", \ "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ "0.009358,0.012646,0.016127,0.023119,0.038685,0.072427,0.141206"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159035,0.165516,0.171883,0.182449,0.201283,0.237717,0.310369", \ "0.160539,0.167019,0.173382,0.183949,0.202785,0.239211,0.311863", \ "0.166573,0.173044,0.179410,0.189981,0.208819,0.245248,0.317911", \ "0.176621,0.183104,0.189468,0.200037,0.218879,0.255315,0.327983", \ "0.191612,0.198082,0.204447,0.215013,0.233825,0.270256,0.342895", \ "0.213002,0.219480,0.225837,0.236393,0.255205,0.291582,0.364190", \ "0.239972,0.246506,0.252908,0.263493,0.282325,0.318694,0.391243"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009116,0.012414,0.015915,0.022980,0.038633,0.072407,0.141194", \ "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ "0.009117,0.012415,0.015914,0.022976,0.038639,0.072397,0.141198", \ "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ "0.009358,0.012646,0.016127,0.023119,0.038685,0.072427,0.141206"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159003,0.165486,0.171850,0.182414,0.201244,0.237683,0.310321", \ "0.160515,0.166997,0.173364,0.183923,0.202759,0.239183,0.311831", \ "0.166544,0.173022,0.179384,0.189951,0.208788,0.245214,0.317877", \ "0.176563,0.183045,0.189422,0.199992,0.218831,0.255258,0.327932", \ "0.191537,0.198012,0.204374,0.214940,0.233749,0.270174,0.342813", \ "0.212896,0.219382,0.225739,0.236300,0.255094,0.291463,0.364076", \ "0.239834,0.246393,0.252786,0.263380,0.282180,0.318525,0.391055"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009120,0.012418,0.015920,0.022981,0.038637,0.072391,0.141195", \ "0.009119,0.012419,0.015920,0.022980,0.038633,0.072399,0.141190", \ "0.009119,0.012419,0.015922,0.022982,0.038628,0.072395,0.141197", \ "0.009121,0.012418,0.015918,0.022979,0.038640,0.072397,0.141199", \ "0.009118,0.012417,0.015919,0.022980,0.038636,0.072388,0.141203", \ "0.009137,0.012438,0.015940,0.022994,0.038640,0.072406,0.141196", \ "0.009359,0.012651,0.016133,0.023120,0.038686,0.072425,0.141207"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159036,0.165517,0.171883,0.182447,0.201284,0.237716,0.310364", \ "0.160540,0.167019,0.173382,0.183948,0.202785,0.239211,0.311863", \ "0.166574,0.173045,0.179411,0.189980,0.208820,0.245248,0.317911", \ "0.176621,0.183105,0.189468,0.200034,0.218880,0.255315,0.327981", \ "0.191612,0.198083,0.204446,0.215010,0.233825,0.270260,0.342895", \ "0.213000,0.219478,0.225835,0.236390,0.255205,0.291584,0.364190", \ "0.239971,0.246504,0.252907,0.263490,0.282325,0.318694,0.391243"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009116,0.012414,0.015917,0.022980,0.038635,0.072388,0.141202", \ "0.009116,0.012415,0.015915,0.022976,0.038635,0.072405,0.141190", \ "0.009116,0.012413,0.015918,0.022978,0.038634,0.072393,0.141197", \ "0.009117,0.012415,0.015914,0.022976,0.038639,0.072397,0.141198", \ "0.009116,0.012414,0.015915,0.022980,0.038636,0.072392,0.141203", \ "0.009136,0.012439,0.015937,0.022993,0.038644,0.072408,0.141197", \ "0.009358,0.012646,0.016127,0.023117,0.038685,0.072427,0.141206"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159565,0.165326,0.171173,0.181380,0.200100,0.236646,0.309385", \ "0.160974,0.166737,0.172579,0.182778,0.201493,0.238053,0.310787", \ "0.167197,0.172947,0.178780,0.188985,0.207701,0.244251,0.316987", \ "0.176406,0.182162,0.188002,0.198205,0.216922,0.253456,0.326204", \ "0.186568,0.192317,0.198159,0.208359,0.227085,0.263618,0.336378", \ "0.197961,0.203714,0.209546,0.219734,0.238497,0.275026,0.347767", \ "0.211009,0.216765,0.222590,0.232769,0.251531,0.288077,0.360809"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007041,0.010348,0.014162,0.021863,0.038141,0.072210,0.141129", \ "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007020,0.010329,0.014140,0.021854,0.038134,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ "0.007024,0.010326,0.014136,0.021850,0.038127,0.072181,0.141120", \ "0.007020,0.010327,0.014143,0.021854,0.038133,0.072171,0.141115", \ "0.007018,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159565,0.165327,0.171173,0.181381,0.200099,0.236646,0.309389", \ "0.160974,0.166737,0.172579,0.182779,0.201493,0.238053,0.310787", \ "0.167197,0.172947,0.178781,0.188985,0.207702,0.244251,0.316987", \ "0.176407,0.182163,0.188002,0.198205,0.216922,0.253457,0.326204", \ "0.186568,0.192318,0.198159,0.208359,0.227085,0.263618,0.336378", \ "0.197961,0.203714,0.209546,0.219735,0.238497,0.275027,0.347767", \ "0.211009,0.216766,0.222590,0.232769,0.251532,0.288078,0.360809"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007041,0.010348,0.014162,0.021863,0.038142,0.072210,0.141128", \ "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007019,0.010329,0.014140,0.021854,0.038134,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ "0.007024,0.010326,0.014136,0.021850,0.038127,0.072181,0.141120", \ "0.007020,0.010327,0.014143,0.021854,0.038132,0.072171,0.141115", \ "0.007018,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159560,0.165321,0.171167,0.181375,0.200095,0.236642,0.309381", \ "0.160968,0.166732,0.172574,0.182772,0.201488,0.238048,0.310783", \ "0.167196,0.172941,0.178775,0.188980,0.207697,0.244246,0.316984", \ "0.176401,0.182157,0.187997,0.198201,0.216917,0.253452,0.326200", \ "0.186565,0.192312,0.198155,0.208354,0.227080,0.263614,0.336374", \ "0.197956,0.203709,0.209542,0.219730,0.238493,0.275023,0.347765", \ "0.211004,0.216761,0.222586,0.232765,0.251527,0.288074,0.360806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007041,0.010348,0.014162,0.021863,0.038141,0.072209,0.141129", \ "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007020,0.010328,0.014140,0.021852,0.038134,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141130", \ "0.007023,0.010326,0.014137,0.021850,0.038127,0.072181,0.141120", \ "0.007020,0.010327,0.014143,0.021853,0.038132,0.072171,0.141122", \ "0.007017,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159544,0.165305,0.171151,0.181359,0.200080,0.236627,0.309370", \ "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ "0.176386,0.182143,0.187982,0.198186,0.216905,0.253439,0.326189", \ "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ "0.197943,0.203696,0.209534,0.219717,0.238480,0.275011,0.347755", \ "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072210,0.141131", \ "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ "0.007022,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159544,0.165305,0.171151,0.181359,0.200080,0.236628,0.309369", \ "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ "0.176386,0.182142,0.187982,0.198186,0.216905,0.253439,0.326189", \ "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ "0.197943,0.203696,0.209529,0.219717,0.238480,0.275011,0.347758", \ "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072209,0.141129", \ "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ "0.007023,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159544,0.165305,0.171151,0.181359,0.200079,0.236630,0.309373", \ "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ "0.176386,0.182142,0.187982,0.198186,0.216905,0.253439,0.326189", \ "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ "0.197943,0.203696,0.209529,0.219717,0.238480,0.275011,0.347758", \ "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072201,0.141131", \ "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ "0.007023,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159560,0.165321,0.171167,0.181375,0.200095,0.236641,0.309384", \ "0.160968,0.166732,0.172574,0.182772,0.201488,0.238048,0.310784", \ "0.167197,0.172942,0.178775,0.188980,0.207697,0.244246,0.316984", \ "0.176401,0.182157,0.187997,0.198201,0.216917,0.253452,0.326200", \ "0.186566,0.192313,0.198155,0.208354,0.227080,0.263614,0.336374", \ "0.197957,0.203710,0.209542,0.219730,0.238493,0.275023,0.347764", \ "0.211004,0.216761,0.222586,0.232765,0.251527,0.288074,0.360806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007041,0.010348,0.014162,0.021863,0.038141,0.072210,0.141131", \ "0.007033,0.010338,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007020,0.010328,0.014140,0.021852,0.038134,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141130", \ "0.007022,0.010326,0.014137,0.021850,0.038127,0.072181,0.141120", \ "0.007020,0.010327,0.014143,0.021853,0.038132,0.072171,0.141115", \ "0.007017,0.010322,0.014135,0.021841,0.038110,0.072177,0.141118"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.159544,0.165305,0.171151,0.181359,0.200080,0.236627,0.309369", \ "0.160953,0.166716,0.172558,0.182757,0.201474,0.238035,0.310772", \ "0.167181,0.172926,0.178760,0.188965,0.207683,0.244233,0.316972", \ "0.176386,0.182143,0.187982,0.198186,0.216905,0.253439,0.326189", \ "0.186551,0.192299,0.198141,0.208340,0.227068,0.263601,0.336364", \ "0.197943,0.203696,0.209529,0.219717,0.238480,0.275011,0.347758", \ "0.210992,0.216749,0.222574,0.232753,0.251516,0.288064,0.360797"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007043,0.010348,0.014162,0.021863,0.038142,0.072210,0.141129", \ "0.007032,0.010337,0.014145,0.021857,0.038130,0.072196,0.141131", \ "0.007019,0.010328,0.014140,0.021852,0.038135,0.072183,0.141119", \ "0.007024,0.010326,0.014139,0.021850,0.038135,0.072186,0.141129", \ "0.007021,0.010326,0.014138,0.021850,0.038126,0.072181,0.141120", \ "0.007019,0.010326,0.014143,0.021854,0.038133,0.072170,0.141122", \ "0.007018,0.010322,0.014135,0.021841,0.038110,0.072178,0.141118"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ "0.033046,0.038729,0.044533,0.054726,0.073520,0.110069,0.182851", \ "0.036694,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ "0.006714,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ "0.033046,0.038729,0.044533,0.054726,0.073520,0.110069,0.182851", \ "0.036695,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ "0.006717,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ "0.033046,0.038729,0.044534,0.054726,0.073520,0.110069,0.182851", \ "0.036694,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ "0.006717,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ "0.050344,0.054577,0.058662,0.065317,0.076383,0.095845,0.132812", \ "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013204,0.020216,0.034852,0.065861", \ "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030348,0.034700,0.038950,0.045896,0.057457,0.077706,0.114984", \ "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035779,0.065972", \ "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030348,0.034700,0.038950,0.045896,0.057457,0.077703,0.114984", \ "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035778,0.065972", \ "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030348,0.034700,0.038950,0.045896,0.057456,0.077706,0.114984", \ "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035779,0.065972", \ "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030346,0.034697,0.038946,0.045891,0.057452,0.077700,0.114978", \ "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007712,0.009795,0.013644,0.021129,0.035781,0.065965", \ "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ "0.050344,0.054577,0.058662,0.065320,0.076383,0.095845,0.132812", \ "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013206,0.020216,0.034852,0.065861", \ "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ "0.050344,0.054577,0.058662,0.065318,0.076383,0.095845,0.132812", \ "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013204,0.020216,0.034852,0.065861", \ "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034148,0.038230,0.044877,0.055907,0.075350,0.112317", \ "0.031524,0.035732,0.039813,0.046460,0.057493,0.076938,0.113903", \ "0.037840,0.042034,0.046104,0.052757,0.063795,0.083253,0.120223", \ "0.050345,0.054578,0.058662,0.065323,0.076383,0.095846,0.132813", \ "0.064568,0.069371,0.073954,0.081240,0.092878,0.112627,0.149574", \ "0.079243,0.084532,0.089630,0.097663,0.110174,0.130681,0.167912", \ "0.094843,0.100604,0.106174,0.114943,0.128426,0.149855,0.187515"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031731,0.037414,0.043220,0.053414,0.072202,0.108764,0.181532", \ "0.033046,0.038729,0.044534,0.054726,0.073520,0.110069,0.182851", \ "0.036694,0.042374,0.048171,0.058352,0.077129,0.113689,0.186462", \ "0.042621,0.048384,0.054224,0.064418,0.083169,0.119687,0.192460", \ "0.048991,0.054973,0.060994,0.071348,0.090177,0.126676,0.199390", \ "0.054556,0.060931,0.067281,0.077951,0.096901,0.133359,0.206014", \ "0.058521,0.065362,0.072212,0.083445,0.102797,0.139351,0.211950"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005614,0.007382,0.009338,0.012986,0.020097,0.034807,0.065843", \ "0.005613,0.007382,0.009341,0.012990,0.020105,0.034808,0.065842", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013203,0.020216,0.034852,0.065861", \ "0.008104,0.009755,0.011533,0.014837,0.021365,0.035350,0.065949", \ "0.010079,0.011821,0.013658,0.016940,0.023229,0.036617,0.066395", \ "0.012135,0.013969,0.015893,0.019257,0.025410,0.038188,0.067133"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006731,0.010074,0.013929,0.021714,0.038076,0.072242,0.141333", \ "0.006724,0.010069,0.013934,0.021715,0.038085,0.072240,0.141334", \ "0.006714,0.010067,0.013926,0.021709,0.038087,0.072244,0.141334", \ "0.006992,0.010325,0.014143,0.021833,0.038110,0.072227,0.141342", \ "0.007633,0.010991,0.014765,0.022327,0.038386,0.072312,0.141335", \ "0.008741,0.012143,0.015846,0.023142,0.038810,0.072446,0.141378", \ "0.010179,0.013716,0.017469,0.024517,0.039682,0.072824,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.029940,0.034147,0.038229,0.044878,0.055906,0.075350,0.112316", \ "0.031523,0.035732,0.039813,0.046459,0.057492,0.076938,0.113903", \ "0.037840,0.042033,0.046103,0.052756,0.063794,0.083252,0.120222", \ "0.050344,0.054577,0.058662,0.065318,0.076383,0.095845,0.132812", \ "0.064567,0.069365,0.073953,0.081233,0.092877,0.112626,0.149573", \ "0.079247,0.084539,0.089634,0.097659,0.110163,0.130666,0.167898", \ "0.094861,0.100620,0.106189,0.114949,0.128425,0.149864,0.187522"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.031734,0.037418,0.043224,0.053416,0.072208,0.108768,0.181540", \ "0.033048,0.038729,0.044537,0.054728,0.073521,0.110084,0.182854", \ "0.036692,0.042370,0.048169,0.058349,0.077126,0.113688,0.186467", \ "0.042625,0.048385,0.054227,0.064421,0.083170,0.119686,0.192459", \ "0.048994,0.054977,0.060997,0.071351,0.090177,0.126683,0.199395", \ "0.054560,0.060934,0.067286,0.077953,0.096907,0.133377,0.206025", \ "0.058526,0.065369,0.072219,0.083452,0.102807,0.139361,0.211953"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005609,0.007382,0.009340,0.012985,0.020097,0.034807,0.065843", \ "0.005610,0.007380,0.009341,0.012990,0.020104,0.034808,0.065843", \ "0.005610,0.007386,0.009350,0.012992,0.020103,0.034801,0.065847", \ "0.006195,0.007816,0.009660,0.013204,0.020216,0.034852,0.065861", \ "0.008105,0.009757,0.011529,0.014838,0.021366,0.035350,0.065949", \ "0.010081,0.011820,0.013657,0.016939,0.023228,0.036616,0.066393", \ "0.012133,0.013966,0.015891,0.019257,0.025411,0.038186,0.067137"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006725,0.010074,0.013928,0.021711,0.038083,0.072233,0.141355", \ "0.006724,0.010072,0.013932,0.021715,0.038088,0.072239,0.141364", \ "0.006717,0.010068,0.013928,0.021708,0.038086,0.072244,0.141354", \ "0.006992,0.010326,0.014143,0.021834,0.038110,0.072227,0.141339", \ "0.007633,0.010992,0.014764,0.022327,0.038386,0.072312,0.141336", \ "0.008740,0.012140,0.015845,0.023143,0.038810,0.072448,0.141379", \ "0.010179,0.013714,0.017468,0.024516,0.039684,0.072823,0.141510"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030346,0.034697,0.038946,0.045891,0.057451,0.077701,0.114978", \ "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007712,0.009795,0.013644,0.021130,0.035774,0.065965", \ "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030346,0.034697,0.038946,0.045891,0.057452,0.077701,0.114978", \ "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007712,0.009795,0.013644,0.021129,0.035774,0.065963", \ "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030348,0.034700,0.038950,0.045896,0.057456,0.077706,0.114984", \ "0.031937,0.036287,0.040536,0.047480,0.059043,0.079294,0.116571", \ "0.038254,0.042593,0.046835,0.053777,0.065347,0.085600,0.122880", \ "0.050835,0.055200,0.059441,0.066394,0.077986,0.098244,0.135504", \ "0.065303,0.070274,0.075055,0.082665,0.094856,0.115365,0.152542", \ "0.080247,0.085760,0.091088,0.099530,0.112751,0.134132,0.171370", \ "0.096195,0.102180,0.108012,0.117250,0.131573,0.153966,0.191288"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007716,0.009795,0.013644,0.021131,0.035779,0.065971", \ "0.005846,0.007713,0.009794,0.013643,0.021129,0.035774,0.065966", \ "0.005838,0.007723,0.009799,0.013650,0.021130,0.035773,0.065958", \ "0.006419,0.008132,0.010106,0.013854,0.021245,0.035799,0.065970", \ "0.008453,0.010212,0.012107,0.015592,0.022432,0.036211,0.065979", \ "0.010575,0.012452,0.014435,0.017948,0.024596,0.037502,0.066186", \ "0.012779,0.014758,0.016842,0.020485,0.027061,0.039018,0.066471"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030346,0.034697,0.038946,0.045891,0.057451,0.077700,0.114978", \ "0.031932,0.036283,0.040535,0.047475,0.059038,0.079287,0.116564", \ "0.038247,0.042583,0.046826,0.053765,0.065335,0.085589,0.122874", \ "0.050830,0.055192,0.059438,0.066393,0.077982,0.098240,0.135504", \ "0.065300,0.070268,0.075048,0.082658,0.094850,0.115363,0.152537", \ "0.080254,0.085755,0.091083,0.099525,0.112746,0.134122,0.171363", \ "0.096181,0.102170,0.108000,0.117232,0.131579,0.153969,0.191289"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005841,0.007712,0.009795,0.013644,0.021130,0.035781,0.065965", \ "0.005847,0.007713,0.009797,0.013647,0.021131,0.035778,0.065961", \ "0.005838,0.007721,0.009801,0.013649,0.021130,0.035773,0.065971", \ "0.006420,0.008132,0.010106,0.013853,0.021243,0.035799,0.065970", \ "0.008454,0.010210,0.012108,0.015592,0.022433,0.036209,0.065980", \ "0.010573,0.012452,0.014435,0.017948,0.024596,0.037502,0.066183", \ "0.012783,0.014758,0.016843,0.020486,0.027061,0.039013,0.066473"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ "0.148690,0.154410,0.160225,0.170378,0.189109,0.225608,0.298346", \ "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ "0.006994,0.010284,0.014097,0.021816,0.038096,0.072175,0.141310", \ "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ "0.148690,0.154410,0.160223,0.170378,0.189109,0.225608,0.298346", \ "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ "0.006994,0.010284,0.014095,0.021816,0.038096,0.072175,0.141310", \ "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ "0.148690,0.154410,0.160225,0.170378,0.189109,0.225608,0.298346", \ "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ "0.006994,0.010284,0.014097,0.021816,0.038096,0.072175,0.141310", \ "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ "0.148699,0.154419,0.160232,0.170387,0.189117,0.225616,0.298354", \ "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ "0.006994,0.010284,0.014094,0.021816,0.038097,0.072176,0.141309", \ "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153695,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035480,0.066104", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ "0.012731,0.014651,0.016700,0.020273,0.026693,0.039218,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077402,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035477,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ "0.012731,0.014651,0.016700,0.020273,0.026693,0.039214,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035482,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ "0.012731,0.014651,0.016700,0.020273,0.026693,0.039214,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035478,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022158,0.036007,0.066232", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ "0.148699,0.154419,0.160234,0.170387,0.189117,0.225616,0.298354", \ "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ "0.006994,0.010284,0.014096,0.021816,0.038097,0.072176,0.141309", \ "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ "0.148699,0.154419,0.160234,0.170387,0.189117,0.225616,0.298354", \ "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ "0.006994,0.010284,0.014096,0.021816,0.038097,0.072176,0.141309", \ "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056034,0.075482,0.112432", \ "0.031661,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050478,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144322,0.150044,0.155847,0.166029,0.184762,0.221233,0.293984", \ "0.145608,0.151332,0.157142,0.167304,0.186038,0.222520,0.295269", \ "0.148690,0.154410,0.160223,0.170378,0.189109,0.225608,0.298346", \ "0.153774,0.159497,0.165303,0.175466,0.194204,0.230694,0.303435", \ "0.160987,0.166707,0.172514,0.182692,0.201511,0.238012,0.310752", \ "0.169640,0.175368,0.181164,0.191273,0.209945,0.246432,0.319179", \ "0.178762,0.184606,0.190480,0.200794,0.219533,0.256028,0.328773"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006167,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025399,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021815,0.038093,0.072193,0.141299", \ "0.006985,0.010286,0.014099,0.021818,0.038094,0.072167,0.141301", \ "0.006994,0.010284,0.014095,0.021816,0.038096,0.072175,0.141310", \ "0.006996,0.010288,0.014100,0.021814,0.038100,0.072173,0.141304", \ "0.006987,0.010288,0.014101,0.021812,0.038095,0.072194,0.141299", \ "0.006989,0.010282,0.014092,0.021814,0.038100,0.072202,0.141299", \ "0.007208,0.010541,0.014350,0.022028,0.038223,0.072198,0.141315"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030077,0.034275,0.038352,0.044998,0.056035,0.075482,0.112432", \ "0.031662,0.035859,0.039938,0.046586,0.057625,0.077073,0.114020", \ "0.037971,0.042156,0.046224,0.052874,0.063918,0.083374,0.120326", \ "0.050477,0.054698,0.058776,0.065442,0.076507,0.095977,0.132922", \ "0.064741,0.069519,0.074094,0.081374,0.093008,0.112767,0.149713", \ "0.079444,0.084715,0.089801,0.097797,0.110314,0.130822,0.168062", \ "0.095069,0.100796,0.106339,0.115097,0.128559,0.150003,0.187660"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.144331,0.150052,0.155861,0.166037,0.184770,0.221248,0.293992", \ "0.145627,0.151346,0.157151,0.167317,0.186044,0.222527,0.295278", \ "0.148699,0.154419,0.160232,0.170387,0.189117,0.225616,0.298354", \ "0.153788,0.159513,0.165318,0.175476,0.194213,0.230701,0.303445", \ "0.160998,0.166718,0.172525,0.182703,0.201523,0.238023,0.310763", \ "0.169614,0.175374,0.181177,0.191291,0.209960,0.246445,0.319191", \ "0.178852,0.184682,0.190496,0.200817,0.219549,0.256047,0.328794"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005605,0.007370,0.009332,0.012990,0.020105,0.034796,0.065855", \ "0.005599,0.007370,0.009331,0.012991,0.020100,0.034796,0.065854", \ "0.005603,0.007375,0.009342,0.012998,0.020102,0.034797,0.065865", \ "0.006170,0.007788,0.009643,0.013206,0.020224,0.034845,0.065888", \ "0.008064,0.009714,0.011502,0.014825,0.021361,0.035346,0.065992", \ "0.010033,0.011768,0.013608,0.016914,0.023218,0.036622,0.066440", \ "0.012075,0.013902,0.015831,0.019209,0.025400,0.038195,0.067140"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006990,0.010289,0.014103,0.021814,0.038094,0.072191,0.141298", \ "0.006986,0.010287,0.014095,0.021818,0.038103,0.072167,0.141301", \ "0.006994,0.010284,0.014094,0.021816,0.038097,0.072176,0.141309", \ "0.006995,0.010288,0.014099,0.021813,0.038099,0.072174,0.141304", \ "0.006987,0.010288,0.014102,0.021812,0.038095,0.072195,0.141298", \ "0.006989,0.010282,0.014092,0.021814,0.038099,0.072202,0.141299", \ "0.007201,0.010541,0.014352,0.022028,0.038223,0.072202,0.141330"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035482,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022158,0.036007,0.066232", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077403,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035482,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022158,0.036007,0.066232", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057411,0.077402,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013544,0.020876,0.035477,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066233", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066727", \ "0.012731,0.014651,0.016700,0.020273,0.026693,0.039214,0.067519"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.030476,0.034827,0.039063,0.045961,0.057408,0.077403,0.114582", \ "0.032062,0.036414,0.040648,0.047549,0.058998,0.078991,0.116175", \ "0.038369,0.042709,0.046937,0.053839,0.065294,0.085292,0.122482", \ "0.050970,0.055323,0.059557,0.066469,0.077941,0.097949,0.135137", \ "0.065490,0.070442,0.075189,0.082746,0.094805,0.115088,0.152267", \ "0.080496,0.085961,0.091248,0.099617,0.112661,0.133794,0.171318", \ "0.096442,0.102406,0.108197,0.117353,0.131494,0.153690,0.191698"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005852,0.007717,0.009761,0.013545,0.020876,0.035479,0.066103", \ "0.005852,0.007718,0.009761,0.013547,0.020876,0.035474,0.066099", \ "0.005850,0.007722,0.009768,0.013550,0.020877,0.035474,0.066100", \ "0.006412,0.008115,0.010062,0.013746,0.020989,0.035521,0.066120", \ "0.008443,0.010165,0.012023,0.015447,0.022157,0.036007,0.066232", \ "0.010547,0.012379,0.014307,0.017754,0.024246,0.037424,0.066728", \ "0.012731,0.014651,0.016700,0.020273,0.026692,0.039214,0.067519"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.785075,5.822449,5.859028,5.891411,5.922877,5.929414,5.935479", \ "5.774524,5.809661,5.849345,5.881578,5.912780,5.919711,5.920500", \ "5.767397,5.813754,5.844645,5.877274,5.894351,5.926020,5.940814", \ "5.816286,5.873955,5.900682,5.938587,5.949720,5.996556,5.990082", \ "5.986930,6.033781,6.064075,6.089327,6.114982,6.164198,6.085668", \ "6.309440,6.337812,6.377882,6.384176,6.427922,6.393860,6.489165", \ "6.752921,6.812133,6.839818,6.872869,6.895214,6.901209,6.845310"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.717741,5.786417,5.842955,5.958524,6.057664,6.048684,6.136542", \ "5.708315,5.773035,5.837615,5.969263,6.063435,6.040161,6.127071", \ "5.698581,5.763856,5.804111,5.952693,6.045775,6.095194,6.115730", \ "5.755813,5.822654,5.874592,6.007662,6.113350,6.117416,6.175638", \ "5.919544,5.984484,6.045729,6.181675,6.279000,6.307865,6.294673", \ "6.237191,6.302717,6.361280,6.489941,6.568194,6.612568,6.580226", \ "6.700591,6.767215,6.826796,6.967382,7.057566,7.084452,7.054815"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.609351,4.737922,4.819090,4.893209,4.886915,4.866448,4.795407", \ "4.586985,4.725735,4.793103,4.881037,4.874865,4.927637,4.874268", \ "4.590505,4.718697,4.810798,4.858806,4.891045,4.943857,4.826913", \ "4.709677,4.786940,4.872862,4.957487,4.984240,4.974873,4.975053", \ "4.972832,5.037500,5.077090,5.123491,5.112253,5.078183,5.006178", \ "5.380127,5.394616,5.429855,5.426429,5.463320,5.428758,5.340629", \ "5.937904,5.944152,5.926856,5.910235,5.888158,5.839531,5.824795"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.608945,4.737505,4.818649,4.892743,4.886401,4.865861,4.794759", \ "4.586584,4.725304,4.792660,4.880575,4.874234,4.927061,4.873622", \ "4.590109,4.718282,4.810360,4.858345,4.890573,4.943293,4.826276", \ "4.709284,4.786540,4.872443,4.957047,4.983855,4.974360,4.974439", \ "4.972471,5.037130,5.076698,5.123078,5.111779,5.077669,5.005580", \ "5.379816,5.394369,5.429503,5.426057,5.462908,5.428285,5.340064", \ "5.937633,5.943865,5.926552,5.909920,5.887803,5.839114,5.824283"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.609152,4.737950,4.818668,4.892943,4.886664,4.866119,4.795014", \ "4.586605,4.725422,4.792868,4.880756,4.874582,4.927296,4.873927", \ "4.590118,4.718240,4.810565,4.858533,4.890921,4.943397,4.826611", \ "4.709502,4.786785,4.872647,4.957250,4.984105,4.973040,4.974798", \ "4.972645,5.037187,5.076912,5.123422,5.112346,5.077939,5.005955", \ "5.379815,5.394568,5.429685,5.426238,5.463086,5.428505,5.340312", \ "5.937760,5.944153,5.928598,5.910091,5.887988,5.839310,5.824516"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.306117,5.414121,5.515699,5.588889,5.581063,5.560119,5.626384", \ "5.263410,5.398181,5.468600,5.556071,5.552869,5.600001,5.545805", \ "5.273573,5.405415,5.493153,5.561309,5.571861,5.623165,5.505125", \ "5.473628,5.588922,5.636958,5.721087,5.747362,5.725762,5.735945", \ "5.906726,5.974736,6.011078,6.063084,6.042251,6.022297,6.105872", \ "6.592502,6.616788,6.638468,6.634593,6.669690,6.633736,6.543905", \ "7.551667,7.543781,7.529477,7.510883,7.487750,7.435655,7.417062"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.306576,5.414571,5.516194,5.589392,5.581632,5.584087,5.658373", \ "5.263864,5.398670,5.469084,5.556561,5.553367,5.600698,5.546642", \ "5.274022,5.395238,5.493633,5.561856,5.572453,5.623845,5.505946", \ "5.474048,5.589336,5.637392,5.721664,5.747913,5.727381,5.736641", \ "5.907170,5.975132,6.011574,6.063544,6.042757,6.022876,6.106664", \ "6.592840,6.617113,6.638919,6.635051,6.670145,6.634311,6.544599", \ "7.552014,7.544144,7.529881,7.511285,7.487216,7.436166,7.417644"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.306611,5.414610,5.516148,5.589378,5.581970,5.560619,5.488266", \ "5.263859,5.398669,5.469120,5.556547,5.553506,5.600692,5.546579", \ "5.274014,5.405886,5.493671,5.561871,5.572478,5.623843,5.505878", \ "5.474093,5.589384,5.637441,5.721566,5.747949,5.727375,5.736642", \ "5.907119,5.975155,6.011535,6.063440,6.042768,6.022879,6.106652", \ "6.592864,6.617193,6.638848,6.634981,6.670161,6.634312,6.544594", \ "7.551973,7.544065,7.529824,7.511244,7.487227,7.436170,7.417658"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.608746,4.737397,4.818234,4.892476,4.886069,4.865492,4.794277", \ "4.586220,4.725021,4.792432,4.880308,4.874040,4.926672,4.873181", \ "4.589730,4.717834,4.810125,4.858085,4.890363,4.954830,4.825871", \ "4.709107,4.786376,4.872239,4.956796,4.983603,4.972359,4.974080", \ "4.972286,5.036794,5.076467,5.122987,5.111883,5.077352,5.005188", \ "5.379466,5.394191,5.429295,5.425825,5.462624,5.427953,5.339605", \ "5.937465,5.943765,5.928201,5.909688,5.887531,5.838787,5.823822"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.306645,5.414657,5.516266,5.589482,5.581687,5.560850,5.626916", \ "5.263927,5.398723,5.469163,5.556658,5.553448,5.600750,5.546655", \ "5.274085,5.405950,5.493711,5.561899,5.572508,5.623900,5.505962", \ "5.474128,5.589430,5.637489,5.721740,5.747969,5.727428,5.736820", \ "5.907185,5.975220,6.011636,6.063604,6.042823,6.022497,6.106680", \ "6.592904,6.617179,6.638971,6.635120,6.670220,6.634346,6.544654", \ "7.552052,7.544202,7.529929,7.511324,7.487292,7.436217,7.417745"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.180974,6.327600,6.393312,6.450514,6.503427,6.488121,6.434158", \ "6.151383,6.281741,6.372924,6.417753,6.483706,6.461952,6.491033", \ "6.160795,6.263536,6.360791,6.441539,6.484840,6.399922,6.443572", \ "6.354056,6.451688,6.533923,6.566495,6.616491,6.659155,6.533058", \ "6.774574,6.836645,6.901724,6.916865,6.919847,6.970430,6.966068", \ "7.433074,7.460121,7.455332,7.480832,7.502693,7.472216,7.466074", \ "8.257282,8.269720,8.288476,8.255519,8.274853,8.242249,8.228443"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.180598,6.327201,6.392909,6.450087,6.503079,6.487741,6.433476", \ "6.151000,6.281344,6.372509,6.417324,6.483285,6.461283,6.490437", \ "6.137355,6.263137,6.360386,6.440848,6.484365,6.399405,6.442983", \ "6.353692,6.451315,6.533524,6.566081,6.616043,6.658608,6.532494", \ "6.774255,6.836293,6.901207,6.916481,6.919430,6.969960,6.965164", \ "7.432812,7.459820,7.455024,7.480503,7.502354,7.471797,7.465565", \ "8.257065,8.269496,8.288239,8.255264,8.274552,8.241884,8.228007"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.180417,6.327095,6.392660,6.479696,6.502499,6.486797,6.432814", \ "6.150964,6.281245,6.372595,6.417108,6.482479,6.462375,6.489731", \ "6.153488,6.263061,6.360240,6.429611,6.483987,6.398878,6.442294", \ "6.353683,6.451245,6.533382,6.565887,6.615687,6.658597,6.531852", \ "6.777526,6.836218,6.895392,6.916276,6.919121,6.969461,6.968049", \ "7.432441,7.459745,7.454913,7.480316,7.502045,7.471340,7.464837", \ "8.257022,8.269430,8.288118,8.255093,8.274355,8.241444,8.227453"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.191828,6.326653,6.391337,6.448654,6.500272,6.489022,6.426574", \ "6.150819,6.280808,6.371066,6.415883,6.479470,6.459792,6.483322", \ "6.160977,6.262692,6.359533,6.428359,6.481681,6.395060,6.435937", \ "6.352937,6.450868,6.532671,6.564694,6.613451,6.656134,6.525629", \ "6.775990,6.835841,6.894676,6.915055,6.916999,6.965613,6.961258", \ "7.431445,7.459313,7.454424,7.479116,7.499858,7.467588,7.458575", \ "8.256839,8.269031,8.287391,8.253911,8.272449,8.237567,8.221338"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.192259,6.327112,6.391822,6.449151,6.500871,6.521745,6.427232", \ "6.151253,6.281267,6.371549,6.416370,6.480013,6.460519,6.484093", \ "6.152533,6.263136,6.360011,6.428868,6.482275,6.395686,6.436684", \ "6.353370,6.451285,6.533121,6.565150,6.613993,6.656814,6.526278", \ "6.778725,6.836226,6.895094,6.915491,6.917507,6.966198,6.962037", \ "7.431755,7.459647,7.454660,7.479488,7.500281,7.468072,7.459034", \ "8.257097,8.269281,8.287671,8.254200,8.272797,8.238043,8.221879"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.192265,6.327116,6.391824,6.449154,6.501020,6.508943,6.427456", \ "6.151259,6.281270,6.371550,6.416374,6.480015,6.460524,6.484088", \ "6.152363,6.263141,6.360013,6.428869,6.482272,6.395687,6.436681", \ "6.353374,6.451291,6.533124,6.565154,6.613992,6.656808,6.526284", \ "6.778066,6.836231,6.895097,6.915492,6.917507,6.966198,6.962098", \ "7.431761,7.459652,7.454663,7.479491,7.500262,7.468076,7.459027", \ "8.257103,8.269286,8.287674,8.254203,8.272800,8.238040,8.221881"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.180036,6.326692,6.392238,6.479271,6.501982,6.486414,6.432088", \ "6.150572,6.280842,6.372159,6.416663,6.481987,6.461734,6.488979", \ "6.150890,6.262662,6.359816,6.429156,6.483468,6.398279,6.441545", \ "6.353295,6.450853,6.532968,6.565451,6.615185,6.657977,6.531129", \ "6.779415,6.835844,6.894997,6.915855,6.918638,6.968887,6.967251", \ "7.432126,7.459406,7.454558,7.479934,7.501609,7.470813,7.464265", \ "8.256751,8.269148,8.287816,8.254766,8.273972,8.240955,8.226824"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("6.192328,6.327177,6.391883,6.449223,6.500867,6.483865,6.427452", \ "6.151320,6.281330,6.371607,6.416447,6.480090,6.460580,6.484154", \ "6.152403,6.263205,6.360070,6.428929,6.482318,6.395768,6.436756", \ "6.353427,6.451359,6.533185,6.565235,6.614054,6.656846,6.526407", \ "6.773637,6.836295,6.895152,6.915556,6.917565,6.966264,6.962059", \ "7.431817,7.459704,7.454715,7.479552,7.500350,7.468164,7.459074", \ "8.257137,8.269335,8.287715,8.254260,8.272857,8.238083,8.221970"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.558346,3.805283,4.001534,4.246351,4.401263,4.454238,4.465985", \ "3.532554,3.776748,3.974507,4.210883,4.374134,4.427707,4.440282", \ "3.531793,3.744785,3.969253,4.200855,4.363848,4.420557,4.435953", \ "3.704701,3.903247,4.077067,4.315847,4.477115,4.528141,4.548016", \ "3.953291,4.119286,4.301562,4.566372,4.763387,4.816360,4.830007", \ "4.493412,4.572458,4.680297,4.920280,5.157814,5.299626,5.306840", \ "5.304378,5.320815,5.377545,5.518393,5.747789,5.902546,5.995136"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.414695,2.657423,2.790571,2.883364,2.902842,3.007982,2.877175", \ "2.364301,2.622991,2.711597,2.825199,2.825142,2.933635,2.804543", \ "2.363694,2.606556,2.729575,2.799778,2.816380,2.964098,2.818263", \ "2.411735,2.629751,2.763257,2.801575,2.919096,2.825593,2.757345", \ "2.622549,2.787681,2.913029,2.988907,2.892352,2.985885,2.976626", \ "2.979487,3.197850,3.308139,3.342987,3.357823,3.255042,3.140626", \ "3.549023,3.754373,3.895883,3.975907,3.969816,3.935061,3.892708"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.558358,3.805293,4.001541,4.246354,4.401263,4.454237,4.465980", \ "3.532567,3.776758,3.974513,4.210886,4.374133,4.427706,4.440278", \ "3.531805,3.744794,3.969259,4.200857,4.363847,4.420555,4.435947", \ "3.704714,3.903256,4.077073,4.315850,4.477115,4.528137,4.548012", \ "3.953301,4.119295,4.301569,4.566376,4.763387,4.816356,4.829999", \ "4.493420,4.572465,4.680302,4.920285,5.157815,5.299625,5.306837", \ "5.304385,5.320821,5.377551,5.518396,5.747789,5.902544,5.995132"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.414702,2.657428,2.790580,2.883367,2.902842,3.007984,2.877168", \ "2.364306,2.622996,2.711600,2.825202,2.825139,2.933634,2.804534", \ "2.363850,2.606563,2.729580,2.799781,2.816379,2.964101,2.818257", \ "2.411741,2.629757,2.763262,2.801577,2.919100,2.825590,2.757336", \ "2.622555,2.787684,2.913032,2.988911,2.892349,2.985884,2.976623", \ "2.979489,3.197855,3.308142,3.342989,3.357825,3.255040,3.140618", \ "3.549028,3.754379,3.895887,3.975910,3.969817,3.935064,3.892711"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.558310,3.805247,4.001502,4.246326,4.401253,4.454238,4.465989", \ "3.532517,3.776712,3.974475,4.210859,4.374122,4.427706,4.440285", \ "3.531757,3.744751,3.969220,4.200830,4.363837,4.420558,4.435958", \ "3.704671,3.903216,4.077038,4.315824,4.477103,4.528142,4.548020", \ "3.953268,4.119262,4.301538,4.566352,4.763375,4.816362,4.830014", \ "4.493393,4.572439,4.680278,4.920261,5.157802,5.299623,5.306841", \ "5.304360,5.320798,5.377528,5.518379,5.747780,5.902544,5.995140"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.414683,2.657403,2.790550,2.883340,2.902827,3.007970,2.877173", \ "2.364290,2.622971,2.711576,2.825176,2.825128,2.933626,2.804543", \ "2.363619,2.606537,2.729552,2.799756,2.816365,2.964083,2.818261", \ "2.411722,2.629733,2.763233,2.801553,2.919077,2.825587,2.757345", \ "2.622537,2.787665,2.913008,2.988885,2.892340,2.985877,2.976621", \ "2.979476,3.197832,3.308118,3.342967,3.357806,3.255033,3.140626", \ "3.549009,3.754355,3.895861,3.975885,3.969798,3.935047,3.892697"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.539203,3.783653,3.980139,4.225391,4.380828,4.434024,4.446619", \ "3.511885,3.729962,3.952631,4.189283,4.352517,4.406410,4.419315", \ "3.509399,3.722479,3.947119,4.178912,4.342153,4.398933,4.414407", \ "3.682533,3.881189,4.055093,4.293806,4.455544,4.506691,4.526639", \ "3.931561,4.095282,4.292863,4.544541,4.747818,4.795020,4.808704", \ "4.475463,4.550379,4.657932,4.892521,5.139166,5.276681,5.286202", \ "5.280378,5.298063,5.354746,5.500293,5.725991,5.882545,5.974043"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.423949,2.634183,2.793392,2.891660,2.956045,3.017535,2.881861", \ "2.373872,2.634383,2.764821,2.841352,2.922071,2.942319,2.811129", \ "2.372947,2.614116,2.738442,2.808293,2.905194,2.930184,2.824196", \ "2.421181,2.642197,2.771974,2.809863,2.925507,2.834227,2.766003", \ "2.631405,2.803725,2.950601,2.997831,2.900866,3.070297,2.984895", \ "2.997322,3.185694,3.316578,3.358181,3.366056,3.276647,3.149127", \ "3.558321,3.762691,3.904167,4.010831,3.933638,3.942710,3.901037"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.030431,4.175899,4.307994,4.466916,4.565041,4.588976,4.503394", \ "4.027587,4.164427,4.269453,4.455907,4.554108,4.550372,4.581321", \ "4.037175,4.134484,4.277336,4.458769,4.556299,4.583191,4.519610", \ "4.134244,4.225198,4.342226,4.493149,4.552208,4.616598,4.669852", \ "4.419777,4.452065,4.565961,4.681776,4.757105,4.761332,4.704409", \ "4.749064,4.788356,4.882311,5.003151,5.024128,5.058731,4.979168", \ "5.210473,5.240811,5.297108,5.392985,5.415702,5.371526,5.404700"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.030362,4.175828,4.307926,4.466815,4.583672,4.579934,4.503177", \ "4.027517,4.164350,4.269368,4.455805,4.553979,4.550204,4.581109", \ "4.036884,4.134395,4.277250,4.458667,4.556171,4.583021,4.519400", \ "4.134171,4.225119,4.342139,4.493047,4.552081,4.616436,4.669644", \ "4.419707,4.451989,4.565878,4.681679,4.756985,4.761178,4.704213", \ "4.749006,4.788292,4.882240,5.003067,5.024021,5.058592,4.978985", \ "5.210434,5.240768,5.297058,5.392922,5.415617,5.371405,5.404532"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.030559,4.176059,4.308196,4.467126,4.579254,4.588427,4.503847", \ "4.027726,4.164586,4.269625,4.456123,4.554381,4.550728,4.581777", \ "4.037037,4.134632,4.277510,4.458983,4.556570,4.583535,4.520061", \ "4.134387,4.225358,4.342405,4.493361,4.552473,4.616947,4.670292", \ "4.419917,4.452218,4.566132,4.681974,4.757357,4.761658,4.704834", \ "4.749182,4.788484,4.882455,5.003323,5.024350,5.059032,4.979559", \ "5.210548,5.240897,5.297205,5.393109,5.415877,5.371777,5.405044"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.919477,4.054153,4.213289,4.370141,4.467948,4.509727,4.408297", \ "3.932757,4.069769,4.175029,4.361149,4.470878,4.512826,4.488085", \ "3.921745,4.060854,4.183284,4.364488,4.461589,4.484856,4.427245", \ "4.039336,4.124873,4.248889,4.399187,4.473664,4.556953,4.572772", \ "4.326713,4.398726,4.473068,4.588084,4.663127,4.658250,4.612695", \ "4.656694,4.695901,4.789450,4.909804,4.930264,4.962008,4.888044", \ "5.117198,5.155479,5.205238,5.259934,5.305078,5.277465,5.268807"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.539177,3.783634,3.980121,4.225382,4.380818,4.434017,4.446598", \ "3.511859,3.729935,3.952613,4.189269,4.352507,4.406402,4.419297", \ "3.509373,3.722454,3.947102,4.178899,4.342142,4.398922,4.414382", \ "3.682505,3.881165,4.055071,4.293804,4.455538,4.506676,4.526619", \ "3.931536,4.095259,4.292846,4.544523,4.747813,4.795002,4.808673", \ "4.475443,4.550359,4.657911,4.892508,5.139159,5.276671,5.286190", \ "5.280362,5.298046,5.354732,5.500276,5.725974,5.882531,5.974024"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.423933,2.634163,2.793380,2.891649,2.956032,3.017523,2.881817", \ "2.373851,2.634368,2.764808,2.841334,2.922055,2.942297,2.811075", \ "2.372931,2.614102,2.738429,2.808278,2.905182,2.930168,2.824152", \ "2.421168,2.642182,2.771963,2.809845,2.925498,2.834194,2.765950", \ "2.631389,2.803703,2.950588,2.997821,2.900832,3.070285,2.984863", \ "2.997303,3.185672,3.316558,3.358162,3.366045,3.276619,3.149074", \ "3.558308,3.762676,3.904151,4.010819,3.933616,3.942703,3.901027"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.539190,3.783644,3.980129,4.225386,4.380821,4.434018,4.446602", \ "3.511872,3.729948,3.952620,4.189273,4.352509,4.406403,4.419300", \ "3.509386,3.722465,3.947109,4.178904,4.342145,4.398924,4.414386", \ "3.682520,3.881176,4.055079,4.293784,4.455540,4.506678,4.526622", \ "3.931549,4.095270,4.292854,4.544530,4.747815,4.795005,4.808678", \ "4.475453,4.550368,4.657920,4.892514,5.139161,5.276673,5.286192", \ "5.280370,5.298054,5.354739,5.500283,5.725979,5.882533,5.974027"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.423940,2.634170,2.793384,2.891654,2.956036,3.017528,2.881827", \ "2.373859,2.634374,2.764813,2.841339,2.922059,2.942303,2.811087", \ "2.372939,2.614109,2.738434,2.808284,2.905187,2.930174,2.824162", \ "2.421175,2.642189,2.771968,2.809851,2.925502,2.834202,2.765961", \ "2.631396,2.803710,2.950593,2.997826,2.900841,3.070290,2.984871", \ "2.997311,3.185680,3.316565,3.358167,3.366050,3.276626,3.149085", \ "3.558314,3.762683,3.904158,4.010824,3.933622,3.942707,3.901031"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.558315,3.805253,4.001505,4.246328,4.401251,4.454236,4.465982", \ "3.532525,3.776718,3.974478,4.210859,4.374122,4.427704,4.440280", \ "3.531764,3.744755,3.969224,4.200831,4.363836,4.420555,4.435950", \ "3.704678,3.903221,4.077040,4.315825,4.477103,4.528137,4.548014", \ "3.953273,4.119267,4.301542,4.566352,4.763374,4.816356,4.830004", \ "4.493397,4.572442,4.680281,4.920265,5.157802,5.299622,5.306838", \ "5.304364,5.320801,5.377531,5.518379,5.747778,5.902541,5.995134"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.414687,2.657407,2.790553,2.883342,2.902824,3.007970,2.877162", \ "2.364292,2.622974,2.711576,2.825176,2.825122,2.933622,2.804529", \ "2.363686,2.606541,2.729555,2.799756,2.816361,2.964085,2.818250", \ "2.411726,2.629736,2.763237,2.801553,2.919079,2.825580,2.757331", \ "2.622540,2.787665,2.913009,2.988887,2.892333,2.985873,2.976615", \ "2.979476,3.197834,3.308119,3.342966,3.357807,3.255027,3.140612", \ "3.549012,3.754358,3.895863,3.975886,3.969798,3.935049,3.892698"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.539210,3.783658,3.980143,4.225393,4.380829,4.434024,4.446623", \ "3.511893,3.729967,3.952635,4.189285,4.352518,4.406410,4.419318", \ "3.509406,3.722485,3.947123,4.178914,4.342154,4.398933,4.414410", \ "3.682541,3.881194,4.055098,4.293808,4.455544,4.506692,4.526641", \ "3.931568,4.095289,4.292868,4.544545,4.747817,4.795022,4.808709", \ "4.475468,4.550384,4.657937,4.892524,5.139167,5.276682,5.286203", \ "5.280382,5.298067,5.354750,5.500297,5.725994,5.882547,5.974046"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("2.423954,2.634188,2.793396,2.891665,2.956049,3.017541,2.881871", \ "2.373878,2.634388,2.764826,2.841356,2.922075,2.942327,2.811141", \ "2.372952,2.614120,2.738445,2.808299,2.905198,2.930190,2.824206", \ "2.421185,2.642202,2.771978,2.809870,2.925510,2.834238,2.766015", \ "2.631410,2.803731,2.950605,2.997837,2.900876,3.070300,2.984903", \ "2.997327,3.185700,3.316583,3.358186,3.366061,3.276654,3.149139", \ "3.558325,3.762695,3.904172,4.010835,3.933646,3.942712,3.901041"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.919528,4.054217,4.213348,4.370214,4.468066,4.457857,4.408432", \ "3.932815,4.069843,4.175090,4.361241,4.470983,4.512980,4.488287", \ "3.921801,4.060929,4.183345,4.364573,4.461694,4.484984,4.427436", \ "4.039387,4.124927,4.248959,4.399268,4.473784,4.557107,4.572932", \ "4.326762,4.398782,4.473140,4.588148,4.663211,4.658359,4.612914", \ "4.656734,4.695950,4.789515,4.909879,4.930350,4.962173,4.888234", \ "5.117220,5.155513,5.205284,5.259982,5.305143,5.277551,5.268916"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.919787,4.054503,4.213657,4.370577,4.468494,4.458702,4.409139", \ "3.933079,4.070139,4.175395,4.361618,4.471458,4.513567,4.489057", \ "3.922068,4.061221,4.183654,4.364945,4.462152,4.485570,4.428188", \ "4.039653,4.125220,4.249283,4.399638,4.474251,4.557699,4.573650", \ "4.327026,4.399072,4.473451,4.588491,4.663633,4.658891,4.613637", \ "4.656960,4.696196,4.789788,4.910190,4.930731,4.962696,4.888901", \ "5.117377,5.155691,5.205484,5.260214,5.305448,5.277976,5.269498"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.030367,4.175838,4.307952,4.466839,4.564920,4.590571,4.503247", \ "4.027521,4.164357,4.269381,4.455828,4.554017,4.550262,4.581181", \ "4.036778,4.134403,4.277264,4.458690,4.556210,4.583074,4.519473", \ "4.134178,4.225129,4.342153,4.493071,4.552111,4.616489,4.669722", \ "4.419714,4.451998,4.565889,4.681702,4.757023,4.761232,4.704276", \ "4.749010,4.788297,4.882247,5.003082,5.024052,5.058633,4.979043", \ "5.210432,5.240766,5.297056,5.392929,5.415640,5.371444,5.404587"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.919742,4.054444,4.213600,4.370504,4.468420,4.509928,4.408991", \ "3.933024,4.070068,4.175342,4.361526,4.471338,4.513423,4.488850", \ "3.922016,4.061159,4.183599,4.364857,4.462043,4.485439,4.427996", \ "4.039607,4.125163,4.249209,4.399555,4.474125,4.557543,4.573502", \ "4.326979,4.399013,4.473381,4.588425,4.663546,4.658791,4.613421", \ "4.656916,4.696147,4.789723,4.910113,4.930642,4.962525,4.888705", \ "5.117351,5.155654,5.205436,5.260164,5.305380,5.277889,5.269384"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903799,4.200308,4.443688,4.787053,5.147351,5.609769,7.839539", \ "3.879172,4.171313,4.413072,4.758333,5.116207,5.561627,7.844472", \ "3.872441,4.165190,4.419105,4.740504,5.110593,5.570362,7.803371", \ "4.089663,4.308826,4.539505,4.891467,5.238361,5.717727,8.009100", \ "4.344119,4.582121,4.790650,5.177897,5.555983,6.033233,8.360501", \ "4.940109,5.069582,5.229424,5.533085,5.953538,6.509040,8.769693", \ "5.788137,5.864004,5.968259,6.185926,6.587071,7.088078,9.268316"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929053,6.219325,6.325374,6.452790,6.455362,6.399356,6.604092", \ "5.948389,6.229710,6.339175,6.476217,6.395403,6.338470,6.550070", \ "5.928285,6.177856,6.355674,6.458268,6.461515,6.405339,6.423096", \ "5.938310,6.221865,6.364156,6.434663,6.437268,6.380399,6.301589", \ "5.927547,6.224325,6.330755,6.402153,6.483944,6.442809,6.401513", \ "5.981417,6.221238,6.401662,6.473762,6.563980,6.508078,6.549703", \ "6.122280,6.327112,6.533952,6.547961,6.545089,6.484819,6.627436"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903817,4.200323,4.443699,4.787062,5.147358,5.609774,7.839545", \ "3.879191,4.171329,4.413083,4.758342,5.116214,5.561629,7.844482", \ "3.872459,4.165206,4.419118,4.740513,5.110601,5.570367,7.803378", \ "4.089682,4.308841,4.539516,4.891477,5.238368,5.717734,8.009106", \ "4.344135,4.582137,4.790664,5.177909,5.555990,6.033238,8.360505", \ "4.940123,5.069595,5.229437,5.533095,5.953546,6.509046,8.769697", \ "5.788148,5.864015,5.968270,6.185935,6.587078,7.088082,9.268327"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929062,6.219331,6.325377,6.452792,6.455361,6.399350,6.604092", \ "5.948432,6.229716,6.339178,6.476220,6.395400,6.338461,6.550068", \ "5.928294,6.177862,6.365111,6.458271,6.461515,6.405334,6.423142", \ "5.938320,6.221872,6.364161,6.434665,6.437267,6.380393,6.301576", \ "5.927557,6.224333,6.330759,6.402154,6.483945,6.442808,6.401504", \ "5.981427,6.221244,6.401667,6.473763,6.563983,6.508075,6.549700", \ "6.122328,6.327117,6.533957,6.547962,6.545087,6.484812,6.627431"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903755,4.200263,4.443647,4.787018,5.147326,5.609747,7.839492", \ "3.879126,4.171269,4.413031,4.758298,5.116182,5.561608,7.844423", \ "3.872398,4.165147,4.419064,4.740469,5.110567,5.570340,7.803325", \ "4.089624,4.308786,4.539467,4.891431,5.238334,5.717704,8.009050", \ "4.344088,4.582088,4.790618,5.177864,5.555955,6.033211,8.360450", \ "4.940082,5.069553,5.229395,5.533056,5.953512,6.509017,8.769642", \ "5.788112,5.863979,5.968233,6.185902,6.587047,7.088058,9.268272"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.928996,6.219272,6.325329,6.452757,6.455345,6.399350,6.604080", \ "5.948103,6.229673,6.339129,6.476183,6.395389,6.338466,6.550061", \ "5.928229,6.177804,6.355626,6.458233,6.461497,6.405332,6.423065", \ "5.938249,6.221827,6.364109,6.434629,6.437251,6.380393,6.301590", \ "5.927491,6.224271,6.330708,6.402120,6.483924,6.442802,6.401509", \ "5.981361,6.221186,6.401615,6.473729,6.563960,6.508068,6.549695", \ "6.122265,6.327062,6.533905,6.547929,6.545073,6.484814,6.627428"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903890,4.200404,4.443794,4.787166,5.147289,5.610090,7.839718", \ "3.878940,4.171325,4.413182,4.758443,5.116337,5.561962,7.845179", \ "3.872546,4.165299,4.419228,4.740641,5.110754,5.570704,7.803815", \ "4.058120,4.308973,4.539655,4.891710,5.238572,5.718224,8.009057", \ "4.344283,4.582292,4.790847,5.178100,5.556217,6.033709,8.360773", \ "4.940310,5.069848,5.229630,5.533328,5.953852,6.509769,8.770470", \ "5.788385,5.864214,5.968526,6.186328,6.587457,7.088556,9.268750"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929697,6.218843,6.324945,6.452466,6.455041,6.426803,6.603487", \ "5.922749,6.157964,6.374886,6.475736,6.395105,6.337811,6.549454", \ "5.927794,6.177272,6.355436,6.457902,6.461367,6.404950,6.414789", \ "5.937227,6.186697,6.363560,6.434153,6.436802,6.379862,6.300926", \ "5.927666,6.223691,6.330103,6.401675,6.483467,6.446266,6.400763", \ "5.982650,6.221086,6.400893,6.473009,6.563203,6.507466,6.548829", \ "6.109159,6.322215,6.499524,6.546934,6.544337,6.483893,6.624303"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952410,4.020699,4.107178,4.237664,4.843196,6.552007,6.795520", \ "3.945110,4.029704,4.079915,4.226153,4.833777,6.534379,6.872802", \ "3.937372,4.000365,4.086352,4.230280,4.846417,6.545973,6.811127", \ "4.066040,4.102394,4.168087,4.284106,4.862812,6.641913,6.864768", \ "4.368898,4.389695,4.411518,4.499038,5.154057,6.815663,7.019629", \ "4.712967,4.703164,4.712133,4.816366,5.357276,7.037699,7.322222", \ "5.202010,5.180068,5.165431,5.195617,5.596233,7.508173,7.789102"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952338,4.020662,4.107097,4.237568,4.843104,6.568837,6.795300", \ "3.945044,4.029630,4.079835,4.226055,4.833661,6.534220,6.872597", \ "3.937305,4.000290,4.086270,4.230185,4.846301,6.545815,6.810924", \ "4.065972,4.102319,4.168005,4.284010,4.862698,6.641767,6.864566", \ "4.368833,4.389623,4.411440,4.498948,5.153949,6.815514,7.019440", \ "4.712913,4.703103,4.712066,4.816288,5.357182,7.037566,7.322045", \ "5.201974,5.180026,5.165383,5.195559,5.596158,7.508583,7.788939"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952539,4.020868,4.107344,4.237865,4.843437,6.461950,6.796000", \ "3.945246,4.029859,4.080083,4.226358,4.834033,6.534719,6.873247", \ "3.937511,4.000520,4.086520,4.230484,4.846670,6.546309,6.811566", \ "4.066181,4.102549,4.168260,4.284308,4.863066,6.642319,6.865195", \ "4.369034,4.389845,4.411683,4.499227,5.154290,6.815977,7.020042", \ "4.713082,4.703288,4.712271,4.816530,5.357482,7.037982,7.322603", \ "5.202083,5.180150,5.165525,5.195734,5.596393,7.508928,7.789439"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952463,4.018852,4.107167,4.237683,4.842761,6.496405,6.797939", \ "3.945092,4.029688,4.079900,4.226144,4.833311,6.534991,6.875201", \ "3.937351,4.000349,4.086342,4.230266,4.845944,6.547515,6.813491", \ "4.066017,4.102373,4.168079,4.284086,4.862350,6.639019,6.867136", \ "4.368869,4.389671,4.411504,4.499009,5.172857,6.691624,7.021959", \ "4.712938,4.703140,4.712117,4.816351,5.356871,7.037232,7.324457", \ "5.201969,5.180044,5.165401,5.195546,5.595922,7.428219,7.791206"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903855,4.200376,4.443765,4.787143,5.147270,5.610066,7.839680", \ "3.878903,4.171297,4.413153,4.758420,5.116317,5.561925,7.845152", \ "3.872511,4.165270,4.419202,4.740616,5.110737,5.570677,7.803774", \ "4.058082,4.308940,4.539623,4.891691,5.238555,5.718203,8.009026", \ "4.344250,4.582265,4.790816,5.178077,5.556201,6.033681,8.360746", \ "4.940285,5.069825,5.229607,5.533306,5.953833,6.509746,8.770435", \ "5.788362,5.864192,5.968507,6.186304,6.587440,7.088529,9.268719"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929671,6.218823,6.324922,6.452451,6.455019,6.426852,6.603473", \ "5.922786,6.157934,6.374873,6.475727,6.395073,6.337760,6.549432", \ "5.927769,6.177246,6.355136,6.457890,6.461347,6.404911,6.414744", \ "5.937204,6.186673,6.363546,6.434137,6.436778,6.379819,6.300860", \ "5.927640,6.223673,6.330081,6.401652,6.483450,6.446227,6.400712", \ "5.982626,6.221058,6.400875,6.472989,6.563191,6.507436,6.548798", \ "6.109136,6.322186,6.499504,6.546910,6.544306,6.483844,6.624269"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903874,4.200391,4.443779,4.787154,5.147279,5.610075,7.839695", \ "3.878926,4.171312,4.413167,4.758431,5.116325,5.561936,7.845165", \ "3.872530,4.165286,4.419216,4.740628,5.110745,5.570688,7.803790", \ "4.058102,4.308957,4.539637,4.891702,5.238563,5.718212,8.009039", \ "4.344268,4.582281,4.790832,5.178089,5.556209,6.033692,8.360758", \ "4.940299,5.069838,5.229620,5.533318,5.953842,6.509756,8.770449", \ "5.788375,5.864204,5.968518,6.186315,6.587449,7.088539,9.268734"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929683,6.218832,6.324930,6.452456,6.455025,6.426795,6.603478", \ "5.922823,6.157945,6.374879,6.475732,6.395081,6.337770,6.549438", \ "5.927782,6.177256,6.355143,6.457895,6.461353,6.404920,6.414757", \ "5.937216,6.186683,6.363553,6.434143,6.436785,6.379829,6.300873", \ "5.927652,6.223682,6.330089,6.401659,6.483455,6.446236,6.400724", \ "5.982638,6.221069,6.400882,6.472996,6.563196,6.507444,6.548806", \ "6.109148,6.322197,6.499512,6.546917,6.544314,6.483854,6.624278"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903765,4.200273,4.443653,4.787022,5.147329,5.609748,7.839494", \ "3.879143,4.171278,4.413037,4.758302,5.116184,5.561604,7.844428", \ "3.872409,4.165156,4.419072,4.740473,5.110571,5.570340,7.803326", \ "4.089636,4.308795,4.539473,4.891438,5.238338,5.717706,8.009054", \ "4.344097,4.582098,4.790625,5.177871,5.555959,6.033211,8.360454", \ "4.940090,5.069561,5.229403,5.533062,5.953516,6.509018,8.769645", \ "5.788119,5.863985,5.968240,6.185906,6.587051,7.088058,9.268277"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929001,6.219276,6.325329,6.452757,6.455342,6.399340,6.604079", \ "5.948116,6.229677,6.339130,6.476185,6.395382,6.338453,6.550057", \ "5.928234,6.177806,6.365217,6.458236,6.461495,6.405323,6.423067", \ "5.938255,6.221832,6.364112,6.434629,6.437248,6.380382,6.301571", \ "5.927496,6.224276,6.330709,6.402119,6.483923,6.442795,6.401496", \ "5.981367,6.221188,6.401618,6.473728,6.563962,6.508062,6.549689", \ "6.122290,6.327063,6.533909,6.547928,6.545067,6.484802,6.627420"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.903901,4.200414,4.443803,4.787173,5.147295,5.610097,7.839729", \ "3.878954,4.171335,4.413191,4.758450,5.116343,5.561972,7.845188", \ "3.872558,4.165309,4.419237,4.740649,5.110759,5.570712,7.803827", \ "4.058133,4.308984,4.539665,4.891717,5.238577,5.718230,8.009066", \ "4.344295,4.582302,4.790857,5.178108,5.556223,6.033717,8.360781", \ "4.940319,5.069856,5.229638,5.533335,5.953858,6.509776,8.770480", \ "5.788393,5.864221,5.968533,6.186335,6.587462,7.088563,9.268759"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.929705,6.218849,6.324952,6.452470,6.455047,6.426810,6.603491", \ "5.922758,6.157972,6.374890,6.475739,6.395113,6.337822,6.549460", \ "5.927803,6.177280,6.355442,6.457906,6.461372,6.404959,6.414800", \ "5.937235,6.186705,6.363565,6.434157,6.436808,6.379873,6.300941", \ "5.927674,6.223698,6.330110,6.401682,6.483472,6.446274,6.400775", \ "5.982659,6.221094,6.400899,6.473015,6.563206,6.507474,6.548836", \ "6.109169,6.322224,6.499530,6.546940,6.544345,6.483905,6.624312"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952509,4.018909,4.107218,4.237744,4.842768,6.462338,6.798149", \ "3.945142,4.029753,4.079946,4.226224,4.833365,6.535151,6.875509", \ "3.937399,4.000404,4.086393,4.230338,4.845989,6.547796,6.813780", \ "4.066056,4.102420,4.168138,4.284154,4.862409,6.638978,6.867367", \ "4.368912,4.389726,4.411570,4.499062,5.173105,6.691739,7.022267", \ "4.712970,4.703184,4.712173,4.816419,5.356904,7.037406,7.324725", \ "5.201987,5.180075,5.165445,5.195578,5.595945,7.428313,7.791389"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952765,4.019195,4.107522,4.238102,4.843195,6.462953,6.798875", \ "3.945405,4.030046,4.080251,4.226596,4.833830,6.535731,6.876256", \ "3.937664,4.000696,4.086700,4.230706,4.846449,6.548358,6.814515", \ "4.066322,4.102709,4.168458,4.284520,4.862874,6.639605,6.868075", \ "4.369173,4.390010,4.411881,4.499402,5.173510,6.692262,7.022978", \ "4.713195,4.703428,4.712442,4.816728,5.357289,7.037920,7.325385", \ "5.202144,5.180253,5.165645,5.195814,5.596255,7.428735,7.791958"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952339,4.020654,4.107104,4.237581,4.843102,6.543816,6.795376", \ "3.945044,4.029632,4.079842,4.226067,4.833677,6.534263,6.872663", \ "3.937307,4.000293,4.086278,4.230196,4.846319,6.545856,6.810990", \ "4.065975,4.102324,4.168011,4.284022,4.862713,6.641831,6.864637", \ "4.368834,4.389625,4.411444,4.498959,5.153964,6.815558,7.019497", \ "4.712912,4.703103,4.712067,4.816293,5.357191,7.037593,7.322097", \ "5.201969,5.180020,5.165378,5.195558,5.596163,7.508602,7.788991"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.952714,4.019134,4.107467,4.238033,4.843349,6.477599,6.798622", \ "3.945350,4.029977,4.080197,4.226508,4.833778,6.535562,6.875916", \ "3.937612,4.000634,4.086644,4.230629,4.846407,6.548031,6.814195", \ "4.066276,4.102655,4.168391,4.284448,4.862818,6.639675,6.867804", \ "4.369126,4.389951,4.411809,4.499346,5.154028,6.692134,7.022639", \ "4.713159,4.703380,4.712382,4.816655,5.357260,7.037735,7.325087", \ "5.202125,5.180218,5.165596,5.195782,5.596237,7.428633,7.791752"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFRS_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and active low set, and drive strength X2 *******************************************************************************************/ cell (SDFFRS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; clear : "!RN"; clear_preset_var1 : L; clear_preset_var2 : L; } area : 8.246000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 162.300860; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 155.082400; } leakage_power () { when : "!CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 136.154260; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 165.608278; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 146.680138; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 159.150354; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 140.222214; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 180.497801; } leakage_power () { when : "!CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 163.613461; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 140.653040; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 140.361980; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 146.034823; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 151.177818; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 150.887858; } leakage_power () { when : "!CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 156.560701; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 144.720994; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 144.429934; } leakage_power () { when : "!CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 150.102777; } leakage_power () { when : "!CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 166.574551; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & !Q & QN"; value : 169.426257; } leakage_power () { when : "!CK & !D & RN & SE & SI & SN & Q & !QN"; value : 169.963156; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 180.624422; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 163.741182; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 186.013344; } leakage_power () { when : "!CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 169.129004; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 173.096869; } leakage_power () { when : "!CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 154.168729; } leakage_power () { when : "!CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 187.603592; } leakage_power () { when : "!CK & D & !RN & SE & SI & SN & !Q & QN"; value : 170.720352; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 166.701172; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 169.553978; } leakage_power () { when : "!CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 170.090877; } leakage_power () { when : "!CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 172.090094; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & !Q & QN"; value : 174.942900; } leakage_power () { when : "!CK & D & RN & !SE & SI & SN & Q & !QN"; value : 175.478699; } leakage_power () { when : "!CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 158.667509; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & !Q & QN"; value : 158.376449; } leakage_power () { when : "!CK & D & RN & SE & !SI & SN & Q & !QN"; value : 164.049292; } leakage_power () { when : "!CK & D & RN & SE & SI & !SN & Q & !QN"; value : 173.680342; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & !Q & QN"; value : 176.533148; } leakage_power () { when : "!CK & D & RN & SE & SI & SN & Q & !QN"; value : 177.070047; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 160.336594; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & SN & !Q & QN"; value : 132.199474; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !SN & !Q & !QN"; value : 170.862472; } leakage_power () { when : "CK & !D & !RN & !SE & SI & SN & !Q & QN"; value : 142.724252; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !SN & !Q & !QN"; value : 164.404548; } leakage_power () { when : "CK & !D & !RN & SE & !SI & SN & !Q & QN"; value : 136.267428; } leakage_power () { when : "CK & !D & !RN & SE & SI & !SN & !Q & !QN"; value : 167.560195; } leakage_power () { when : "CK & !D & !RN & SE & SI & SN & !Q & QN"; value : 144.551715; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !SN & Q & !QN"; value : 163.310334; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & !Q & QN"; value : 135.886795; } leakage_power () { when : "CK & !D & RN & !SE & !SI & SN & Q & !QN"; value : 167.213728; } leakage_power () { when : "CK & !D & RN & !SE & SI & !SN & Q & !QN"; value : 173.835112; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & !Q & QN"; value : 146.412673; } leakage_power () { when : "CK & !D & RN & !SE & SI & SN & Q & !QN"; value : 177.738506; } leakage_power () { when : "CK & !D & RN & SE & !SI & !SN & Q & !QN"; value : 167.378288; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & !Q & QN"; value : 139.955299; } leakage_power () { when : "CK & !D & RN & SE & !SI & SN & Q & !QN"; value : 171.281682; } leakage_power () { when : "CK & !D & RN & SE & SI & !SN & Q & !QN"; value : 170.528435; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & !Q & QN"; value : 148.240026; } leakage_power () { when : "CK & !D & RN & SE & SI & SN & Q & !QN"; value : 174.434029; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !SN & !Q & !QN"; value : 167.687916; } leakage_power () { when : "CK & D & !RN & !SE & !SI & SN & !Q & QN"; value : 144.678996; } leakage_power () { when : "CK & D & !RN & !SE & SI & !SN & !Q & !QN"; value : 173.076838; } leakage_power () { when : "CK & D & !RN & !SE & SI & SN & !Q & QN"; value : 150.067918; } leakage_power () { when : "CK & D & !RN & SE & !SI & !SN & !Q & !QN"; value : 178.351063; } leakage_power () { when : "CK & D & !RN & SE & !SI & SN & !Q & QN"; value : 150.212843; } leakage_power () { when : "CK & D & !RN & SE & SI & !SN & !Q & !QN"; value : 174.667086; } leakage_power () { when : "CK & D & !RN & SE & SI & SN & !Q & QN"; value : 151.658386; } leakage_power () { when : "CK & D & RN & !SE & !SI & !SN & Q & !QN"; value : 170.656156; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & !Q & QN"; value : 148.367417; } leakage_power () { when : "CK & D & RN & !SE & !SI & SN & Q & !QN"; value : 174.561761; } leakage_power () { when : "CK & D & RN & !SE & SI & !SN & Q & !QN"; value : 176.045078; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & !Q & QN"; value : 153.756339; } leakage_power () { when : "CK & D & RN & !SE & SI & SN & Q & !QN"; value : 179.949572; } leakage_power () { when : "CK & D & RN & SE & !SI & !SN & Q & !QN"; value : 181.323703; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & !Q & QN"; value : 153.901264; } leakage_power () { when : "CK & D & RN & SE & !SI & SN & Q & !QN"; value : 185.227097; } leakage_power () { when : "CK & D & RN & SE & SI & !SN & Q & !QN"; value : 177.635326; } leakage_power () { when : "CK & D & RN & SE & SI & SN & !Q & QN"; value : 155.346697; } leakage_power () { when : "CK & D & RN & SE & SI & SN & Q & !QN"; value : 181.540920; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.123965; fall_capacitance : 1.068449; rise_capacitance : 1.123965; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.021067,-0.008225,-0.002420", \ "-0.029195,-0.015360,-0.008460", \ "0.117077,0.130931,0.138271"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.010826,-0.002400,-0.003895", \ "-0.011573,-0.004451,-0.009900", \ "0.067419,0.072783,0.058845"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE & SN"; sdf_cond : "RN_AND_NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.072350,0.067253,0.081981", \ "0.089996,0.084594,0.099295", \ "0.131654,0.126291,0.140232"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.064675,0.050666,0.043671", \ "0.074877,0.060700,0.053920", \ "0.081922,0.068074,0.060756"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.373051,5.343605,5.315240,5.331543,5.475487,5.835423,6.403549"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.247419,4.211448,4.171527,4.182975,4.321618,4.662776,5.212471"); } } internal_power () { when : "!CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.369028,5.349461,5.316074,5.330320,5.478149,5.830298,6.403529"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.287215,4.251220,4.211028,4.222534,4.362867,4.701999,5.252983"); } } internal_power () { when : "!CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.373000,5.343183,5.314813,5.331079,5.474960,5.834849,6.402840"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.250925,4.215348,4.175030,4.186444,4.325736,4.666071,5.215975"); } } internal_power () { when : "!CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.370682,5.347358,5.316900,5.328675,5.477029,5.828561,6.402337"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.286451,4.250080,4.211897,4.223179,4.362633,4.702306,5.253672"); } } internal_power () { when : "!CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.719155,0.692957,0.683229,0.679691,0.677615,0.676950,0.676474"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.600268,-0.605846,-0.611149,-0.614684,-0.618298,-0.619602,-0.621371"); } } internal_power () { when : "!CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717773,0.691614,0.681848,0.678328,0.676166,0.675504,0.674999"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603976,-0.609592,-0.614955,-0.618488,-0.621924,-0.623403,-0.625168"); } } internal_power () { when : "!CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650802,0.657784,0.659955,0.659391,0.658662,0.657865,0.657725"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423143,-0.488871,-0.545546,-0.567182,-0.579611,-0.586593,-0.592124"); } } internal_power () { when : "!CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650806,0.657821,0.659956,0.659407,0.658596,0.657798,0.657624"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423459,-0.489224,-0.545953,-0.567585,-0.579853,-0.586991,-0.592517"); } } internal_power () { when : "!CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.172458,7.148133,7.117432,7.130496,7.277277,7.636338,8.215100"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.193757,5.157685,5.118835,5.130357,5.270070,5.610232,6.162539"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.279239,7.262765,7.232102,7.243550,7.389690,7.751011,8.320895"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.367959,5.333891,5.293398,5.308794,5.446050,5.783844,6.335558"); } } internal_power () { when : "!CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.249038,7.224816,7.194173,7.207265,7.353056,7.712752,8.291022"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.215584,5.179064,5.139498,5.151062,5.293458,5.635254,6.183078"); } } internal_power () { when : "!CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.172015,7.147773,7.117217,7.130037,7.276771,7.637367,8.221644"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.197531,5.161559,5.121120,5.133815,5.273708,5.613620,6.166072"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.286740,7.261935,7.231672,7.243092,7.389187,7.743742,8.320610"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.371475,5.340446,5.296849,5.312262,5.449679,5.787024,6.339041"); } } internal_power () { when : "!CK & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.248605,7.224368,7.193741,7.206808,7.352556,7.712167,8.289932"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.219037,5.183323,5.142962,5.154526,5.297089,5.638613,6.186392"); } } internal_power () { when : "!CK & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717753,0.691588,0.681828,0.678305,0.676153,0.675490,0.674987"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603603,-0.609216,-0.614574,-0.618110,-0.621572,-0.623032,-0.624804"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717322,0.691161,0.681398,0.677878,0.675069,0.675057,0.673743"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604590,-0.610206,-0.615571,-0.619108,-0.621906,-0.624035,-0.625811"); } } internal_power () { when : "!CK & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717736,0.691568,0.681811,0.678289,0.675466,0.675486,0.674139"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603967,-0.609576,-0.614926,-0.618463,-0.621258,-0.623381,-0.625149"); } } internal_power () { when : "!CK & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650769,0.657792,0.659921,0.659373,0.658548,0.657748,0.657567"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423415,-0.489185,-0.545924,-0.567555,-0.579800,-0.586963,-0.592490"); } } internal_power () { when : "!CK & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650805,0.657829,0.659958,0.659409,0.657945,0.657785,0.656804"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423177,-0.488948,-0.545685,-0.567317,-0.578917,-0.586729,-0.592260"); } } internal_power () { when : "!CK & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650663,0.657687,0.659817,0.659269,0.657804,0.657646,0.656664"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423555,-0.489324,-0.546061,-0.567695,-0.579294,-0.587106,-0.592636"); } } internal_power () { when : "CK & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.093626,3.069270,3.039142,3.056477,3.207923,3.561329,4.130817"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.562314,1.525912,1.488231,1.505800,1.650607,1.986980,2.535523"); } } internal_power () { when : "CK & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.157035,3.132928,3.103632,3.119291,3.268238,3.619755,4.186307"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.527852,1.491240,1.453598,1.471742,1.618780,1.957605,2.508058"); } } internal_power () { when : "CK & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.090325,3.065869,3.036832,3.054113,3.205528,3.558855,4.124376"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.563701,1.527019,1.488222,1.505803,1.650502,1.987002,2.535483"); } } internal_power () { when : "CK & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.156015,3.131520,3.102654,3.118313,3.267067,3.618553,4.184637"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.532035,1.495469,1.457854,1.476155,1.622818,1.961563,2.511635"); } } internal_power () { when : "CK & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717882,0.691306,0.681577,0.678023,0.675960,0.675284,0.674359"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605067,-0.610627,-0.615934,-0.619508,-0.623087,-0.624557,-0.627311"); } } internal_power () { when : "CK & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717352,0.690827,0.681047,0.677514,0.675335,0.674655,0.673810"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606138,-0.611746,-0.617128,-0.620703,-0.624062,-0.625756,-0.628239"); } } internal_power () { when : "CK & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651040,0.657869,0.660094,0.659538,0.658744,0.657966,0.657334"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423443,-0.489419,-0.545993,-0.567476,-0.579997,-0.587270,-0.593402"); } } internal_power () { when : "CK & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651086,0.657958,0.660139,0.659601,0.658709,0.657927,0.657365"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423522,-0.489540,-0.546184,-0.567662,-0.579991,-0.587452,-0.593344"); } } internal_power () { when : "CK & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.093156,3.068873,3.038863,3.056150,3.207508,3.560881,4.130403"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561646,1.524979,1.487496,1.503777,1.649084,1.986206,2.534876"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.154804,3.130498,3.101260,3.116954,3.265890,3.617435,4.183456"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.587156,1.550571,1.512883,1.530287,1.677187,2.016590,2.566590"); } } internal_power () { when : "CK & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.091419,3.067107,3.036706,3.054341,3.205670,3.559066,4.127447"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.630692,1.594412,1.557561,1.572085,1.716893,2.055116,2.603957"); } } internal_power () { when : "CK & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.091183,3.065609,3.036550,3.053784,3.205081,3.558406,4.123962"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561751,1.525029,1.487490,1.503786,1.648980,1.986230,2.534835"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.632774; fall_capacitance : 2.548903; rise_capacitance : 2.632774; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.067158,-0.080395,-0.088423", \ "-0.064785,-0.078077,-0.086087", \ "-0.001037,-0.021775,-0.034916"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "SN"; sdf_cond : "SN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.182549,0.195803,0.203845", \ "0.237590,0.250587,0.259044", \ "0.429569,0.442846,0.451023"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.148601,0.176780,0.296784"); } } internal_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561155,1.561575,1.562754,1.563206,1.561994,1.562383,1.561162"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.218860,-1.223510,-1.228080,-1.232670,-1.227330,-1.231360,-1.230860"); } } internal_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.560427,1.561459,1.562682,1.563140,1.562457,1.562189,1.561661"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.060680,-1.065370,-1.069180,-1.068630,-1.076210,-1.074570,-1.073860"); } } internal_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.560401,1.561441,1.562657,1.563121,1.562420,1.562154,1.561618"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.060730,-1.065420,-1.069240,-1.068700,-1.076240,-1.074630,-1.073930"); } } internal_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.932462,2.892535,2.906758,3.076931,3.412321,3.960880,4.748428"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.120483,-0.149360,-0.159099,-0.047771,0.248173,0.778547,1.552684"); } } internal_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.932503,2.892561,2.907591,3.076963,3.412386,3.960945,4.748508"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.120398,-0.149262,-0.158979,-0.047650,0.248232,0.778670,1.552805"); } } internal_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.932494,2.892556,2.907585,3.076958,3.412376,3.960935,4.748496"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.120411,-0.149277,-0.158998,-0.047668,0.248223,0.778652,1.552787"); } } internal_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.560414,1.561448,1.562670,1.563131,1.562443,1.562176,1.561646"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.060700,-1.065380,-1.069200,-1.068650,-1.076220,-1.074590,-1.073890"); } } internal_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.932453,2.892531,2.907544,3.076925,3.412307,3.960866,4.748411"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.120501,-0.149380,-0.159124,-0.047796,0.248161,0.778522,1.552659"); } } internal_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.541753,1.552072,1.557632,1.558786,1.558966,1.558491,1.557625"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.046570,-1.054740,-1.057490,-1.056120,-1.055220,-1.052280,-1.054370"); } } internal_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.541397,1.551207,1.557165,1.558454,1.558560,1.558128,1.557267"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.023720,-1.028380,-1.030850,-1.032570,-1.032610,-1.030160,-1.031940"); } } internal_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.540880,1.551084,1.556725,1.557921,1.558073,1.557586,1.556745"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.065680,-1.072160,-1.077750,-1.076070,-1.076060,-1.073920,-1.073580"); } } internal_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.540054,1.550388,1.555938,1.557097,1.557252,1.556783,1.555945"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.050820,-1.059000,-1.061770,-1.060400,-1.059450,-1.056560,-1.058580"); } } internal_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.540624,1.550822,1.556468,1.557654,1.557820,1.557332,1.556479"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.066150,-1.072620,-1.078190,-1.076520,-1.076540,-1.074380,-1.074080"); } } internal_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.540645,1.550967,1.556528,1.557681,1.557863,1.557391,1.556528"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.049190,-1.057370,-1.060110,-1.058740,-1.057850,-1.054910,-1.057000"); } } internal_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.541228,1.551548,1.557112,1.558268,1.558454,1.557981,1.557117"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.047640,-1.055820,-1.058560,-1.057200,-1.056310,-1.053370,-1.055460"); } } internal_power () { when : "CK & D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.543681,1.550841,1.556256,1.557477,1.557534,1.557101,1.556263"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-1.025650,-1.030820,-1.033340,-1.035620,-1.034950,-1.030950,-1.032850"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.016430; fall_capacitance : 1.812229; rise_capacitance : 2.016430; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.015790,-0.007724,-0.009747", \ "-0.031469,-0.025142,-0.027038", \ "0.111984,0.117465,0.103978"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.014155,-0.001592,-0.001289", \ "-0.018027,-0.004770,-0.007932", \ "0.071843,0.084684,0.071191"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.073737,0.059567,0.071987", \ "0.092519,0.078332,0.089571", \ "0.127231,0.114390,0.127886"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.080515,0.075448,0.089838", \ "0.086740,0.081495,0.096143", \ "0.087016,0.081541,0.095054"); } } internal_power () { when : "!CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.212224,2.176397,2.236305,2.446159,2.839546,3.465009,4.352333"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.059169,-0.094288,-0.084905,0.092071,0.497165,1.149841,2.060473"); } } internal_power () { when : "!CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.212340,2.176288,2.236159,2.446031,2.838705,3.465004,4.351304"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.060155,-0.095309,-0.085982,0.090994,0.496823,1.148825,2.059416"); } } internal_power () { when : "!CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.018608,5.941538,6.012064,6.367817,7.079915,8.217252,9.837090"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.637559,3.592593,3.586239,3.849554,4.528678,5.687052,7.345307"); } } internal_power () { when : "!CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.014276,5.942563,6.008212,6.363934,7.076162,8.213543,9.837604"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.675361,3.629372,3.623063,3.886165,4.564837,5.724263,7.381997"); } } internal_power () { when : "!CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.211096,2.175025,2.234905,2.444778,2.838085,3.463759,4.350846"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.062512,-0.097662,-0.088329,0.088643,0.493887,1.146462,2.057040"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.210566,2.174597,2.234472,2.444346,2.837021,3.463325,4.349627"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063495,-0.098652,-0.089324,0.087644,0.493495,1.145457,2.056033"); } } internal_power () { when : "!CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.211048,2.175003,2.234888,2.444760,2.837419,3.463755,4.350024"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.062875,-0.098025,-0.088683,0.088287,0.494143,1.146112,2.056694"); } } internal_power () { when : "!CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.817751,7.745733,7.812211,8.168142,8.880935,10.024190,11.655990"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.586407,4.540921,4.532955,4.796879,5.476656,6.635869,8.294084"); } } internal_power () { when : "!CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.924964,7.857524,7.924100,8.279515,8.992563,10.136260,11.760450"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.761595,4.716007,4.707934,4.972207,5.650177,6.808972,8.467925"); } } internal_power () { when : "!CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.894509,7.822220,7.888845,8.244266,8.957416,10.100680,11.731570"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.606966,4.561979,4.553879,4.816819,5.497384,6.660138,8.313797"); } } internal_power () { when : "!CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.335050,6.307279,6.412931,6.703530,7.196415,7.931381,8.920963"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.645138,4.611098,4.553989,4.680262,5.063597,5.727083,6.673483"); } } internal_power () { when : "!CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.335930,6.307310,6.413009,6.703607,7.196147,7.931018,8.920589"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.572308,4.538713,4.481803,4.607774,4.992427,5.646465,6.601204"); } } internal_power () { when : "!CK & D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.050283,2.020331,2.085362,2.301398,2.698775,3.328740,4.218958"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034241,0.004098,0.014324,0.182726,0.581211,1.230570,2.143079"); } } internal_power () { when : "!CK & D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.049966,2.020446,2.085430,2.301486,2.699603,3.328748,4.219956"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034244,0.004088,0.014288,0.182673,0.580490,1.230498,2.143022"); } } internal_power () { when : "!CK & D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.283787,7.253854,7.360908,7.649966,8.145108,8.879818,9.871078"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.439582,6.406131,6.348255,6.474123,6.857999,7.512564,8.469387"); } } internal_power () { when : "!CK & D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.245881,7.215580,7.321301,7.612535,8.105139,8.839773,9.830563"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.489301,6.459304,6.398460,6.524505,6.909524,7.572844,8.521192"); } } internal_power () { when : "!CK & D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.299819,7.269615,7.375349,7.666563,8.158643,8.894747,9.885103"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.532945,6.498495,6.441528,6.567198,6.950389,7.605030,8.562247"); } } internal_power () { when : "!CK & D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.050052,2.020409,2.085396,2.301446,2.699555,3.328698,4.219898"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034298,0.004129,0.014288,0.182687,0.580530,1.230536,2.143045"); } } internal_power () { when : "!CK & D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.050077,2.020444,2.085429,2.301481,2.698952,3.328734,4.219138"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034638,0.004366,0.014533,0.182928,0.581408,1.230771,2.143276"); } } internal_power () { when : "!CK & D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.049833,2.020303,2.085291,2.301341,2.698814,3.328596,4.218999"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.034158,0.003989,0.014148,0.182547,0.581029,1.230393,2.142899"); } } internal_power () { when : "CK & !D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.210915,2.175250,2.235017,2.444780,2.838377,3.463773,4.350592"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.049777,-0.084580,-0.074927,0.100082,0.506815,1.159378,2.068396"); } } internal_power () { when : "CK & !D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.212445,2.176412,2.236188,2.445942,2.839409,3.464808,4.351701"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047257,-0.081911,-0.072479,0.102452,0.510134,1.161809,2.071123"); } } internal_power () { when : "CK & !D & !RN & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.730170,3.658770,3.731404,4.093729,4.807249,5.940203,7.555366"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.914456,0.868046,0.865856,1.136653,1.819781,2.977797,4.627327"); } } internal_power () { when : "CK & !D & !RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.798837,3.727533,3.798671,4.156888,4.866747,5.997785,7.609762"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.884429,0.839179,0.837734,1.111069,1.796710,2.955251,4.605903"); } } internal_power () { when : "CK & !D & RN & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.210504,2.174861,2.234608,2.444377,2.837928,3.463322,4.350176"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.050586,-0.085410,-0.075791,0.099217,0.506042,1.158507,2.067640"); } } internal_power () { when : "CK & !D & RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.209821,2.174281,2.234183,2.444098,2.837381,3.463040,4.349735"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.065267,-0.099407,-0.089585,0.087008,0.492346,1.144786,2.054653"); } } internal_power () { when : "CK & !D & RN & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.210195,2.174367,2.234239,2.444196,2.837552,3.463214,4.349866"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.065494,-0.099622,-0.090140,0.086846,0.492085,1.144631,2.054347"); } } internal_power () { when : "CK & !D & RN & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.729766,3.658315,3.731113,4.093012,4.806833,5.939805,7.554957"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.913854,0.865903,0.866114,1.137186,1.822009,2.976109,4.626676"); } } internal_power () { when : "CK & !D & RN & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.798812,3.726123,3.798280,4.154910,4.865017,5.995974,7.608589"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.977279,0.932253,0.930486,1.202185,1.885613,3.039706,4.684189"); } } internal_power () { when : "CK & !D & RN & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.729411,3.658134,3.730689,4.092754,4.806428,5.939366,7.554416"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.021998,0.977542,0.973705,1.244118,1.925275,3.075684,4.718665"); } } internal_power () { when : "CK & D & !RN & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.667702,3.636769,3.741781,4.032592,4.525111,5.255604,6.241534"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.176514,2.154486,2.142602,2.299481,2.702467,3.368891,4.313440"); } } internal_power () { when : "CK & D & !RN & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.631859,3.600975,3.705986,3.997148,4.490691,5.221657,6.208482"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.237312,2.213585,2.202123,2.361112,2.763623,3.425017,4.375140"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.863432; fall_capacitance : 0.821111; rise_capacitance : 0.863432; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.024451,-0.011705,-0.005923", \ "-0.029395,-0.015609,-0.009357", \ "0.109840,0.124062,0.130518"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.011421,-0.003003,-0.004628", \ "-0.011531,-0.004739,-0.010528", \ "0.056227,0.061563,0.048214"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE & SN"; sdf_cond : "RN_AND_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.080435,0.075066,0.089311", \ "0.098168,0.092787,0.107008", \ "0.142847,0.137511,0.150863"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.066940,0.052891,0.045870", \ "0.078609,0.064579,0.057716", \ "0.089161,0.074943,0.068510"); } } internal_power () { when : "!CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.544346,0.527609,0.520628,0.516944,0.515495,0.514259,0.513240"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.461941,-0.465641,-0.467758,-0.468934,-0.470672,-0.470780,-0.471505"); } } internal_power () { when : "!CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.544206,0.527504,0.520485,0.516819,0.514622,0.514046,0.512169"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.462926,-0.466664,-0.468838,-0.470010,-0.470910,-0.471844,-0.472561"); } } internal_power () { when : "!CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.330300,5.316032,5.294100,5.298747,5.397392,5.647737,6.063037"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.780906,3.753240,3.727866,3.732610,3.823121,4.059950,4.451012"); } } internal_power () { when : "!CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.330497,5.314536,5.292302,5.298952,5.397530,5.647906,6.063202"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.816909,3.791662,3.764660,3.769791,3.861753,4.096877,4.488234"); } } internal_power () { when : "!CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542949,0.526242,0.519229,0.515561,0.514035,0.512800,0.511754"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465279,-0.469014,-0.471185,-0.472360,-0.473947,-0.474210,-0.474939"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542515,0.525813,0.518797,0.515131,0.512937,0.512367,0.510493"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466262,-0.470002,-0.472179,-0.473357,-0.474266,-0.475213,-0.475945"); } } internal_power () { when : "!CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542927,0.526219,0.519211,0.515542,0.513334,0.512796,0.510889"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465639,-0.469372,-0.471538,-0.472713,-0.473618,-0.474559,-0.475283"); } } internal_power () { when : "!CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.136028,7.122596,7.099699,7.106017,7.206524,7.458579,7.879836"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.728013,4.701795,4.675023,4.679940,4.773545,5.007947,5.400993"); } } internal_power () { when : "!CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.242896,7.229142,7.206635,7.212933,7.314892,7.568403,7.985128"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.903150,4.880008,4.849587,4.857301,4.947828,5.180257,5.574358"); } } internal_power () { when : "!CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.215147,7.199096,7.176462,7.182767,7.281296,7.538443,7.958824"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.748684,4.722119,4.695434,4.700518,4.794946,5.028053,5.421198"); } } internal_power () { when : "!CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481079,0.488812,0.490833,0.490429,0.490393,0.490608,0.490479"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321468,-0.369981,-0.409927,-0.425423,-0.434727,-0.439383,-0.443355"); } } internal_power () { when : "!CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481092,0.488852,0.490839,0.490446,0.490327,0.490542,0.490379"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321784,-0.370334,-0.410332,-0.425826,-0.434970,-0.439780,-0.443748"); } } internal_power () { when : "!CK & D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.329618,5.315315,5.293384,5.297974,5.396590,5.646813,6.059996"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.820872,3.795229,3.767727,3.772569,3.865951,4.100326,4.490890"); } } internal_power () { when : "!CK & D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.330015,5.313940,5.291672,5.298262,5.396779,5.647074,6.062260"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.821399,3.795019,3.768211,3.773362,3.865335,4.100450,4.491087"); } } internal_power () { when : "!CK & D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481053,0.488823,0.490805,0.490413,0.490280,0.490492,0.490322"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321740,-0.370296,-0.410303,-0.425797,-0.434917,-0.439752,-0.443722"); } } internal_power () { when : "!CK & D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481085,0.488857,0.490838,0.490448,0.489609,0.490529,0.489473"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321499,-0.370057,-0.410063,-0.425559,-0.433965,-0.439519,-0.443491"); } } internal_power () { when : "!CK & D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480938,0.488714,0.490695,0.490308,0.489469,0.490390,0.489333"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321877,-0.370433,-0.410441,-0.425937,-0.434343,-0.439896,-0.443868"); } } internal_power () { when : "!CK & D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.135390,7.122066,7.101434,7.105351,7.205767,7.457739,7.878880"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.731326,4.705561,4.678588,4.683515,4.776815,5.011049,5.403600"); } } internal_power () { when : "!CK & D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.242374,7.228543,7.209474,7.212252,7.314148,7.567562,7.984195"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.906751,4.883530,4.856620,4.860868,4.951399,5.183753,5.577099"); } } internal_power () { when : "!CK & D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.212423,7.198498,7.178114,7.182069,7.280553,7.537608,7.957873"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.752075,4.725665,4.699419,4.704079,4.798519,5.031601,5.423837"); } } internal_power () { when : "CK & !D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542715,0.525944,0.518979,0.515327,0.513842,0.512630,0.511152"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466369,-0.470046,-0.472241,-0.473537,-0.475258,-0.475256,-0.477021"); } } internal_power () { when : "CK & !D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543844,0.527130,0.520112,0.516476,0.514878,0.513658,0.512251"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.463827,-0.467551,-0.469820,-0.471114,-0.472619,-0.472825,-0.474322"); } } internal_power () { when : "CK & !D & !RN & SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.056133,3.042494,3.020053,3.029803,3.130728,3.375675,3.780415"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.168394,1.140608,1.117490,1.124297,1.218622,1.452198,1.844017"); } } internal_power () { when : "CK & !D & !RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.116265,3.102812,3.080028,3.089429,3.189819,3.431089,3.833749"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122612,1.096520,1.071635,1.081479,1.178755,1.413722,1.806492"); } } internal_power () { when : "CK & !D & RN & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542301,0.525555,0.518568,0.514924,0.513390,0.512176,0.510733"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467181,-0.470882,-0.473108,-0.474405,-0.476032,-0.476127,-0.477779"); } } internal_power () { when : "CK & !D & RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542234,0.525534,0.518509,0.514850,0.513292,0.512059,0.510648"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466865,-0.470616,-0.472827,-0.474007,-0.475519,-0.475872,-0.477353"); } } internal_power () { when : "CK & !D & RN & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542345,0.525615,0.518622,0.514952,0.513462,0.512235,0.510781"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467101,-0.470829,-0.472991,-0.474170,-0.475805,-0.476024,-0.477657"); } } internal_power () { when : "CK & !D & RN & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.056219,3.042695,3.020068,3.029936,3.130685,3.375628,3.780399"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.166892,1.141164,1.115953,1.124863,1.218691,1.452188,1.844155"); } } internal_power () { when : "CK & !D & RN & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.113272,3.099844,3.077065,3.086463,3.186849,3.428114,3.830758"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.123584,1.097422,1.072536,1.082382,1.179509,1.414630,1.807375"); } } internal_power () { when : "CK & !D & RN & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.052530,3.039005,3.016650,3.026408,3.128267,3.372224,3.776990"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.168604,1.142454,1.117158,1.123978,1.218237,1.451872,1.843790"); } } internal_power () { when : "CK & D & !RN & !SE & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482470,0.490243,0.492223,0.491829,0.491709,0.491925,0.491303"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.318638,-0.367292,-0.407014,-0.422366,-0.431579,-0.436189,-0.441207"); } } internal_power () { when : "CK & D & !RN & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481286,0.489090,0.491040,0.490664,0.490447,0.490658,0.490111"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321351,-0.369992,-0.409841,-0.425194,-0.434212,-0.439020,-0.443797"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.835024; fall_capacitance : 1.835024; rise_capacitance : 1.806981; timing () { related_pin : "CK"; timing_type : recovery_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.053116,-0.067811,-0.075911", \ "-0.032250,-0.047217,-0.056213", \ "0.074660,0.052439,0.038760"); } } timing () { related_pin : "CK"; timing_type : removal_rising; when : "RN"; sdf_cond : "RN === 1'b1"; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.136454,0.147307,0.156613", \ "0.146123,0.157389,0.166276", \ "0.212801,0.223663,0.231598"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.156231,0.184768,0.299612"); } } internal_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.993395,0.998252,1.000588,1.001975,1.002821,1.003357,1.003373"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.595370,-0.602104,-0.617197,-0.624710,-0.628219,-0.629295,-0.628225"); } } internal_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.993402,0.998257,1.000597,1.001983,1.002838,1.003375,1.003394"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.595347,-0.602078,-0.617165,-0.624677,-0.628204,-0.629262,-0.628192"); } } internal_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.993432,0.998240,1.000574,1.001965,1.002803,1.003339,1.003351"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.595140,-0.602129,-0.617228,-0.624742,-0.628234,-0.629327,-0.628257"); } } internal_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.982454,0.990557,0.994846,0.996764,0.997519,0.997947,0.997541"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.701123,-0.704712,-0.708829,-0.709781,-0.709627,-0.707186,-0.706548"); } } internal_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.982492,0.990579,0.994883,0.996795,0.997584,0.998013,0.997622"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.701036,-0.704611,-0.708706,-0.709658,-0.709569,-0.707063,-0.706425"); } } internal_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.982484,0.990575,0.994877,0.996790,0.997574,0.998003,0.997610"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.701049,-0.704626,-0.708724,-0.709677,-0.709578,-0.707081,-0.706443"); } } internal_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.993393,0.998248,1.000586,1.001975,1.002825,1.003362,1.003379"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.595361,-0.602094,-0.617185,-0.624698,-0.628214,-0.629284,-0.628214"); } } internal_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.982446,0.990552,0.994839,0.996758,0.997506,0.997934,0.997525"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.701141,-0.704733,-0.708854,-0.709807,-0.709639,-0.707211,-0.706573"); } } internal_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.003121,0.999661,0.999096,1.000647,1.001580,1.002549,1.001795"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.697740,-0.700512,-0.704173,-0.708749,-0.705620,-0.707480,-0.708025"); } } internal_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.002593,0.999133,0.998572,1.000122,1.001064,1.002035,1.001278"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.698897,-0.701667,-0.705322,-0.709900,-0.706788,-0.708635,-0.709201"); } } internal_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.000918,1.002267,0.999251,1.001988,1.001767,1.002718,1.001997"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.686126,-0.689740,-0.693101,-0.697544,-0.694253,-0.696688,-0.696964"); } } internal_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.000076,0.999017,1.000074,1.000011,1.000896,1.001863,1.001142"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.698704,-0.702138,-0.705844,-0.710396,-0.707181,-0.709121,-0.709574"); } } internal_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.003225,1.002159,1.000816,1.001928,1.001633,1.002592,1.001841"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.687639,-0.690514,-0.693847,-0.698181,-0.694830,-0.696927,-0.697873"); } } internal_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.003085,0.999596,1.000665,1.000595,1.001507,1.002472,1.001726"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.697717,-0.700500,-0.704185,-0.708738,-0.705580,-0.707464,-0.707990"); } } internal_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.002591,0.999132,0.998568,1.000121,1.001059,1.002029,1.001276"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.698874,-0.701646,-0.705306,-0.709885,-0.706762,-0.708620,-0.709172"); } } internal_power () { when : "CK & D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.003180,1.002133,1.000772,1.001891,1.001556,1.002513,1.001790"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.687745,-0.690636,-0.693995,-0.698329,-0.694900,-0.697075,-0.697924"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.938213; fall_capacitance : 0.844573; rise_capacitance : 0.938213; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN & SN"; sdf_cond : "RN_AND_SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.064968,0.076008,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.044518,0.048357,0.198733"); } } internal_power () { when : "!D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.388054,7.358343,7.369916,7.548288,7.919499,8.514179,9.375411"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.040599,8.020639,7.996282,8.099521,8.416704,9.019916,9.929101"); } } internal_power () { when : "!D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.939917,3.912686,3.923939,4.096151,4.459782,5.033114,5.869406"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.000770,3.983163,3.975168,4.092113,4.415356,5.009386,5.904624"); } } internal_power () { when : "!D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.388060,7.358347,7.369924,7.548293,7.919516,8.514196,9.374997"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.040624,8.020664,7.996315,8.099557,8.416719,9.019948,9.929133"); } } internal_power () { when : "!D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.942115,3.914878,3.926123,4.098325,4.462586,5.035345,5.872597"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.691059,3.673110,3.664927,3.782211,4.104908,4.702317,5.592710"); } } internal_power () { when : "!D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.388049,7.358338,7.369912,7.548284,7.919479,8.514162,9.375386"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.040580,8.020618,7.996247,8.099494,8.416686,9.019876,9.929064"); } } internal_power () { when : "!D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.941983,3.914748,3.925975,4.098173,4.462468,5.035292,5.872547"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.691096,3.673137,3.664944,3.782218,4.104952,4.702267,5.592684"); } } internal_power () { when : "!D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.896035,5.865825,5.877954,6.053931,6.417347,6.998464,7.842992"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.933131,4.915838,4.907237,5.034780,5.378181,5.999878,6.920028"); } } internal_power () { when : "!D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.339291,6.311783,6.325067,6.508850,6.883949,7.479241,8.335062"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.756030,21.737060,21.734170,21.869470,22.221990,22.854790,23.795880"); } } internal_power () { when : "!D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.335702,7.306238,7.317355,7.498697,7.876932,8.482466,9.359508"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.095230,11.074080,11.031720,11.124960,11.446420,12.065540,13.010380"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.944231,3.915297,3.928051,4.101497,4.460598,5.035405,5.872474"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.700240,3.682402,3.673980,3.791199,4.114677,4.711279,5.601784"); } } internal_power () { when : "!D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.451671,7.417947,7.428308,7.610404,7.987672,8.593472,9.469880"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.335704,7.306237,7.317359,7.498699,7.876944,8.482482,9.359529"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.095260,11.074110,11.031750,11.124990,11.446430,12.065570,13.010410"); } } internal_power () { when : "!D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.944237,3.915301,3.928059,4.101504,4.460597,5.035422,5.872473"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.700262,3.682424,3.674011,3.791230,4.114710,4.711311,5.601816"); } } internal_power () { when : "!D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.451684,7.417966,7.428335,7.610433,7.987682,8.593505,9.469893"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.335454,7.305993,7.317113,7.498458,7.876709,8.482365,9.359461"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.095220,11.074070,11.031680,11.124930,11.446390,12.065490,13.010330"); } } internal_power () { when : "!D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.944030,3.915150,3.927884,4.101367,4.460470,5.035370,5.872466"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.700296,3.682450,3.674023,3.791121,4.114722,4.711259,5.601790"); } } internal_power () { when : "!D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.451436,7.417710,7.428075,7.610181,7.987460,8.593366,9.469839"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.072980,4.043407,4.057212,4.232017,4.595289,5.176218,6.017319"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.563814,3.544651,3.532832,3.650470,3.983006,4.582290,5.483038"); } } internal_power () { when : "!D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.469646,7.439036,7.451350,7.629992,8.006091,8.592389,9.445705"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.073098,4.043295,4.056447,4.232454,4.593841,5.175481,6.016451"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.567906,3.550463,3.540805,3.656911,3.989239,4.591244,5.486497"); } } internal_power () { when : "D & !RN & !SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.896072,5.865844,5.877618,6.053953,6.417415,6.998519,7.843073"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.933213,4.915945,4.907365,5.034911,5.378243,6.000003,6.920154"); } } internal_power () { when : "D & !RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.339290,6.311814,6.325109,6.509294,6.884025,7.479624,8.335301"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.755700,21.736920,21.734270,21.869570,22.222030,22.854900,23.795740"); } } internal_power () { when : "D & !RN & !SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.895048,5.865551,5.877437,6.053185,6.416719,6.997984,7.841865"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.967773,4.946446,4.939464,5.066070,5.410818,6.031876,6.951996"); } } internal_power () { when : "D & !RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.339282,6.311811,6.325104,6.509293,6.884015,7.479614,8.335287"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.755700,21.736900,21.734250,21.869550,22.222020,22.854890,23.795720"); } } internal_power () { when : "D & !RN & SE & !SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.385795,7.356109,7.367642,7.547546,7.917803,8.512016,9.372196"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.131524,8.109915,8.085432,8.189724,8.510776,9.111570,10.022400"); } } internal_power () { when : "D & !RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.941984,3.914746,3.925975,4.098171,4.462490,5.035314,5.872575"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.691125,3.673170,3.664990,3.782259,4.104974,4.702310,5.592722"); } } internal_power () { when : "D & !RN & SE & SI & !SN & !Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.896026,5.865819,5.877946,6.053924,6.417333,6.998450,7.842976"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.933114,4.915818,4.907210,5.034755,5.378169,5.999853,6.920003"); } } internal_power () { when : "D & !RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.339284,6.311785,6.325059,6.508844,6.883936,7.479228,8.335055"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.756010,21.736830,21.734140,21.869440,22.221980,22.854770,23.795860"); } } internal_power () { when : "D & RN & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.072960,4.043427,4.057246,4.232044,4.595351,5.176295,6.017407"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.563911,3.544747,3.532964,3.650599,3.983061,4.583614,5.483160"); } } internal_power () { when : "D & RN & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.462894,7.426615,7.441996,7.624761,7.998320,8.586001,9.439541"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.073122,4.043315,4.056480,4.232481,4.593837,5.175548,6.016450"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.567884,3.550572,3.540936,3.657034,3.989362,4.591364,5.486619"); } } internal_power () { when : "D & RN & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.072952,4.043423,4.057240,4.232039,4.595341,5.176284,6.017395"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.563898,3.544731,3.532946,3.650580,3.983052,4.583595,5.483142"); } } internal_power () { when : "D & RN & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.462869,7.426591,7.441969,7.624733,7.998303,8.585972,9.439526"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.073115,4.043311,4.056474,4.232475,4.593837,5.175537,6.016449"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.567871,3.550557,3.540917,3.657015,3.989343,4.591347,5.486600"); } } internal_power () { when : "D & RN & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.335473,7.306009,7.317134,7.498479,7.876711,8.482393,9.359487"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.095250,11.074100,11.031720,11.124970,11.446410,12.065540,13.010370"); } } internal_power () { when : "D & RN & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.944032,3.915147,3.927884,4.101367,4.460469,5.035393,5.872465"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.700325,3.682483,3.674068,3.791162,4.114767,4.711302,5.601828"); } } internal_power () { when : "D & RN & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.451477,7.417755,7.428132,7.610236,7.987491,8.593412,9.469857"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.072970,4.043401,4.057204,4.232009,4.595276,5.176204,6.017303"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.563797,3.544630,3.532806,3.650445,3.982994,4.582263,5.483013"); } } internal_power () { when : "D & RN & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.462691,7.427235,7.439694,7.624579,7.998274,8.585860,9.439470"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.073089,4.043289,4.056439,4.232446,4.593840,5.175467,6.016450"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.567889,3.550442,3.540778,3.656886,3.989214,4.591219,5.486472"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.107379,0.110828,0.113984,0.119612,0.129694,0.148430,0.185061", \ "0.108866,0.112314,0.115474,0.121100,0.131180,0.149920,0.186556", \ "0.113931,0.117379,0.120537,0.126163,0.136246,0.154983,0.191612", \ "0.119617,0.123068,0.126219,0.131846,0.141928,0.160666,0.197304", \ "0.123829,0.127272,0.130424,0.136052,0.146132,0.164874,0.201496", \ "0.126555,0.130003,0.133111,0.138771,0.148820,0.167551,0.204178", \ "0.127450,0.130896,0.134048,0.139645,0.149703,0.168427,0.205047"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.114319,0.119216,0.123870,0.132872,0.150846,0.186995,0.259544", \ "0.115795,0.120692,0.125348,0.134353,0.152326,0.188477,0.261019", \ "0.120954,0.125852,0.130508,0.139514,0.157486,0.193637,0.266180", \ "0.126911,0.131806,0.136461,0.145470,0.163443,0.199593,0.272135", \ "0.131550,0.136447,0.141102,0.150113,0.168083,0.204232,0.276773", \ "0.134635,0.139531,0.144186,0.153196,0.171174,0.207321,0.279863", \ "0.135719,0.140616,0.145269,0.154274,0.172249,0.208420,0.280960"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005708,0.007570,0.009363,0.012793,0.019808,0.034729,0.066114", \ "0.005711,0.007572,0.009363,0.012791,0.019812,0.034728,0.066119", \ "0.005709,0.007569,0.009361,0.012794,0.019809,0.034730,0.066117", \ "0.005707,0.007568,0.009364,0.012794,0.019812,0.034729,0.066116", \ "0.005712,0.007568,0.009360,0.012794,0.019812,0.034727,0.066116", \ "0.005712,0.007571,0.009365,0.012792,0.019809,0.034722,0.066107", \ "0.005714,0.007571,0.009364,0.012795,0.019813,0.034728,0.066127"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006225,0.009878,0.013750,0.021649,0.038266,0.072553,0.141569", \ "0.006225,0.009878,0.013750,0.021648,0.038265,0.072553,0.141573", \ "0.006227,0.009878,0.013752,0.021648,0.038265,0.072551,0.141572", \ "0.006229,0.009878,0.013750,0.021648,0.038267,0.072552,0.141573", \ "0.006225,0.009876,0.013750,0.021648,0.038266,0.072553,0.141573", \ "0.006225,0.009877,0.013753,0.021646,0.038267,0.072551,0.141570", \ "0.006225,0.009878,0.013750,0.021648,0.038267,0.072549,0.141572"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ "0.031103,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ "0.038837,0.044764,0.050188,0.059944,0.078342,0.114671,0.187271", \ "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ "0.004877,0.006724,0.008601,0.012185,0.019395,0.034487,0.065926", \ "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066548", \ "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ "0.005985,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ "0.006928,0.010310,0.014045,0.021800,0.038298,0.072552,0.141637", \ "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065943,0.102661", \ "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.066001", \ "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ "0.031103,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ "0.038837,0.044764,0.050188,0.059944,0.078342,0.114671,0.187271", \ "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ "0.004877,0.006724,0.008601,0.012184,0.019395,0.034487,0.065926", \ "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066548", \ "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ "0.005985,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ "0.006928,0.010310,0.014045,0.021800,0.038298,0.072552,0.141637", \ "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065943,0.102661", \ "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.065999", \ "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ "0.031104,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ "0.038837,0.044764,0.050188,0.059944,0.078342,0.114669,0.187271", \ "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ "0.004877,0.006724,0.008601,0.012185,0.019395,0.034487,0.065926", \ "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066548", \ "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ "0.005983,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ "0.006928,0.010310,0.014045,0.021800,0.038298,0.072545,0.141637", \ "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065943,0.102661", \ "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.066001", \ "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ "0.078091,0.083876,0.088978,0.097204,0.110028,0.130706,0.167851"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034480,0.066000", \ "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066599", \ "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ "0.078091,0.083876,0.088978,0.097204,0.110028,0.130704,0.167851"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034480,0.066000", \ "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066597", \ "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ "0.078091,0.083876,0.088978,0.097204,0.110028,0.130704,0.167851"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034479,0.066000", \ "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066599", \ "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023120,0.027220,0.030801,0.036787,0.047088,0.065949,0.102666", \ "0.024675,0.028771,0.032352,0.038339,0.048640,0.067507,0.104220", \ "0.030977,0.035044,0.038613,0.044604,0.054914,0.073787,0.110503", \ "0.042052,0.046361,0.050100,0.056240,0.066619,0.085484,0.122163", \ "0.053519,0.058355,0.062536,0.069300,0.080308,0.099514,0.136134", \ "0.065431,0.070747,0.075393,0.082857,0.094670,0.114419,0.151238", \ "0.078061,0.083843,0.088943,0.097156,0.109986,0.130664,0.167778"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024833,0.030623,0.036023,0.045810,0.064331,0.100757,0.173370", \ "0.026071,0.031860,0.037257,0.047043,0.065564,0.101992,0.174609", \ "0.031104,0.036863,0.042232,0.051979,0.070464,0.106899,0.179533", \ "0.038837,0.044764,0.050188,0.059944,0.078342,0.114669,0.187271", \ "0.045335,0.051776,0.057438,0.067260,0.085619,0.121901,0.194374", \ "0.050445,0.057447,0.063646,0.073851,0.092191,0.128271,0.200713", \ "0.054111,0.061592,0.068425,0.079468,0.098137,0.134119,0.206360"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004858,0.006696,0.008571,0.012165,0.019385,0.034481,0.065931", \ "0.004859,0.006696,0.008573,0.012169,0.019391,0.034480,0.065926", \ "0.004877,0.006724,0.008601,0.012185,0.019395,0.034487,0.065926", \ "0.006178,0.007804,0.009475,0.012757,0.019679,0.034578,0.065939", \ "0.008220,0.009838,0.011469,0.014601,0.021055,0.035210,0.066025", \ "0.010435,0.012094,0.013773,0.016837,0.022912,0.036354,0.066537", \ "0.012918,0.014628,0.016378,0.019522,0.025382,0.038054,0.067232"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005981,0.009613,0.013510,0.021504,0.038229,0.072534,0.141630", \ "0.005977,0.009613,0.013513,0.021504,0.038220,0.072553,0.141630", \ "0.005983,0.009627,0.013526,0.021514,0.038240,0.072548,0.141619", \ "0.006928,0.010310,0.014045,0.021800,0.038298,0.072545,0.141637", \ "0.008501,0.011739,0.015103,0.022425,0.038681,0.072684,0.141617", \ "0.010396,0.013755,0.016971,0.023596,0.039085,0.072943,0.141771", \ "0.012675,0.016109,0.019515,0.025702,0.040128,0.073284,0.141933"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023116,0.027218,0.030797,0.036783,0.047083,0.065944,0.102661", \ "0.024673,0.028768,0.032349,0.038335,0.048636,0.067505,0.104217", \ "0.030974,0.035042,0.038610,0.044603,0.054911,0.073782,0.110501", \ "0.042049,0.046362,0.050098,0.056239,0.066614,0.085478,0.122159", \ "0.053515,0.058351,0.062536,0.069298,0.080301,0.099512,0.136138", \ "0.065427,0.070745,0.075390,0.082853,0.094670,0.114417,0.151232", \ "0.078055,0.083835,0.088933,0.097152,0.109985,0.130654,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006695,0.008570,0.012169,0.019384,0.034480,0.066001", \ "0.004858,0.006696,0.008575,0.012170,0.019389,0.034480,0.065997", \ "0.004877,0.006727,0.008596,0.012183,0.019396,0.034487,0.065999", \ "0.006179,0.007799,0.009471,0.012758,0.019678,0.034572,0.066014", \ "0.008222,0.009839,0.011472,0.014597,0.021050,0.035212,0.066100", \ "0.010437,0.012093,0.013773,0.016836,0.022901,0.036353,0.066598", \ "0.012921,0.014627,0.016375,0.019522,0.025381,0.038055,0.067268"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027233,0.030814,0.036802,0.047101,0.065960,0.102675", \ "0.024687,0.028783,0.032365,0.038353,0.048656,0.067517,0.104229", \ "0.030989,0.035057,0.038626,0.044620,0.054929,0.073797,0.110515", \ "0.042068,0.046379,0.050118,0.056258,0.066638,0.085500,0.122181", \ "0.053541,0.058380,0.062565,0.069325,0.080333,0.099538,0.136158", \ "0.065466,0.070783,0.075432,0.082892,0.094696,0.114464,0.151276", \ "0.078107,0.083882,0.088981,0.097206,0.110028,0.130695,0.167822"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024857,0.030648,0.036047,0.045835,0.064354,0.100780,0.173394", \ "0.026096,0.031884,0.037281,0.047067,0.065589,0.102021,0.174633", \ "0.031130,0.036888,0.042257,0.052004,0.070490,0.106926,0.179565", \ "0.038872,0.044802,0.050223,0.059981,0.078376,0.114703,0.187304", \ "0.045377,0.051818,0.057481,0.067304,0.085666,0.121941,0.194420", \ "0.050490,0.057494,0.063696,0.073900,0.092245,0.128318,0.200759", \ "0.054150,0.061636,0.068468,0.079513,0.098185,0.134171,0.206418"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008571,0.012166,0.019386,0.034485,0.065936", \ "0.004860,0.006697,0.008572,0.012169,0.019386,0.034483,0.065928", \ "0.004879,0.006725,0.008602,0.012187,0.019396,0.034489,0.065926", \ "0.006179,0.007806,0.009474,0.012759,0.019676,0.034577,0.065939", \ "0.008221,0.009839,0.011469,0.014595,0.021060,0.035209,0.066023", \ "0.010439,0.012095,0.013770,0.016838,0.022905,0.036357,0.066542", \ "0.012923,0.014631,0.016380,0.019522,0.025389,0.038051,0.067230"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005983,0.009615,0.013515,0.021505,0.038221,0.072542,0.141631", \ "0.005978,0.009614,0.013514,0.021501,0.038224,0.072554,0.141630", \ "0.005985,0.009627,0.013527,0.021515,0.038239,0.072533,0.141609", \ "0.006926,0.010309,0.014045,0.021800,0.038302,0.072546,0.141637", \ "0.008502,0.011736,0.015105,0.022425,0.038681,0.072684,0.141618", \ "0.010398,0.013756,0.016975,0.023594,0.039084,0.072942,0.141768", \ "0.012678,0.016114,0.019517,0.025699,0.040129,0.073276,0.141935"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023130,0.027232,0.030810,0.036799,0.047098,0.065958,0.102672", \ "0.024685,0.028782,0.032362,0.038352,0.048653,0.067518,0.104227", \ "0.030987,0.035054,0.038621,0.044615,0.054924,0.073791,0.110509", \ "0.042063,0.046376,0.050112,0.056251,0.066638,0.085507,0.122191", \ "0.053534,0.058377,0.062561,0.069320,0.080325,0.099547,0.136181", \ "0.065456,0.070779,0.075427,0.082885,0.094686,0.114468,0.151294", \ "0.078091,0.083876,0.088978,0.097204,0.110028,0.130704,0.167851"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004860,0.006697,0.008570,0.012169,0.019392,0.034480,0.066000", \ "0.004861,0.006696,0.008572,0.012167,0.019386,0.034479,0.065995", \ "0.004877,0.006731,0.008597,0.012182,0.019397,0.034489,0.065998", \ "0.006177,0.007800,0.009471,0.012758,0.019672,0.034569,0.066014", \ "0.008220,0.009836,0.011470,0.014597,0.021041,0.035212,0.066099", \ "0.010435,0.012093,0.013770,0.016835,0.022891,0.036351,0.066599", \ "0.012923,0.014624,0.016374,0.019514,0.025377,0.038048,0.067263"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085497,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047029,0.065551,0.101988,0.174623", \ "0.031106,0.036860,0.042223,0.051967,0.070458,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059936,0.078327,0.114666,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ "0.004879,0.006725,0.008602,0.012186,0.019397,0.034487,0.065953", \ "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019524,0.025382,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072588,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038223,0.072573,0.141674", \ "0.005976,0.009616,0.013516,0.021506,0.038228,0.072604,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038294,0.072580,0.141656", \ "0.008497,0.011734,0.015096,0.022422,0.038678,0.072710,0.141643", \ "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034471,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045795,0.064313,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047030,0.065551,0.101988,0.174623", \ "0.031106,0.036860,0.042223,0.051967,0.070458,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059936,0.078328,0.114666,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ "0.004879,0.006725,0.008602,0.012186,0.019397,0.034488,0.065953", \ "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019523,0.025381,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072589,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038222,0.072573,0.141674", \ "0.005976,0.009616,0.013516,0.021505,0.038228,0.072603,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038294,0.072581,0.141656", \ "0.008497,0.011734,0.015096,0.022421,0.038678,0.072709,0.141643", \ "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035210,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085497,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047029,0.065551,0.101988,0.174623", \ "0.031106,0.036860,0.042224,0.051967,0.070458,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059936,0.078327,0.114666,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ "0.004879,0.006725,0.008602,0.012186,0.019397,0.034487,0.065953", \ "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019524,0.025382,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072588,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038223,0.072573,0.141674", \ "0.005976,0.009616,0.013515,0.021506,0.038228,0.072604,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038294,0.072580,0.141656", \ "0.008497,0.011734,0.015096,0.022422,0.038678,0.072710,0.141643", \ "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065962,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065941", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035210,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038008,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065959,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085497,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082897,0.094700,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047029,0.065551,0.101988,0.174623", \ "0.031106,0.036860,0.042223,0.051967,0.070458,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059936,0.078327,0.114666,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085609,0.121886,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092180,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012171,0.019385,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012172,0.019386,0.034485,0.065944", \ "0.004879,0.006725,0.008602,0.012186,0.019397,0.034487,0.065953", \ "0.006179,0.007800,0.009475,0.012759,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014598,0.021058,0.035206,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022904,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019524,0.025382,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021496,0.038230,0.072588,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038223,0.072573,0.141674", \ "0.005976,0.009616,0.013516,0.021506,0.038228,0.072604,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038294,0.072580,0.141656", \ "0.008497,0.011734,0.015096,0.022422,0.038678,0.072710,0.141643", \ "0.010396,0.013752,0.016965,0.023586,0.039080,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025697,0.040119,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065962,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130659,0.167772"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038008,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047099,0.065958,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067515,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082898,0.094699,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097198,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045796,0.064313,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047030,0.065552,0.101989,0.174623", \ "0.031106,0.036860,0.042224,0.051967,0.070457,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059936,0.078329,0.114664,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085610,0.121885,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012170,0.019385,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012172,0.019386,0.034484,0.065944", \ "0.004879,0.006725,0.008602,0.012186,0.019396,0.034488,0.065953", \ "0.006179,0.007800,0.009475,0.012757,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014600,0.021057,0.035207,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022905,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019523,0.025378,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021496,0.038228,0.072593,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038219,0.072572,0.141674", \ "0.005976,0.009616,0.013517,0.021503,0.038230,0.072603,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038295,0.072584,0.141656", \ "0.008497,0.011734,0.015096,0.022421,0.038677,0.072711,0.141643", \ "0.010396,0.013752,0.016965,0.023586,0.039079,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025698,0.040121,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065960,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ "0.030989,0.035057,0.038627,0.044619,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082896,0.094701,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047029,0.065551,0.101986,0.174623", \ "0.031106,0.036860,0.042223,0.051966,0.070459,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059935,0.078326,0.114668,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085610,0.121887,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012170,0.019384,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012171,0.019385,0.034485,0.065944", \ "0.004879,0.006725,0.008602,0.012185,0.019397,0.034486,0.065953", \ "0.006179,0.007800,0.009475,0.012761,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014597,0.021058,0.035208,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022903,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019524,0.025386,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021495,0.038230,0.072586,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038225,0.072573,0.141674", \ "0.005976,0.009616,0.013516,0.021507,0.038226,0.072604,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038294,0.072577,0.141656", \ "0.008497,0.011734,0.015096,0.022421,0.038678,0.072713,0.141643", \ "0.010396,0.013752,0.016965,0.023585,0.039081,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025695,0.040118,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034471,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047098,0.065960,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067514,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082896,0.094701,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097199,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045795,0.064312,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047029,0.065551,0.101986,0.174623", \ "0.031106,0.036860,0.042223,0.051966,0.070459,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059935,0.078326,0.114668,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085610,0.121887,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012170,0.019384,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012171,0.019385,0.034485,0.065944", \ "0.004879,0.006725,0.008602,0.012185,0.019397,0.034486,0.065953", \ "0.006179,0.007800,0.009475,0.012761,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014597,0.021058,0.035208,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022903,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019524,0.025386,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021495,0.038230,0.072586,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038225,0.072573,0.141674", \ "0.005976,0.009616,0.013516,0.021507,0.038226,0.072604,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038294,0.072577,0.141656", \ "0.008497,0.011734,0.015096,0.022421,0.038678,0.072713,0.141643", \ "0.010396,0.013752,0.016965,0.023585,0.039081,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025695,0.040118,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047105,0.065962,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065942", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065941", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038008,0.067416"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & !SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023133,0.027231,0.030812,0.036800,0.047099,0.065958,0.102680", \ "0.024687,0.028785,0.032364,0.038352,0.048653,0.067515,0.104232", \ "0.030989,0.035057,0.038627,0.044620,0.054929,0.073797,0.110526", \ "0.042068,0.046381,0.050118,0.056258,0.066638,0.085498,0.122191", \ "0.053544,0.058381,0.062566,0.069324,0.080336,0.099538,0.136163", \ "0.065475,0.070793,0.075437,0.082898,0.094699,0.114461,0.151275", \ "0.078102,0.083877,0.088976,0.097198,0.110033,0.130699,0.167810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.024834,0.030617,0.036013,0.045796,0.064313,0.100748,0.173391", \ "0.026070,0.031854,0.037248,0.047030,0.065552,0.101989,0.174623", \ "0.031106,0.036860,0.042224,0.051967,0.070457,0.106896,0.179560", \ "0.038843,0.044765,0.050186,0.059936,0.078329,0.114664,0.187288", \ "0.045335,0.051774,0.057434,0.067253,0.085610,0.121885,0.194394", \ "0.050447,0.057445,0.063639,0.073841,0.092179,0.128259,0.200700", \ "0.054104,0.061585,0.068420,0.079466,0.098130,0.134112,0.206382"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004859,0.006696,0.008574,0.012170,0.019385,0.034484,0.065950", \ "0.004860,0.006698,0.008574,0.012172,0.019386,0.034484,0.065944", \ "0.004879,0.006725,0.008602,0.012186,0.019396,0.034488,0.065953", \ "0.006179,0.007800,0.009475,0.012757,0.019676,0.034576,0.065957", \ "0.008223,0.009839,0.011469,0.014600,0.021057,0.035207,0.066051", \ "0.010435,0.012093,0.013772,0.016838,0.022905,0.036357,0.066539", \ "0.012923,0.014630,0.016381,0.019523,0.025378,0.038052,0.067271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005972,0.009603,0.013503,0.021496,0.038228,0.072593,0.141671", \ "0.005968,0.009603,0.013502,0.021492,0.038219,0.072572,0.141674", \ "0.005976,0.009616,0.013515,0.021503,0.038230,0.072603,0.141681", \ "0.006922,0.010301,0.014037,0.021794,0.038295,0.072584,0.141656", \ "0.008497,0.011734,0.015096,0.022421,0.038677,0.072711,0.141643", \ "0.010396,0.013752,0.016965,0.023586,0.039079,0.072980,0.141754", \ "0.012672,0.016110,0.019514,0.025698,0.040121,0.073307,0.142002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.023131,0.027233,0.030812,0.036804,0.047106,0.065963,0.102677", \ "0.024686,0.028782,0.032366,0.038356,0.048658,0.067521,0.104232", \ "0.030988,0.035054,0.038624,0.044618,0.054928,0.073794,0.110513", \ "0.042065,0.046376,0.050113,0.056258,0.066645,0.085513,0.122194", \ "0.053539,0.058378,0.062562,0.069319,0.080331,0.099550,0.136179", \ "0.065461,0.070786,0.075429,0.082891,0.094700,0.114445,0.151259", \ "0.078087,0.083872,0.088973,0.097197,0.110029,0.130658,0.167770"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004862,0.006697,0.008572,0.012167,0.019387,0.034472,0.065941", \ "0.004861,0.006700,0.008575,0.012168,0.019391,0.034473,0.065940", \ "0.004877,0.006726,0.008598,0.012185,0.019397,0.034477,0.065942", \ "0.006181,0.007804,0.009473,0.012756,0.019672,0.034563,0.065969", \ "0.008222,0.009840,0.011473,0.014597,0.021044,0.035206,0.066094", \ "0.010438,0.012094,0.013776,0.016837,0.022899,0.036323,0.066654", \ "0.012928,0.014634,0.016379,0.019523,0.025388,0.038009,0.067416"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058296,0.063193,0.067874,0.076930,0.094953,0.131137,0.203714", \ "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ "0.066051,0.070947,0.075630,0.084686,0.102703,0.138886,0.211457", \ "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ "0.112214,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021642,0.038266,0.072552,0.141566", \ "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ "0.006533,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058296,0.063193,0.067874,0.076930,0.094952,0.131137,0.203714", \ "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ "0.066051,0.070947,0.075630,0.084686,0.102703,0.138886,0.211457", \ "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ "0.112218,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021642,0.038264,0.072552,0.141563", \ "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ "0.006538,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058296,0.063193,0.067874,0.076930,0.094951,0.131137,0.203714", \ "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ "0.066051,0.070947,0.075630,0.084686,0.102702,0.138886,0.211457", \ "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ "0.112218,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021642,0.038265,0.072552,0.141566", \ "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ "0.006538,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203712", \ "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211454", \ "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072550,0.141564", \ "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ "0.006222,0.009877,0.013749,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203713", \ "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211455", \ "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072550,0.141562", \ "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ "0.006222,0.009877,0.013752,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203712", \ "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211454", \ "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072551,0.141564", \ "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ "0.006222,0.009877,0.013753,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058296,0.063193,0.067874,0.076930,0.094951,0.131137,0.203714", \ "0.059875,0.064772,0.069455,0.078512,0.096536,0.132721,0.205293", \ "0.066051,0.070947,0.075630,0.084686,0.102702,0.138886,0.211457", \ "0.078575,0.083442,0.088081,0.097077,0.115036,0.151181,0.223736", \ "0.095050,0.099683,0.104048,0.112667,0.130312,0.166259,0.238707", \ "0.112218,0.116708,0.120793,0.128976,0.146228,0.181910,0.254206", \ "0.130192,0.134600,0.138466,0.146233,0.163048,0.198419,0.270523"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021642,0.038265,0.072552,0.141566", \ "0.006212,0.009861,0.013741,0.021643,0.038264,0.072550,0.141566", \ "0.006210,0.009867,0.013741,0.021642,0.038265,0.072553,0.141567", \ "0.006222,0.009877,0.013753,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009985,0.013857,0.021714,0.038295,0.072554,0.141572", \ "0.006538,0.010196,0.014043,0.021830,0.038345,0.072571,0.141569", \ "0.006806,0.010480,0.014297,0.021987,0.038415,0.072589,0.141575"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058293,0.063189,0.067871,0.076929,0.094951,0.131138,0.203712", \ "0.059872,0.064769,0.069452,0.078510,0.096530,0.132718,0.205291", \ "0.066048,0.070944,0.075628,0.084681,0.102700,0.138882,0.211454", \ "0.078572,0.083440,0.088083,0.097074,0.115033,0.151177,0.223733", \ "0.095044,0.099676,0.104040,0.112661,0.130309,0.166256,0.238701", \ "0.112210,0.116696,0.120781,0.128967,0.146224,0.181903,0.254201", \ "0.130180,0.134595,0.138461,0.146231,0.163044,0.198406,0.270513"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006210,0.009867,0.013741,0.021641,0.038265,0.072550,0.141562", \ "0.006212,0.009861,0.013741,0.021642,0.038264,0.072550,0.141571", \ "0.006210,0.009867,0.013741,0.021642,0.038264,0.072553,0.141567", \ "0.006222,0.009877,0.013752,0.021652,0.038267,0.072554,0.141568", \ "0.006326,0.009983,0.013857,0.021713,0.038296,0.072555,0.141572", \ "0.006533,0.010195,0.014039,0.021827,0.038347,0.072571,0.141572", \ "0.006809,0.010477,0.014297,0.021988,0.038414,0.072589,0.141580"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112560,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198150,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072549,0.141565", \ "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072549,0.141565", \ "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ "0.006213,0.009865,0.013741,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141571", \ "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198150,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072550,0.141565", \ "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ "0.006213,0.009865,0.013741,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013735,0.021634,0.038257,0.072550,0.141565", \ "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013735,0.021634,0.038257,0.072550,0.141565", \ "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203657", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013735,0.021633,0.038257,0.072550,0.141565", \ "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ "0.006213,0.009865,0.013741,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203656", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181738,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198150,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013734,0.021634,0.038257,0.072550,0.141565", \ "0.006204,0.009853,0.013733,0.021634,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038260,0.072547,0.141569", \ "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038334,0.072568,0.141573", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.058242,0.063131,0.067816,0.076875,0.094897,0.131085,0.203656", \ "0.059823,0.064711,0.069395,0.078455,0.096479,0.132667,0.205239", \ "0.065997,0.070887,0.075571,0.084630,0.102648,0.138833,0.211404", \ "0.078517,0.083375,0.088018,0.097011,0.114972,0.151119,0.223670", \ "0.094950,0.099567,0.103932,0.112559,0.130211,0.166162,0.238605", \ "0.112049,0.116521,0.120604,0.128792,0.146048,0.181737,0.254035", \ "0.129953,0.134347,0.138204,0.145966,0.162773,0.198149,0.270263"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006202,0.009857,0.013735,0.021634,0.038257,0.072550,0.141565", \ "0.006204,0.009853,0.013733,0.021633,0.038257,0.072546,0.141570", \ "0.006201,0.009855,0.013738,0.021633,0.038261,0.072547,0.141569", \ "0.006213,0.009865,0.013745,0.021642,0.038260,0.072546,0.141568", \ "0.006314,0.009976,0.013842,0.021704,0.038286,0.072554,0.141572", \ "0.006517,0.010180,0.014022,0.021812,0.038333,0.072571,0.141574", \ "0.006783,0.010446,0.014269,0.021963,0.038394,0.072591,0.141585"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.099395,8.185556,8.263441,8.399388,8.666089,8.748022,8.794106", \ "8.092593,8.168769,8.251488,8.399709,8.659850,8.727563,8.780640", \ "8.091487,8.161082,8.245070,8.380384,8.648537,8.726786,8.753118", \ "8.150621,8.218910,8.301756,8.449151,8.705242,8.778127,8.830195", \ "8.296452,8.377702,8.463182,8.599343,8.868220,8.943782,8.867643", \ "8.619173,8.686036,8.766279,8.909819,9.169360,9.145070,9.299250", \ "9.080527,9.155104,9.235611,9.382710,9.632203,9.609608,9.754452"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.365437,8.401684,8.462494,8.510302,8.571208,8.596047,8.667095", \ "8.354525,8.392801,8.450520,8.502928,8.539521,8.594399,8.566205", \ "8.352781,8.404480,8.450736,8.504515,8.502872,8.615127,8.515786", \ "8.397246,8.450025,8.505413,8.558351,8.589492,8.687608,8.688589", \ "8.569737,8.629835,8.663484,8.727870,8.783448,8.755087,8.873930", \ "8.879418,8.912809,8.977527,9.024969,9.096798,9.135843,9.087998", \ "9.344960,9.399650,9.437247,9.491577,9.546474,9.600850,9.598923"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.700179,6.113506,6.447988,6.803155,7.131774,7.524947,8.106788", \ "5.659243,6.047503,6.368630,6.742033,7.077774,7.476755,8.060791", \ "5.652078,6.033666,6.328341,6.708347,7.053831,7.440446,8.023746", \ "6.017266,6.319042,6.559275,6.917407,7.245601,7.649862,8.203388", \ "6.487718,6.604172,6.813234,7.233094,7.710412,8.081155,8.655486", \ "7.438256,7.410607,7.518413,7.778772,8.224017,8.820137,9.393147", \ "8.898027,8.766077,8.706091,8.815768,9.215752,9.703083,10.536970"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.538614,4.032889,4.436126,4.774055,5.135398,5.316158,5.353649", \ "3.571587,4.048688,4.357752,4.840469,4.991644,5.175279,5.228365", \ "3.519864,3.949297,4.369421,4.811729,4.950738,4.997902,5.118685", \ "4.000759,4.397344,4.652139,4.868726,5.152728,5.313379,4.861040", \ "4.726487,5.057030,5.242337,5.460140,5.595287,5.105884,5.425276", \ "5.843895,6.026660,6.220558,6.388493,6.349606,6.108945,5.949176", \ "7.359940,7.379191,7.691102,7.745741,7.941913,7.654622,7.588761"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.986272,7.097983,7.224415,7.355896,7.374463,7.444859,7.290228", \ "6.948559,7.056404,7.183073,7.273428,7.314623,7.292951,7.127884", \ "6.933024,7.073136,7.193768,7.289508,7.335006,7.315850,7.341051", \ "7.176588,7.261109,7.280089,7.388926,7.370865,7.331452,7.253744", \ "7.642628,7.601748,7.628909,7.683340,7.695893,7.528862,7.580254", \ "8.351652,8.184218,8.147027,8.123125,8.164772,8.112186,8.098170", \ "9.329671,9.080865,8.984227,8.893936,8.802809,8.714000,8.452866"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.700213,6.113529,6.448008,6.803167,7.131780,7.524950,8.106789", \ "5.659096,6.047526,6.368647,6.742045,7.077780,7.476758,8.060792", \ "5.652108,6.033686,6.328358,6.708358,7.053837,7.440447,8.023742", \ "6.017299,6.319068,6.559292,6.917418,7.245606,7.649865,8.203380", \ "6.487743,6.604195,6.813254,7.233109,7.710418,8.081154,8.655480", \ "7.438276,7.410625,7.518430,7.778784,8.224024,8.820142,9.393138", \ "8.898044,8.766095,8.706105,8.815779,9.215759,9.703085,10.536970"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.538624,4.032898,4.436136,4.774061,5.135402,5.316164,5.353653", \ "3.571599,4.048699,4.357763,4.840484,4.991647,5.175283,5.228369", \ "3.519875,3.949307,4.369432,4.811739,4.950734,4.997903,5.118688", \ "4.000769,4.397356,4.652150,4.868733,5.152734,5.313387,4.861035", \ "4.726496,5.057042,5.242346,5.460148,5.595293,5.105877,5.425274", \ "5.843908,6.026669,6.220567,6.388527,6.349609,6.108943,5.949171", \ "7.359949,7.379200,7.691113,7.745750,7.941919,7.654627,7.588768"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.985821,7.097519,7.223925,7.355399,7.374243,7.444281,7.289961", \ "6.948106,7.055941,7.182600,7.272928,7.314088,7.292354,7.127435", \ "6.932605,7.072678,7.193303,7.289018,7.334479,7.315263,7.340395", \ "7.176021,7.260670,7.279636,7.388452,7.370289,7.330874,7.253115", \ "7.642249,7.601341,7.628485,7.682893,7.695474,7.528277,7.579652", \ "8.351301,8.183853,8.142490,8.122557,8.164339,8.111694,8.097591", \ "9.329377,9.080560,8.983870,8.893598,8.802431,8.713551,8.452342"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.700102,6.113425,6.447913,6.803094,7.131739,7.524932,8.106781", \ "5.659102,6.047426,6.368553,6.741971,7.077737,7.476740,8.060781", \ "5.652004,6.033587,6.328267,6.708285,7.053793,7.440431,8.023740", \ "6.017200,6.318971,6.559206,6.917348,7.245565,7.649845,8.203387", \ "6.487665,6.604117,6.813179,7.233042,7.710374,8.081142,8.655484", \ "7.438211,7.410562,7.518367,7.778728,8.223983,8.820116,9.393147", \ "8.897985,8.766036,8.706052,8.815732,9.215720,9.703063,10.528490"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.538592,4.032849,4.436071,4.774002,5.135357,5.316127,5.353622", \ "3.571565,4.048646,4.357701,4.840420,4.991607,5.175252,5.228343", \ "3.542568,3.949259,4.369368,4.811676,4.950712,4.997878,5.118665", \ "4.000742,4.397309,4.652090,4.934990,5.152689,5.313959,4.861027", \ "4.726466,5.056998,5.242293,5.460092,5.595246,5.105864,5.425258", \ "5.843858,6.026627,6.220516,6.388446,6.349571,6.108922,5.949162", \ "7.359914,7.379158,7.691058,7.745698,7.941872,7.654593,7.588736"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.985956,7.097675,7.224067,7.355710,7.396837,7.444428,7.289783", \ "6.948245,7.056086,7.182745,7.273066,7.314241,7.292496,7.126572", \ "6.932580,7.072817,7.193439,7.289154,7.334629,7.315401,7.340558", \ "7.176294,7.193640,7.279768,7.388582,7.370677,7.331024,7.253297", \ "7.642286,7.601462,7.628601,7.683009,7.695268,7.528989,7.579835", \ "8.351403,8.183964,8.142592,8.122665,8.164460,8.111849,8.097753", \ "9.329475,9.080662,8.984196,8.893696,8.802555,8.713705,8.452532"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.981978,7.425863,7.744930,8.110576,8.453601,8.830854,9.401018", \ "6.888537,7.321425,7.657244,8.020351,8.359984,8.743120,9.327688", \ "6.903733,7.316697,7.623496,7.997534,8.328598,8.724861,9.305874", \ "7.426267,7.762533,8.017146,8.383469,8.701619,9.105670,9.655743", \ "8.160363,8.376239,8.602446,9.039216,9.510349,9.880269,10.459830", \ "9.650704,9.691485,9.837869,10.127430,10.558560,11.172030,11.760020", \ "11.757000,11.712600,11.713540,11.905740,12.329430,12.831930,13.680380"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.320628,4.827491,5.232585,5.575320,5.932181,6.104686,6.132092", \ "4.332576,4.820413,5.135251,5.573352,5.762038,5.937516,5.979938", \ "4.320929,4.715854,5.137411,5.582416,5.619835,5.753934,5.862976", \ "4.886667,5.291356,5.543624,5.758349,6.032620,6.186020,5.720100", \ "5.901226,6.243230,6.435339,6.678906,6.783029,6.278110,6.583431", \ "7.467208,7.712333,7.925876,8.111456,8.223262,7.818817,7.643784", \ "9.639973,9.727072,10.091830,10.375710,10.428660,10.152990,10.062760"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676113,7.801529,7.913059,8.043406,8.011025,8.130315,7.973963", \ "7.617272,7.725055,7.851088,8.011742,7.990700,7.955874,7.822883", \ "7.604918,7.748957,7.869004,7.964024,8.041112,8.106323,8.012465", \ "7.933997,8.018428,8.036387,8.144572,8.248399,8.084939,8.006385", \ "8.606360,8.528899,8.554835,8.606937,8.615373,8.435172,8.501065", \ "9.551267,9.386231,9.343249,9.325459,9.363226,9.307483,9.292104", \ "10.910180,10.739840,10.557490,10.483540,10.392940,10.300410,10.036910"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.981894,7.425820,7.744909,8.110565,8.453593,8.830834,9.400984", \ "6.888456,7.321377,7.657216,8.020339,8.359975,8.743102,9.327675", \ "6.903651,7.316651,7.623470,7.997524,8.328584,8.724838,9.305841", \ "7.426192,7.762482,8.017110,8.383456,8.701605,9.105656,9.655690", \ "8.160299,8.376194,8.602411,9.039195,9.510332,9.880238,10.459800", \ "9.650648,9.691441,9.837838,10.127410,10.558540,11.172010,11.759990", \ "11.756950,11.712560,11.713510,11.905710,12.329410,12.831890,13.667890"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.320585,4.827430,5.232551,5.575289,5.932155,6.104670,6.132158", \ "4.332541,4.820372,5.135213,5.573326,5.762005,5.937492,5.979911", \ "4.320890,4.715802,5.137374,5.582394,5.619792,5.753899,5.862941", \ "4.886635,5.291321,5.543590,5.758314,6.032597,6.186005,5.720035", \ "5.901192,6.243195,6.435305,6.678883,6.783007,6.278039,6.583384", \ "7.467176,7.712293,7.925838,8.111425,8.223243,7.818769,7.643721", \ "9.639946,9.727034,10.091800,10.375690,10.428640,10.152970,10.062750"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676617,7.802337,7.913559,8.043937,8.011578,8.130149,7.974696", \ "7.617739,7.725560,7.851588,8.012300,7.991268,7.956574,7.823651", \ "7.605407,7.749458,7.869500,7.964552,8.041671,8.107030,8.013259", \ "7.934380,8.018900,8.036876,8.145085,8.248994,8.085640,8.007071", \ "8.606797,8.529336,8.555301,8.607430,8.615937,8.435770,8.501712", \ "9.551654,9.388811,9.353139,9.325884,9.363701,9.308065,9.292753", \ "10.910500,10.740170,10.557840,10.483890,10.393340,10.300100,10.036310"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.981931,7.425843,7.744926,8.110574,8.453599,8.830841,9.400992", \ "6.888493,7.321405,7.657237,8.020350,8.359982,8.743109,9.327680", \ "6.903689,7.316676,7.623487,7.997535,8.328592,8.724846,9.305849", \ "7.426233,7.762510,8.017130,8.383467,8.701613,9.105662,9.655701", \ "8.160333,8.376221,8.602433,9.039210,9.510341,9.880247,10.459810", \ "9.650677,9.691466,9.837858,10.127420,10.558550,11.172020,11.760000", \ "11.756980,11.712590,11.713530,11.905730,12.329420,12.831900,13.666440"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.320602,4.827448,5.232562,5.575300,5.932164,6.104678,6.132169", \ "4.332557,4.820385,5.135226,5.573336,5.762016,5.937502,5.979922", \ "4.320906,4.715818,5.137387,5.582404,5.619805,5.753911,5.862954", \ "4.886648,5.291334,5.543602,5.758325,6.032606,6.186013,5.720051", \ "5.901205,6.243207,6.435317,6.678893,6.783016,6.278057,6.583397", \ "7.467189,7.712308,7.925851,8.111442,8.223252,7.818782,7.643737", \ "9.639960,9.727049,10.091810,10.375700,10.428650,10.152980,10.062760"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676630,7.802223,7.913573,8.043950,8.011605,8.130631,7.974743", \ "7.617753,7.725574,7.851602,8.012310,7.991499,7.956580,7.823663", \ "7.605420,7.749470,7.869513,7.964564,8.041687,8.107036,8.013263", \ "7.934468,8.018913,8.036889,8.145098,8.249005,8.085645,8.007084", \ "8.606808,8.529349,8.555312,8.607440,8.615948,8.435782,8.501725", \ "9.551666,9.388828,9.343676,9.325960,9.363715,9.308031,9.292836", \ "10.910510,10.740190,10.557850,10.483910,10.393360,10.300110,10.036320"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.700126,6.113440,6.447925,6.803104,7.131743,7.524932,8.106777", \ "5.658989,6.047439,6.368565,6.741978,7.077740,7.476740,8.060781", \ "5.652022,6.033601,6.328277,6.708292,7.053797,7.440429,8.023733", \ "6.017220,6.318987,6.559216,6.917355,7.245567,7.649846,8.203374", \ "6.487680,6.604131,6.813191,7.233051,7.710377,8.081137,8.655474", \ "7.438222,7.410572,7.518377,7.778736,8.223986,8.820118,9.411706", \ "8.897995,8.766047,8.706060,8.815736,9.215723,9.703062,10.524690"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.538597,4.032852,4.436078,4.774007,5.135360,5.316132,5.353625", \ "3.571571,4.048653,4.357707,4.840420,4.991607,5.175254,5.228342", \ "3.542574,3.949263,4.369375,4.811684,4.950710,4.997876,5.118662", \ "4.000748,4.397317,4.652097,4.934991,5.152692,5.313964,4.861014", \ "4.726471,5.057002,5.242298,5.460097,5.595250,5.105850,5.425250", \ "5.843864,6.026631,6.220520,6.388455,6.349571,6.108916,5.949149", \ "7.359919,7.379162,7.691065,7.745701,7.941877,7.654595,7.588739"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.985525,7.097228,7.223610,7.355222,7.394169,7.443755,7.289029", \ "6.947826,7.055640,7.182288,7.272571,7.313692,7.291835,7.125814", \ "6.932146,7.072370,7.192982,7.288664,7.334083,7.314746,7.339772", \ "7.175729,7.193206,7.279311,7.388096,7.370141,7.330371,7.252538", \ "7.641877,7.601039,7.628157,7.682535,7.694726,7.528348,7.579093", \ "8.351019,8.183567,8.142176,8.122224,8.163965,8.111263,8.097009", \ "9.329131,9.080305,8.983822,8.893297,8.802102,8.713146,8.451841"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.982004,7.425880,7.744943,8.110583,8.453605,8.830860,9.401026", \ "6.888563,7.321444,7.657257,8.020358,8.359989,8.743125,9.327692", \ "6.903760,7.316714,7.623509,7.997542,8.328604,8.724867,9.305882", \ "7.426293,7.762552,8.017160,8.383476,8.701625,9.105674,9.655755", \ "8.160385,8.376256,8.602461,9.039226,9.510355,9.880277,10.459840", \ "9.650723,9.691500,9.837882,10.127440,10.558570,11.172040,11.760030", \ "11.757020,11.712620,11.713550,11.905750,12.329440,12.831930,13.667920"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.320639,4.827501,5.232593,5.575328,5.932187,6.104691,6.132096", \ "4.332585,4.820422,5.135260,5.573360,5.762047,5.937523,5.979946", \ "4.320940,4.715866,5.137420,5.582422,5.619846,5.753944,5.862986", \ "4.886675,5.291365,5.543633,5.758358,6.032627,6.186025,5.720115", \ "5.901234,6.243239,6.435348,6.678912,6.783036,6.278126,6.583443", \ "7.467217,7.712343,7.925886,8.111465,8.223268,7.818829,7.643800", \ "9.639982,9.727083,10.091840,10.375720,10.428660,10.153000,10.062770"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676697,7.802094,7.913663,8.044041,8.011711,8.131112,7.974861", \ "7.617836,7.725651,7.851691,8.012387,7.991546,7.956656,7.823756", \ "7.605490,7.749546,7.869601,7.964652,8.041788,8.107100,8.013333", \ "7.934507,8.018992,8.036970,8.145182,8.249073,8.085707,8.007210", \ "8.606874,8.529427,8.555385,8.607514,8.616012,8.435875,8.501854", \ "9.551728,9.388891,9.343745,9.326039,9.363795,9.308129,9.292880", \ "10.910570,10.740250,10.557920,10.483980,10.393440,10.300170,10.036440"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.691926,7.076049,7.348763,7.644445,7.798329,7.859714,7.870170", \ "6.604734,7.006706,7.260065,7.553831,7.708883,7.769822,7.786970", \ "6.620521,6.981736,7.239411,7.524561,7.692072,7.754863,7.783195", \ "7.130741,7.416673,7.621151,7.893383,8.054326,8.109951,8.139100", \ "7.854226,8.021576,8.201838,8.549758,8.851407,8.916803,8.927394", \ "9.334752,9.306772,9.406308,9.623172,9.916057,10.210520,10.244920", \ "11.371440,11.241980,11.230610,11.339950,11.636830,11.877190,12.147670"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826087,4.127121,4.321920,4.409803,4.543356,4.035626,4.659057", \ "3.800950,4.084504,4.196094,4.244439,4.377879,4.533097,4.497624", \ "3.788134,4.105001,4.198508,4.232388,4.190877,4.354003,4.380003", \ "4.282805,4.493143,4.549833,4.549014,4.636776,4.141291,4.236414", \ "5.262649,5.424961,5.432943,5.388710,5.383365,4.831985,5.095230", \ "6.817219,6.871012,6.965536,6.894338,6.670225,6.385879,6.126955", \ "8.882624,8.827544,9.028522,8.961847,8.783339,8.594669,8.506329"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541493,8.707051,8.840144,8.898074,8.994368,8.819699,8.752861", \ "8.483751,8.647953,8.780284,8.867420,8.963910,8.935023,8.899424", \ "8.469530,8.663501,8.789335,8.880619,8.844923,9.005075,8.785523", \ "8.807334,8.925329,9.004413,9.051277,9.140805,9.160180,9.178577", \ "9.436324,9.470734,9.436444,9.463146,9.526331,9.483934,9.300261", \ "10.402700,10.291940,10.253800,10.242750,10.195230,10.127760,10.052590", \ "11.609410,11.503040,11.365110,11.254720,11.146150,11.186760,11.044890"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.691957,7.076068,7.348775,7.642964,7.798354,7.859718,7.870164", \ "6.604766,7.006725,7.260077,7.553777,7.708181,7.769490,7.786969", \ "6.620554,6.981754,7.239423,7.524564,7.691387,7.754862,7.783193", \ "7.130779,7.416697,7.621165,7.893430,8.053635,8.120092,8.139095", \ "7.854254,8.021598,8.201855,8.549781,8.851375,8.916799,8.927387", \ "9.334776,9.306791,9.406324,9.623183,9.916042,10.193280,10.244910", \ "11.371470,11.242000,11.230620,11.337710,11.635580,11.877190,12.149010"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826095,4.127138,4.321928,4.405254,4.538714,4.030396,4.659062", \ "3.800960,4.084513,4.196102,4.239670,4.373048,4.528182,4.497628", \ "3.788143,4.105010,4.198517,4.227708,4.185953,4.348949,4.380006", \ "4.282813,4.493153,4.549842,4.544367,4.631994,4.141289,4.236409", \ "5.262657,5.424969,5.432950,5.388690,5.378510,4.826590,5.095230", \ "6.817227,6.871020,6.965544,6.889590,6.665255,6.380553,6.126949", \ "8.882634,8.827554,9.028532,8.956733,8.783317,8.591587,8.506336"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541052,8.706642,8.839728,8.897631,8.993939,9.001377,8.752324", \ "8.483351,8.647535,8.779868,8.866971,8.963427,8.934484,8.898823", \ "8.469397,8.663096,8.788897,8.880185,8.844941,9.004912,8.784928", \ "8.806888,8.924937,9.003642,9.050845,9.140340,9.159752,9.178003", \ "9.437133,9.470381,9.436060,9.462761,9.525900,9.483434,9.299722", \ "10.402410,10.291630,10.253470,10.242410,10.194860,10.127340,10.052080", \ "11.609190,11.503220,11.364860,11.254450,11.145850,11.231180,11.044440"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.691777,7.075911,7.348645,7.644364,7.798295,7.859708,7.870172", \ "6.604589,7.006570,7.259949,7.553751,7.708849,7.769817,7.786969", \ "6.620386,6.981607,7.239299,7.524483,7.692040,7.754859,7.783195", \ "7.130629,7.416562,7.621050,7.893309,8.054294,8.109947,8.139103", \ "7.854135,8.021488,8.201757,8.549693,8.851372,8.916797,8.927399", \ "9.334672,9.306698,9.406240,9.623116,9.916025,10.193270,10.244920", \ "11.371370,11.241910,11.230550,11.339900,11.636800,11.877180,12.153130"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826070,4.127093,4.321877,4.409756,4.543318,4.035611,4.659034", \ "3.800933,4.084472,4.196053,4.244396,4.377843,4.533071,4.497604", \ "3.788116,4.104967,4.201624,4.232345,4.190844,4.353979,4.379985", \ "4.282784,4.493111,4.549792,4.548971,4.636738,4.141273,4.236403", \ "5.262625,5.424927,5.432902,5.388666,5.383327,4.831968,5.095213", \ "6.817187,6.870976,6.965492,6.894294,6.670191,6.385857,6.126942", \ "8.882586,8.827502,9.028473,8.961799,8.783300,8.594640,8.506305"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.540804,8.706681,8.839647,8.897523,8.993572,9.002123,8.751753", \ "8.483315,8.647564,8.779826,8.866844,8.963201,8.934049,8.898233", \ "8.464775,8.662887,8.788827,8.880068,8.840690,8.999488,8.784362", \ "8.789782,8.924889,8.950405,9.050727,9.140095,9.157776,9.177450", \ "9.432200,9.470377,9.435938,9.462766,9.525680,9.483056,9.299215", \ "10.404830,10.291650,10.253620,10.242300,10.194660,10.126990,10.051570", \ "11.609220,11.501160,11.364740,11.254370,11.145850,11.186030,11.043970"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.692068,7.076151,7.348846,7.644487,7.798366,7.859734,7.870209", \ "6.604853,7.006808,7.260148,7.553879,7.708910,7.769847,7.786998", \ "6.620645,6.981833,7.239490,7.524609,7.692102,7.754890,7.783222", \ "7.130856,7.416776,7.621226,7.893434,8.054353,8.109989,8.139148", \ "7.854325,8.021657,8.201910,8.549808,8.851442,8.916829,8.927443", \ "9.334830,9.306848,9.406372,9.623226,9.916110,10.210550,10.244940", \ "11.371520,11.242060,11.230680,11.340010,11.636870,11.877240,12.153160"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826097,4.127122,4.321922,4.409795,4.543343,4.035670,4.659047", \ "3.800954,4.084510,4.196111,4.244444,4.377875,4.533082,4.497628", \ "3.788129,4.105004,4.198516,4.232390,4.190902,4.354003,4.380018", \ "4.282803,4.493145,4.549845,4.549016,4.636765,4.141335,4.236463", \ "5.262659,5.424971,5.432957,5.388720,5.383354,4.832029,5.095263", \ "6.817250,6.871035,6.965554,6.894342,6.670227,6.385898,6.127015", \ "8.882641,8.827572,9.028543,8.961860,8.783368,8.591593,8.506337"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541208,8.706750,8.839324,8.896756,8.991860,8.986636,8.745895", \ "8.483486,8.647545,8.779534,8.866009,8.961424,8.930370,8.892263", \ "8.461753,8.662815,8.788482,8.879222,8.838965,9.004249,8.778438", \ "8.831202,8.924830,8.950105,9.049862,9.138223,9.111714,9.171877", \ "9.432348,9.403483,9.435616,9.461905,9.523815,9.479633,9.293446", \ "10.402470,10.291590,10.253220,10.241380,10.192870,10.123610,10.045690", \ "11.609310,11.500930,11.364330,11.253510,11.144090,11.183440,11.039080"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.691994,7.076100,7.348811,7.637699,7.798378,7.859706,7.870188", \ "6.604777,7.006759,7.260114,7.553559,7.705079,7.768624,7.786989", \ "6.620571,6.981783,7.239455,7.524642,7.688357,7.754865,7.783212", \ "7.130786,7.416724,7.621187,7.893573,8.050539,8.118666,8.139125", \ "7.854265,8.021613,8.201872,8.549893,8.851235,8.916820,8.927416", \ "9.334780,9.306806,9.406340,9.623209,9.915968,10.193300,10.244940", \ "11.371480,11.242020,11.230640,11.333650,11.633020,11.877210,12.153150"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826068,4.127086,4.321904,4.384873,4.517999,4.007375,4.659029", \ "3.800929,4.084484,4.196084,4.378438,4.351943,4.506444,4.497602", \ "3.788103,4.104982,4.198715,4.207011,4.164216,4.326796,4.379984", \ "4.282785,4.493124,4.549823,4.523644,4.610881,4.141265,4.236398", \ "5.262636,5.424948,5.432934,5.388526,5.357114,4.802880,5.095217", \ "6.817231,6.871006,6.965531,6.868522,6.643375,6.357115,6.126950", \ "8.882621,8.827542,9.028520,8.934095,8.783081,8.591572,8.506319"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541655,8.712355,8.839789,8.897234,8.992328,8.979966,8.746594", \ "8.483900,8.648005,8.779992,8.866515,8.961980,8.931048,8.893034", \ "8.462107,8.663270,8.788937,8.879713,8.839484,9.004893,8.779186", \ "8.788227,8.925257,8.950553,9.050335,9.138782,9.112389,9.172568", \ "9.432721,9.403866,9.436030,9.462346,9.524341,9.480215,9.294048", \ "10.402800,10.291920,10.253580,10.241760,10.193290,10.124090,10.046360", \ "11.609550,11.501180,11.364610,11.253800,11.144420,11.182360,11.038770"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.692026,7.076121,7.348824,7.637934,7.798348,7.859725,7.870192", \ "6.604811,7.006781,7.260125,7.553919,7.711735,7.771186,7.786991", \ "6.620605,6.981805,7.239469,7.524608,7.694857,7.754908,7.783214", \ "7.130824,7.416749,7.621203,7.893324,8.044487,8.109971,8.139129", \ "7.854296,8.021636,8.201891,8.549870,8.851572,8.916822,8.927421", \ "9.334806,9.306827,9.406357,9.623183,9.916150,10.193310,10.244940", \ "11.371500,11.242040,11.230660,11.349080,11.641890,11.877220,12.153150"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826081,4.127105,4.321912,4.428118,4.233529,4.056841,4.659038", \ "3.800941,4.084495,4.196094,4.263832,4.397410,4.553014,4.497613", \ "3.788115,4.104992,4.198503,4.251378,4.210861,4.374416,4.379996", \ "4.282794,4.493134,4.549832,4.567933,4.656082,4.141230,4.236414", \ "5.262646,5.424958,5.432943,5.388822,5.276516,4.853794,5.095231", \ "6.817241,6.871018,6.965541,6.913514,6.690459,6.407444,6.126967", \ "8.882632,8.827555,9.028531,8.982553,8.783416,8.594669,8.506329"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541666,8.709618,8.839797,8.897244,8.992471,8.814342,8.746632", \ "8.483913,8.648014,8.780000,8.866522,8.961988,8.931051,8.893035", \ "8.462108,8.663279,8.788946,8.879721,8.839496,9.004889,8.779189", \ "8.831640,8.925268,8.950563,9.050343,9.138787,9.112389,9.172575", \ "9.432717,9.403877,9.436041,9.462355,9.524344,9.480220,9.294062", \ "10.402810,10.291940,10.253590,10.241770,10.193300,10.124100,10.046370", \ "11.609560,11.501200,11.364620,11.253810,11.144430,11.182360,11.038780"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.691800,7.075925,7.348653,7.637786,7.798285,7.859701,7.870165", \ "6.604612,7.006585,7.259957,7.553804,7.711682,7.771160,7.786967", \ "6.620409,6.981620,7.239308,7.524495,7.694801,7.754883,7.783192", \ "7.130653,7.416578,7.621059,7.893213,8.044426,8.109938,8.139096", \ "7.854152,8.021502,8.201767,8.549781,8.851511,8.916793,8.927390", \ "9.334686,9.306709,9.406249,9.623093,9.916078,10.210510,10.244910", \ "11.371380,11.241920,11.230550,11.348990,11.641830,11.877180,12.153120"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826075,4.127099,4.321883,4.428097,4.233505,4.056823,4.659036", \ "3.800939,4.084477,4.196057,4.263807,4.397397,4.553019,4.497603", \ "3.788122,4.104973,4.198471,4.251354,4.210828,4.374413,4.379983", \ "4.282790,4.493117,4.549797,4.567907,4.656071,4.141206,4.236390", \ "5.262629,5.424931,5.432906,5.388782,5.276481,4.853771,5.095207", \ "6.817192,6.870980,6.965497,6.913485,6.690441,6.407429,6.126929", \ "8.882593,8.827507,9.028480,8.982514,8.783367,8.594642,8.506308"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.540414,8.706271,8.839226,8.897072,8.993092,9.005914,8.750959", \ "8.482934,8.647153,8.779406,8.866384,8.962686,8.933432,8.897477", \ "8.464592,8.662476,8.788406,8.879611,8.840188,8.998968,8.783610", \ "8.789407,8.924488,8.949985,9.050276,9.139583,9.157199,9.176717", \ "9.431836,9.469994,9.435537,9.462335,9.525188,9.482495,9.298512", \ "10.404720,10.291310,10.253260,10.241910,10.194220,10.126460,10.050880", \ "11.608950,11.500760,11.364430,11.254030,11.145470,11.185540,11.043340"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & !SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.692091,7.076166,7.348857,7.637720,7.798397,7.859711,7.870214", \ "6.604876,7.006823,7.260158,7.553583,7.705093,7.768635,7.787000", \ "6.620670,6.981848,7.239500,7.524662,7.688369,7.754879,7.783224", \ "7.130880,7.416793,7.621238,7.893593,8.050549,8.118671,8.139153", \ "7.854345,8.021672,8.201923,8.549922,8.851250,8.916828,8.927448", \ "9.334847,9.306862,9.406383,9.623243,9.915994,10.193330,10.244950", \ "11.371540,11.242070,11.230690,11.333700,11.633050,11.877240,12.149060"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("3.826106,4.127131,4.321928,4.384901,4.518022,4.007465,4.659053", \ "3.800962,4.084518,4.196118,4.378459,4.351980,4.506473,4.497636", \ "3.788138,4.105011,4.201687,4.207047,4.164265,4.326838,4.380028", \ "4.282810,4.493151,4.549852,4.523678,4.610907,4.141353,4.236479", \ "5.262666,5.424979,5.432964,5.388551,5.357137,4.802965,5.095276", \ "6.817256,6.871043,6.965563,6.868552,6.643408,6.357170,6.127030", \ "8.882648,8.827582,9.028551,8.934133,8.783116,8.591600,8.506344"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541730,8.710100,8.839878,8.897332,8.992449,8.816009,8.746688", \ "8.483992,8.648085,8.780081,8.866596,8.962063,8.931113,8.893105", \ "8.462197,8.663348,8.789025,8.879798,8.839586,9.004944,8.779268", \ "8.831706,8.925340,8.950642,9.050418,9.138846,9.112436,9.172675", \ "9.432787,9.403951,9.436106,9.462423,9.524397,9.480295,9.294195", \ "10.402860,10.292000,10.253640,10.241840,10.193370,10.124200,10.046420", \ "11.609610,11.501260,11.364670,11.253870,11.144500,11.182410,11.038870"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223188,6.369378,6.541455,6.775932,6.828172,6.839734,6.845919", \ "6.204653,6.325454,6.497609,6.716717,6.818679,6.990588,7.007103", \ "6.182957,6.337308,6.502373,6.660107,6.837815,6.810243,6.884133", \ "6.376494,6.472499,6.612562,6.738198,6.975327,6.845988,7.072468", \ "6.799028,6.861808,6.886433,7.073600,7.145213,7.155536,7.240324", \ "7.394663,7.365359,7.412846,7.524836,7.560147,7.463812,7.610056", \ "8.240759,8.162127,8.134727,8.117434,8.186626,8.223623,8.148350"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223123,6.369304,6.541374,6.775805,6.863919,6.839571,7.009854", \ "6.204584,6.325380,6.497527,6.716618,6.818552,6.990423,7.006862", \ "6.182889,6.337233,6.502274,6.660009,6.837690,6.810081,6.883892", \ "6.376410,6.472423,6.612478,6.738100,6.975203,6.845827,7.072255", \ "6.798965,6.861736,6.886354,7.073507,7.145097,7.155384,7.240130", \ "7.431760,7.365301,7.412776,7.524754,7.560045,7.463588,7.609871", \ "8.240728,8.162089,8.134684,8.117407,8.186548,8.223513,8.148193"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223312,6.369516,6.541609,6.776066,6.838122,6.840078,6.846365", \ "6.204775,6.325593,6.497762,6.716913,6.818940,6.990945,7.007551", \ "6.183079,6.337449,6.502516,6.660300,6.838074,6.810593,6.884575", \ "6.376611,6.472640,6.612723,6.738390,6.975578,6.846318,7.072902", \ "6.799147,6.861941,6.886582,7.073781,7.145448,7.155857,7.240733", \ "7.431866,7.365466,7.412964,7.524982,7.560352,7.464091,7.610433", \ "8.240814,8.162189,8.134801,8.117553,8.186780,8.223861,8.148685"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152916,6.298722,6.470320,6.689311,6.822601,6.957839,6.851311", \ "6.135488,6.256323,6.428489,6.647475,6.749262,6.931248,6.940330", \ "6.115983,6.268875,6.361156,6.647268,6.769247,6.747198,6.818090", \ "6.269098,6.404545,6.544619,6.670113,6.907008,6.778488,7.003017", \ "6.732171,6.797052,6.819239,7.006390,7.077598,7.089108,7.175492", \ "7.327849,7.292607,7.371001,7.473485,7.493206,7.575768,7.362828", \ "8.177883,8.099063,8.071078,8.043489,8.118922,8.119010,8.084078"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152972,6.298779,6.470373,6.689396,6.806357,6.955957,6.962330", \ "6.135546,6.256379,6.428541,6.647558,6.749381,6.931445,6.940565", \ "6.116043,6.268935,6.361202,6.647322,6.769360,6.747378,6.818313", \ "6.269142,6.404597,6.544680,6.670184,6.907105,6.778591,7.003161", \ "6.732213,6.797101,6.819300,7.006465,7.077687,7.089265,7.175654", \ "7.327884,7.292642,7.371055,7.473537,7.493293,7.575890,7.362941", \ "8.177905,8.099084,8.071114,8.043530,8.118984,8.119144,8.084232"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153240,6.299072,6.470683,6.689754,6.807433,6.949300,6.851361", \ "6.135818,6.256673,6.428852,6.647934,6.749856,6.932085,6.941369", \ "6.116314,6.269232,6.361511,6.647711,6.769829,6.748009,6.819106", \ "6.269412,6.404892,6.545091,6.670549,6.907557,6.779156,7.003929", \ "6.732476,6.797386,6.819610,7.006818,7.078113,7.089834,7.176360", \ "7.328109,7.292882,7.371336,7.473833,7.493676,7.576395,7.363584", \ "8.178059,8.099255,8.071309,8.043756,8.119284,8.119585,8.084826"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223113,6.369294,6.541369,6.775778,6.837271,6.839642,6.845735", \ "6.204575,6.325371,6.497523,6.716626,6.818572,6.990446,7.006980", \ "6.182875,6.337224,6.502279,6.660017,6.837710,6.810079,6.884005", \ "6.376416,6.472401,6.612545,6.738106,6.975226,6.845870,7.072433", \ "6.798952,6.861726,6.886347,7.073512,7.145116,7.155421,7.240187", \ "7.431745,7.365279,7.412838,7.524755,7.560058,7.463674,7.609945", \ "8.240708,8.162068,8.134662,8.117385,8.186551,8.223525,8.148231"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153180,6.299011,6.470626,6.689683,6.821104,6.960551,6.970816", \ "6.135755,6.256612,6.428796,6.647848,6.749732,6.931869,6.941202", \ "6.116252,6.269169,6.361461,6.647626,6.769710,6.747805,6.818854", \ "6.269363,6.404837,6.544934,6.670473,6.907454,6.779049,7.003761", \ "6.732428,6.797331,6.819545,7.006738,7.078019,7.089670,7.176191", \ "7.328068,7.292843,7.371267,7.473778,7.493582,7.576266,7.363467", \ "8.178032,8.099230,8.071268,8.043712,8.119217,8.119445,8.084666"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152917,6.288525,6.400311,6.520486,7.112101,8.996998,9.091428", \ "6.109234,6.244693,6.356908,6.509720,7.116716,8.956820,9.251715", \ "6.152171,6.259975,6.318610,6.452715,7.124084,8.890613,9.127587", \ "6.346980,6.399859,6.456863,6.582445,7.190172,9.008631,9.203907", \ "6.793212,6.804327,6.814468,6.899095,7.502021,9.148014,9.494167", \ "7.400666,7.313032,7.284376,7.331188,7.851658,9.526086,9.699535", \ "8.241374,8.055750,7.954562,7.908642,8.285686,10.214520,10.490710"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152857,6.288456,6.400234,6.520394,7.117591,9.010090,9.091263", \ "6.109174,6.244624,6.356831,6.509627,7.115328,8.956667,9.251477", \ "6.152109,6.259902,6.318528,6.452623,7.124122,8.890467,9.127359", \ "6.346918,6.399788,6.471936,6.582353,7.190066,9.008435,9.203711", \ "6.793154,6.804260,6.772610,6.899008,7.501917,9.147857,9.494208", \ "7.400618,7.312977,7.284317,7.331128,7.851515,9.525958,9.699356", \ "8.241345,8.055715,7.954521,7.908589,8.285616,10.214410,10.490560"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153033,6.288658,6.400459,6.520668,7.117418,8.982975,9.091883", \ "6.109351,6.244827,6.357055,6.509906,7.115888,8.957185,9.252122", \ "6.152290,6.260107,6.318744,6.452899,7.124439,8.890967,9.127999", \ "6.347103,6.399994,6.472169,6.582627,7.190419,9.008944,9.204328", \ "6.793329,6.804455,6.814613,6.899268,7.502239,9.148308,9.494567", \ "7.400760,7.313134,7.284506,7.331365,7.851821,9.526345,9.699904", \ "8.241423,8.055810,7.954632,7.908737,8.285826,10.214730,10.491040"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152947,6.288527,6.400307,6.520469,7.135214,8.978077,9.093891", \ "6.109231,6.244695,6.356913,6.509755,7.153555,8.957309,9.254143", \ "6.152163,6.259964,6.318603,6.452707,7.124831,8.798531,9.129978", \ "6.346968,6.399854,6.457229,6.582589,7.189722,9.009520,9.206327", \ "6.793203,6.804327,6.772695,6.899061,7.501589,9.149946,9.496569", \ "7.400647,7.313030,7.284381,7.330651,7.864949,9.391593,9.904714", \ "8.241317,8.055735,7.954557,7.908660,8.285382,10.196850,10.492820"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152991,6.288580,6.400356,6.520524,7.136622,8.974249,9.094161", \ "6.109276,6.244747,6.356961,6.509830,7.154702,8.957499,9.254499", \ "6.152214,6.260020,6.318646,6.452767,7.125047,8.797642,9.130352", \ "6.347011,6.399900,6.457364,6.582666,7.189738,9.009810,9.206608", \ "6.793240,6.804372,6.772753,6.899126,7.501617,9.150250,9.497034", \ "7.400679,7.313064,7.284423,7.330570,7.863411,9.394158,9.900187", \ "8.241327,8.055749,7.954586,7.908699,8.285405,10.197010,10.493050"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153251,6.288867,6.400660,6.520865,7.136047,8.974766,9.094910", \ "6.109538,6.245035,6.357265,6.510197,7.155106,8.958122,9.255260", \ "6.152484,6.260312,6.318954,6.453125,7.125511,8.798328,9.131079", \ "6.347278,6.400189,6.472394,6.583026,7.190190,9.010362,9.207338", \ "6.793499,6.804651,6.814845,6.899474,7.502053,9.150800,9.497512", \ "7.400901,7.313303,7.284691,7.330908,7.863911,9.394451,9.901140", \ "8.241482,8.055917,7.954776,7.908925,8.285710,10.197450,10.493630"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152837,6.288440,6.400222,6.520391,7.116046,8.981609,9.091238", \ "6.109155,6.244608,6.356819,6.509621,7.115636,8.956706,9.251552", \ "6.152087,6.259886,6.318514,6.452620,7.124051,8.890515,9.127426", \ "6.346902,6.399774,6.456792,6.582349,7.190084,9.008497,9.203767", \ "6.793137,6.804244,6.814379,6.899001,7.501916,9.147886,9.494033", \ "7.400600,7.312958,7.284310,7.331144,7.851527,9.525969,9.699409", \ "8.241318,8.055691,7.954496,7.908570,8.285605,10.214410,10.490600"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153203,6.288809,6.400607,6.520818,7.135351,8.973402,9.094549", \ "6.109490,6.244977,6.357212,6.510118,7.153735,8.957883,9.254914", \ "6.152425,6.260251,6.318902,6.453060,7.125230,8.799444,9.130748", \ "6.347230,6.400137,6.457516,6.582941,7.190166,9.010004,9.207026", \ "6.793456,6.804602,6.814780,6.899403,7.502019,9.150452,9.497226", \ "7.400862,7.313262,7.284636,7.330982,7.865800,9.391426,9.702449", \ "8.241464,8.055899,7.954745,7.908882,8.285688,10.197270,10.493380"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.083961,0.088843,0.093050,0.099933,0.111302,0.131098,0.168187", \ "0.085440,0.090320,0.094528,0.101414,0.112782,0.132580,0.169664", \ "0.090598,0.095479,0.099687,0.106575,0.117943,0.137739,0.174822", \ "0.096556,0.101434,0.105641,0.112532,0.123899,0.143696,0.180784", \ "0.101202,0.106072,0.110282,0.117175,0.128540,0.148334,0.185415", \ "0.104279,0.109163,0.113366,0.120257,0.131631,0.151424,0.188508", \ "0.105362,0.110242,0.114448,0.121336,0.132714,0.152523,0.189602"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.080925,0.087443,0.093380,0.103806,0.122897,0.159564,0.232211", \ "0.082412,0.088930,0.094865,0.105291,0.124385,0.161051,0.233696", \ "0.087477,0.093994,0.099930,0.110357,0.129450,0.166114,0.238761", \ "0.093163,0.099681,0.105613,0.116040,0.135132,0.171802,0.244447", \ "0.097373,0.103886,0.109818,0.120247,0.139337,0.176005,0.248646", \ "0.100096,0.106614,0.112504,0.122964,0.142023,0.178690,0.251325", \ "0.100985,0.107505,0.113441,0.123838,0.142907,0.179561,0.252196"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006215,0.008236,0.010234,0.013924,0.021053,0.035578,0.066269", \ "0.006215,0.008234,0.010235,0.013923,0.021052,0.035576,0.066267", \ "0.006214,0.008235,0.010236,0.013924,0.021051,0.035578,0.066262", \ "0.006215,0.008235,0.010234,0.013925,0.021052,0.035573,0.066270", \ "0.006215,0.008234,0.010238,0.013924,0.021052,0.035575,0.066275", \ "0.006215,0.008236,0.010235,0.013925,0.021053,0.035568,0.066265", \ "0.006217,0.008237,0.010236,0.013924,0.021051,0.035577,0.066271"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006914,0.010816,0.014786,0.022670,0.038926,0.072645,0.141416", \ "0.006916,0.010821,0.014786,0.022672,0.038926,0.072645,0.141420", \ "0.006915,0.010820,0.014787,0.022671,0.038926,0.072647,0.141415", \ "0.006915,0.010822,0.014789,0.022673,0.038925,0.072646,0.141413", \ "0.006916,0.010821,0.014791,0.022676,0.038927,0.072643,0.141416", \ "0.006927,0.010827,0.014795,0.022677,0.038927,0.072646,0.141418", \ "0.006936,0.010837,0.014801,0.022682,0.038930,0.072646,0.141420"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179326,0.186387,0.192645,0.203268,0.222233,0.258651,0.331065", \ "0.180808,0.187865,0.194131,0.204753,0.223718,0.260126,0.332543", \ "0.186924,0.193979,0.200249,0.210873,0.229843,0.266243,0.338666", \ "0.197012,0.204071,0.210339,0.220963,0.239936,0.276346,0.348766", \ "0.211705,0.218764,0.225021,0.235638,0.254597,0.291009,0.363432", \ "0.232731,0.239826,0.246073,0.256686,0.275615,0.312015,0.384444", \ "0.260390,0.267476,0.273762,0.284394,0.303363,0.339778,0.412182"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008633,0.012406,0.016122,0.023459,0.039130,0.072737,0.141419", \ "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ "0.008630,0.012406,0.016119,0.023456,0.039136,0.072729,0.141426", \ "0.008632,0.012405,0.016121,0.023457,0.039138,0.072741,0.141423", \ "0.008636,0.012410,0.016123,0.023457,0.039136,0.072736,0.141433", \ "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179326,0.186387,0.192645,0.203268,0.222233,0.258651,0.331062", \ "0.180808,0.187866,0.194131,0.204753,0.223718,0.260127,0.332543", \ "0.186924,0.193980,0.200249,0.210873,0.229843,0.266244,0.338666", \ "0.197014,0.204072,0.210339,0.220963,0.239936,0.276346,0.348766", \ "0.211706,0.218764,0.225021,0.235639,0.254597,0.291009,0.363433", \ "0.232731,0.239827,0.246075,0.256688,0.275616,0.312015,0.384444", \ "0.260390,0.267476,0.273762,0.284394,0.303363,0.339778,0.412182"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008633,0.012406,0.016122,0.023459,0.039130,0.072737,0.141419", \ "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ "0.008630,0.012406,0.016119,0.023456,0.039136,0.072729,0.141426", \ "0.008632,0.012405,0.016121,0.023457,0.039138,0.072741,0.141423", \ "0.008636,0.012410,0.016124,0.023457,0.039136,0.072736,0.141433", \ "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179325,0.186385,0.192644,0.203268,0.222235,0.258650,0.331064", \ "0.180807,0.187864,0.194129,0.204752,0.223717,0.260126,0.332542", \ "0.186923,0.193978,0.200248,0.210871,0.229842,0.266243,0.338665", \ "0.197011,0.204070,0.210338,0.220962,0.239935,0.276345,0.348766", \ "0.211704,0.218763,0.225020,0.235637,0.254596,0.291008,0.363432", \ "0.232730,0.239825,0.246073,0.256686,0.275614,0.312014,0.384443", \ "0.260389,0.267475,0.273761,0.284393,0.303362,0.339777,0.412181"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008633,0.012406,0.016122,0.023457,0.039129,0.072737,0.141419", \ "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ "0.008630,0.012405,0.016119,0.023456,0.039136,0.072729,0.141426", \ "0.008632,0.012405,0.016120,0.023457,0.039138,0.072741,0.141423", \ "0.008636,0.012410,0.016124,0.023457,0.039136,0.072736,0.141433", \ "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258687,0.331108", \ "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ "0.232858,0.239908,0.246172,0.256753,0.275707,0.312134,0.384565", \ "0.260523,0.267609,0.273895,0.284539,0.303497,0.339923,0.412347"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072737,0.141418", \ "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141433", \ "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258678,0.331108", \ "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ "0.232858,0.239908,0.246171,0.256753,0.275707,0.312134,0.384564", \ "0.260523,0.267609,0.273895,0.284539,0.303497,0.339927,0.412355"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072733,0.141418", \ "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141429", \ "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258686,0.331108", \ "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ "0.232858,0.239909,0.246172,0.256753,0.275707,0.312134,0.384565", \ "0.260523,0.267609,0.273895,0.284539,0.303497,0.339927,0.412355"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072737,0.141418", \ "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141433", \ "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179325,0.186385,0.192644,0.203268,0.222235,0.258651,0.331064", \ "0.180807,0.187864,0.194129,0.204752,0.223717,0.260126,0.332542", \ "0.186923,0.193978,0.200248,0.210871,0.229842,0.266243,0.338665", \ "0.197012,0.204070,0.210338,0.220962,0.239935,0.276345,0.348766", \ "0.211704,0.218763,0.225020,0.235637,0.254596,0.291008,0.363432", \ "0.232730,0.239825,0.246073,0.256686,0.275614,0.312014,0.384443", \ "0.260389,0.267475,0.273761,0.284393,0.303362,0.339777,0.412181"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008633,0.012406,0.016122,0.023457,0.039130,0.072737,0.141419", \ "0.008631,0.012405,0.016120,0.023456,0.039132,0.072752,0.141430", \ "0.008633,0.012407,0.016119,0.023457,0.039138,0.072748,0.141430", \ "0.008630,0.012405,0.016119,0.023456,0.039136,0.072729,0.141426", \ "0.008632,0.012405,0.016120,0.023457,0.039138,0.072741,0.141423", \ "0.008636,0.012410,0.016124,0.023457,0.039136,0.072736,0.141433", \ "0.008754,0.012528,0.016222,0.023528,0.039167,0.072751,0.141431"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.179347,0.186410,0.192679,0.203305,0.222273,0.258687,0.331108", \ "0.180833,0.187890,0.194154,0.204777,0.223742,0.260159,0.332579", \ "0.186949,0.194003,0.200272,0.210894,0.229865,0.266270,0.338699", \ "0.197068,0.204124,0.210392,0.221017,0.239991,0.276399,0.348822", \ "0.211779,0.218834,0.225098,0.235726,0.254674,0.291098,0.363516", \ "0.232858,0.239908,0.246172,0.256753,0.275707,0.312134,0.384565", \ "0.260523,0.267609,0.273895,0.284539,0.303497,0.339927,0.412355"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.008628,0.012405,0.016119,0.023457,0.039131,0.072737,0.141418", \ "0.008628,0.012402,0.016117,0.023455,0.039132,0.072749,0.141431", \ "0.008630,0.012404,0.016118,0.023455,0.039137,0.072749,0.141428", \ "0.008628,0.012403,0.016115,0.023452,0.039136,0.072733,0.141426", \ "0.008629,0.012403,0.016119,0.023457,0.039137,0.072726,0.141422", \ "0.008632,0.012407,0.016121,0.023456,0.039136,0.072738,0.141433", \ "0.008755,0.012517,0.016217,0.023526,0.039162,0.072755,0.141440"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162960,0.169448,0.175329,0.185607,0.204415,0.240975,0.313590", \ "0.164384,0.170864,0.176743,0.187021,0.205825,0.242380,0.314998", \ "0.170601,0.177078,0.182957,0.193242,0.212038,0.248590,0.321211", \ "0.179827,0.186320,0.192181,0.202458,0.221268,0.257806,0.330426", \ "0.189966,0.196456,0.202333,0.212605,0.231428,0.267966,0.340584", \ "0.201373,0.207868,0.213745,0.224013,0.242860,0.279428,0.352028", \ "0.214404,0.220876,0.226746,0.237012,0.255874,0.292443,0.365053"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006898,0.010722,0.014608,0.022356,0.038586,0.072526,0.141361", \ "0.006889,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ "0.006888,0.010714,0.014600,0.022345,0.038576,0.072539,0.141363", \ "0.006884,0.010711,0.014595,0.022343,0.038571,0.072539,0.141368", \ "0.006883,0.010709,0.014593,0.022345,0.038574,0.072525,0.141357", \ "0.006887,0.010709,0.014594,0.022346,0.038580,0.072515,0.141354", \ "0.006881,0.010707,0.014591,0.022328,0.038556,0.072517,0.141352"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162960,0.169448,0.175329,0.185608,0.204416,0.240964,0.313587", \ "0.164384,0.170864,0.176743,0.187021,0.205825,0.242381,0.314999", \ "0.170601,0.177079,0.182958,0.193243,0.212039,0.248590,0.321211", \ "0.179827,0.186320,0.192182,0.202458,0.221268,0.257806,0.330426", \ "0.189967,0.196457,0.202334,0.212605,0.231428,0.267967,0.340584", \ "0.201374,0.207868,0.213746,0.224013,0.242861,0.279428,0.352028", \ "0.214404,0.220876,0.226746,0.237013,0.255874,0.292441,0.365053"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006898,0.010722,0.014608,0.022356,0.038586,0.072535,0.141361", \ "0.006888,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ "0.006888,0.010714,0.014600,0.022345,0.038576,0.072539,0.141363", \ "0.006884,0.010711,0.014595,0.022343,0.038571,0.072539,0.141368", \ "0.006883,0.010709,0.014593,0.022345,0.038574,0.072525,0.141357", \ "0.006887,0.010709,0.014594,0.022346,0.038580,0.072515,0.141354", \ "0.006881,0.010707,0.014591,0.022328,0.038556,0.072517,0.141352"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162953,0.169443,0.175328,0.185602,0.204411,0.240960,0.313583", \ "0.164374,0.170859,0.176738,0.187016,0.205820,0.242376,0.314995", \ "0.170596,0.177074,0.182957,0.193237,0.212034,0.248586,0.321207", \ "0.179820,0.186313,0.192176,0.202453,0.221263,0.257802,0.330422", \ "0.189961,0.196452,0.202330,0.212600,0.231423,0.267963,0.340581", \ "0.201370,0.207863,0.213737,0.224008,0.242856,0.279424,0.352025", \ "0.214399,0.220872,0.226742,0.237008,0.255870,0.292440,0.365050"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006897,0.010721,0.014608,0.022356,0.038586,0.072535,0.141361", \ "0.006890,0.010715,0.014599,0.022349,0.038582,0.072541,0.141355", \ "0.006887,0.010714,0.014596,0.022345,0.038577,0.072539,0.141362", \ "0.006885,0.010709,0.014594,0.022343,0.038571,0.072539,0.141368", \ "0.006882,0.010709,0.014593,0.022345,0.038575,0.072526,0.141356", \ "0.006887,0.010709,0.014593,0.022346,0.038580,0.072515,0.141354", \ "0.006882,0.010707,0.014588,0.022329,0.038556,0.072517,0.141352"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162940,0.169425,0.175308,0.185587,0.204396,0.240946,0.313570", \ "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ "0.179800,0.186297,0.192162,0.202438,0.221249,0.257793,0.330407", \ "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ "0.214387,0.220860,0.226730,0.236996,0.255859,0.292429,0.365039"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006897,0.010722,0.014609,0.022352,0.038586,0.072535,0.141360", \ "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ "0.006883,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ "0.006882,0.010707,0.014588,0.022329,0.038556,0.072517,0.141354"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162940,0.169425,0.175312,0.185587,0.204396,0.240946,0.313571", \ "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ "0.179805,0.186297,0.192161,0.202438,0.221249,0.257793,0.330407", \ "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ "0.214387,0.220860,0.226730,0.236996,0.255859,0.292426,0.365041"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006897,0.010723,0.014608,0.022352,0.038586,0.072535,0.141360", \ "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ "0.006884,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ "0.006882,0.010707,0.014588,0.022329,0.038556,0.072516,0.141352"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162940,0.169425,0.175312,0.185587,0.204395,0.240948,0.313571", \ "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ "0.179800,0.186297,0.192161,0.202438,0.221249,0.257793,0.330407", \ "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ "0.214387,0.220860,0.226730,0.236996,0.255859,0.292426,0.365041"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006897,0.010723,0.014608,0.022352,0.038586,0.072534,0.141361", \ "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ "0.006883,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ "0.006882,0.010707,0.014588,0.022329,0.038556,0.072516,0.141352"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162953,0.169443,0.175328,0.185602,0.204412,0.240960,0.313587", \ "0.164374,0.170859,0.176738,0.187016,0.205820,0.242376,0.314995", \ "0.170596,0.177074,0.182957,0.193238,0.212034,0.248586,0.321207", \ "0.179820,0.186313,0.192176,0.202453,0.221263,0.257802,0.330422", \ "0.189961,0.196452,0.202331,0.212600,0.231423,0.267962,0.340581", \ "0.201370,0.207863,0.213737,0.224008,0.242856,0.279424,0.352025", \ "0.214400,0.220872,0.226742,0.237008,0.255871,0.292440,0.365050"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006897,0.010721,0.014608,0.022356,0.038586,0.072535,0.141360", \ "0.006890,0.010715,0.014599,0.022349,0.038582,0.072541,0.141355", \ "0.006887,0.010714,0.014596,0.022345,0.038576,0.072539,0.141362", \ "0.006885,0.010709,0.014594,0.022343,0.038571,0.072539,0.141368", \ "0.006882,0.010709,0.014593,0.022345,0.038575,0.072525,0.141356", \ "0.006887,0.010709,0.014593,0.022346,0.038580,0.072515,0.141354", \ "0.006882,0.010707,0.014588,0.022329,0.038556,0.072517,0.141352"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI & SN"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1) && (SN == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.162940,0.169425,0.175312,0.185587,0.204396,0.240956,0.313571", \ "0.164358,0.170843,0.176722,0.187001,0.205805,0.242362,0.314983", \ "0.170580,0.177059,0.182941,0.193222,0.212019,0.248572,0.321196", \ "0.179800,0.186297,0.192161,0.202438,0.221249,0.257793,0.330407", \ "0.189947,0.196438,0.202316,0.212587,0.231409,0.267949,0.340570", \ "0.201354,0.207846,0.213724,0.223995,0.242843,0.279412,0.352015", \ "0.214387,0.220860,0.226730,0.236996,0.255859,0.292426,0.365041"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006897,0.010723,0.014608,0.022352,0.038586,0.072526,0.141361", \ "0.006890,0.010715,0.014599,0.022349,0.038582,0.072542,0.141355", \ "0.006886,0.010714,0.014595,0.022345,0.038576,0.072539,0.141363", \ "0.006883,0.010709,0.014594,0.022342,0.038571,0.072531,0.141358", \ "0.006882,0.010709,0.014593,0.022344,0.038578,0.072526,0.141357", \ "0.006884,0.010708,0.014594,0.022346,0.038580,0.072514,0.141354", \ "0.006882,0.010707,0.014588,0.022329,0.038556,0.072516,0.141352"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130986", \ "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ "0.060136,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220105"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066077", \ "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141795"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130986", \ "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ "0.060136,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220104"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066077", \ "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141784"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130988", \ "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ "0.060136,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220104"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141784"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130987", \ "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212690", \ "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141630", \ "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028388,0.033152,0.037278,0.044063,0.055359,0.075207,0.112313", \ "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ "0.089622,0.096171,0.101909,0.111126,0.125402,0.147637,0.184958"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013642,0.020941,0.035603,0.066082", \ "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ "0.011090,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066905"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028388,0.033152,0.037278,0.044063,0.055358,0.075207,0.112314", \ "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ "0.089622,0.096171,0.101909,0.111126,0.125402,0.147637,0.184958"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013642,0.020942,0.035603,0.066081", \ "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ "0.011088,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066905"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028388,0.033152,0.037278,0.044063,0.055358,0.075207,0.112313", \ "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ "0.089622,0.096171,0.101909,0.111126,0.125401,0.147637,0.184958"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013642,0.020939,0.035603,0.066082", \ "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ "0.011088,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066905"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112312", \ "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ "0.048349,0.053176,0.057320,0.064137,0.075476,0.095346,0.132438", \ "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066080", \ "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130987", \ "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212687", \ "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141655", \ "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130985", \ "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212687", \ "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066077", \ "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141655", \ "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028272,0.032930,0.036944,0.043541,0.054540,0.073969,0.110886", \ "0.029852,0.034510,0.038525,0.045122,0.056124,0.075555,0.112480", \ "0.036054,0.040698,0.044705,0.051301,0.062309,0.081748,0.118671", \ "0.048174,0.052912,0.056948,0.063576,0.074614,0.094065,0.130988", \ "0.061460,0.066780,0.071326,0.078612,0.090294,0.110088,0.146994", \ "0.074949,0.080813,0.085856,0.093900,0.106499,0.127088,0.164335", \ "0.089028,0.095385,0.100915,0.109726,0.123401,0.145058,0.182810"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029862,0.036241,0.042048,0.052283,0.071108,0.107630,0.180294", \ "0.031144,0.037520,0.043326,0.053559,0.072386,0.108896,0.181581", \ "0.036147,0.042524,0.048318,0.058532,0.077334,0.113847,0.186526", \ "0.045214,0.051678,0.057501,0.067684,0.086401,0.122820,0.195474", \ "0.053463,0.060439,0.066553,0.076945,0.095719,0.132049,0.204544", \ "0.060135,0.067694,0.074368,0.085217,0.104073,0.140292,0.212690", \ "0.065316,0.073384,0.080660,0.092423,0.111737,0.147879,0.220104"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009567,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035064,0.066064", \ "0.005629,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ "0.008557,0.010348,0.012112,0.015397,0.021882,0.035738,0.066178", \ "0.010773,0.012653,0.014475,0.017738,0.023958,0.037189,0.066741", \ "0.013179,0.015152,0.017059,0.020431,0.026522,0.039084,0.067639"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006584,0.010414,0.014331,0.022149,0.038488,0.072553,0.141545", \ "0.006590,0.010415,0.014330,0.022154,0.038478,0.072536,0.141548", \ "0.006586,0.010416,0.014330,0.022157,0.038488,0.072538,0.141561", \ "0.007229,0.010908,0.014696,0.022361,0.038561,0.072547,0.141549", \ "0.008781,0.012338,0.015886,0.023172,0.038986,0.072640,0.141528", \ "0.010622,0.014289,0.017769,0.024473,0.039559,0.072922,0.141631", \ "0.012791,0.016539,0.020220,0.026639,0.040699,0.073264,0.141784"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028271,0.032930,0.036943,0.043541,0.054540,0.073968,0.110886", \ "0.029851,0.034510,0.038524,0.045122,0.056125,0.075557,0.112475", \ "0.036053,0.040697,0.044705,0.051301,0.062308,0.081747,0.118670", \ "0.048174,0.052911,0.056947,0.063575,0.074613,0.094065,0.130987", \ "0.061452,0.066774,0.071327,0.078610,0.090294,0.110088,0.146994", \ "0.074949,0.080811,0.085860,0.093909,0.106492,0.127077,0.164332", \ "0.089025,0.095384,0.100914,0.109747,0.123416,0.145070,0.182819"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.029863,0.036242,0.042049,0.052284,0.071107,0.107629,0.180304", \ "0.031142,0.037520,0.043327,0.053560,0.072385,0.108897,0.181583", \ "0.036147,0.042524,0.048319,0.058532,0.077336,0.113849,0.186538", \ "0.045209,0.051676,0.057501,0.067684,0.086401,0.122821,0.195474", \ "0.053457,0.060438,0.066551,0.076945,0.095722,0.132050,0.204547", \ "0.060139,0.067682,0.074362,0.085210,0.104084,0.140285,0.212687", \ "0.065320,0.073353,0.080648,0.092379,0.111728,0.147871,0.220099"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005631,0.007605,0.009566,0.013232,0.020363,0.035069,0.066055", \ "0.005626,0.007606,0.009567,0.013231,0.020358,0.035067,0.066060", \ "0.005630,0.007613,0.009578,0.013244,0.020362,0.035062,0.066057", \ "0.006457,0.008222,0.010021,0.013539,0.020535,0.035134,0.066078", \ "0.008558,0.010349,0.012110,0.015400,0.021882,0.035738,0.066178", \ "0.010773,0.012655,0.014474,0.017736,0.023957,0.037188,0.066741", \ "0.013182,0.015153,0.017059,0.020430,0.026522,0.039087,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006582,0.010414,0.014330,0.022149,0.038478,0.072555,0.141563", \ "0.006586,0.010414,0.014331,0.022154,0.038490,0.072541,0.141559", \ "0.006585,0.010417,0.014333,0.022153,0.038484,0.072533,0.141565", \ "0.007230,0.010905,0.014696,0.022361,0.038561,0.072551,0.141549", \ "0.008777,0.012339,0.015886,0.023171,0.038987,0.072641,0.141587", \ "0.010622,0.014289,0.017770,0.024475,0.039555,0.072922,0.141655", \ "0.012791,0.016544,0.020218,0.026647,0.040698,0.073275,0.141825"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112312", \ "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ "0.048349,0.053176,0.057321,0.064137,0.075476,0.095346,0.132438", \ "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066081", \ "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112312", \ "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ "0.048349,0.053176,0.057319,0.064137,0.075476,0.095346,0.132438", \ "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066080", \ "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028388,0.033152,0.037278,0.044063,0.055358,0.075207,0.112313", \ "0.029966,0.034730,0.038857,0.045645,0.056943,0.076793,0.113900", \ "0.036178,0.040920,0.045036,0.051822,0.063124,0.082979,0.120088", \ "0.048352,0.053178,0.057317,0.064140,0.075479,0.095349,0.132441", \ "0.061746,0.067203,0.071886,0.079399,0.091407,0.111606,0.148615", \ "0.075379,0.081406,0.086623,0.094967,0.108016,0.129089,0.166231", \ "0.089622,0.096171,0.101909,0.111126,0.125401,0.147637,0.184958"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013642,0.020939,0.035603,0.066082", \ "0.005772,0.007824,0.009868,0.013642,0.020936,0.035604,0.066099", \ "0.005772,0.007840,0.009885,0.013650,0.020940,0.035602,0.066084", \ "0.006608,0.008440,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010672,0.012533,0.015919,0.022520,0.036218,0.066121", \ "0.011088,0.013101,0.015053,0.018480,0.024835,0.037662,0.066442", \ "0.013615,0.015746,0.017823,0.021412,0.027701,0.039539,0.066904"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028385,0.033148,0.037275,0.044060,0.055357,0.075206,0.112313", \ "0.029963,0.034727,0.038854,0.045643,0.056939,0.076789,0.113899", \ "0.036175,0.040917,0.045033,0.051818,0.063121,0.082975,0.120085", \ "0.048349,0.053176,0.057321,0.064137,0.075476,0.095346,0.132438", \ "0.061740,0.067197,0.071880,0.079391,0.091405,0.111603,0.148609", \ "0.075374,0.081390,0.086609,0.094960,0.108012,0.129084,0.166223", \ "0.089609,0.096162,0.101902,0.111124,0.125398,0.147628,0.184946"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005772,0.007826,0.009871,0.013641,0.020941,0.035602,0.066081", \ "0.005772,0.007824,0.009868,0.013642,0.020938,0.035604,0.066088", \ "0.005772,0.007840,0.009885,0.013651,0.020940,0.035602,0.066084", \ "0.006608,0.008443,0.010326,0.013947,0.021109,0.035656,0.066085", \ "0.008782,0.010671,0.012533,0.015920,0.022519,0.036218,0.066129", \ "0.011091,0.013106,0.015054,0.018480,0.024835,0.037661,0.066429", \ "0.013616,0.015749,0.017824,0.021413,0.027701,0.039543,0.066904"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094155,0.131068", \ "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095506,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ "0.163677,0.170123,0.175994,0.186256,0.204989,0.241515,0.314155", \ "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006460,0.008227,0.010030,0.013552,0.020548,0.035144,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141528", \ "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094155,0.131068", \ "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ "0.163677,0.170123,0.175994,0.186256,0.204990,0.241515,0.314155", \ "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006459,0.008227,0.010030,0.013552,0.020548,0.035144,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141529", \ "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ "0.163677,0.170123,0.175994,0.186256,0.204990,0.241515,0.314155", \ "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006459,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141528", \ "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ "0.184739,0.191302,0.197265,0.207640,0.226405,0.262908,0.335535"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006459,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057305,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057305,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066871", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ "0.184739,0.191302,0.197265,0.207639,0.226405,0.262908,0.335535"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006460,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094155,0.131068", \ "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ "0.184739,0.191302,0.197265,0.207640,0.226405,0.262908,0.335535"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006460,0.008227,0.010030,0.013552,0.020548,0.035144,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074057,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036101,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ "0.061517,0.066846,0.071397,0.078690,0.090382,0.110186,0.147085", \ "0.075023,0.080894,0.085946,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147440,0.153897,0.159747,0.170002,0.188817,0.225310,0.297955", \ "0.148695,0.155158,0.161010,0.171264,0.190085,0.226572,0.299221", \ "0.151749,0.158213,0.164063,0.174318,0.193135,0.229623,0.302274", \ "0.156717,0.163177,0.169032,0.179268,0.198099,0.234599,0.307250", \ "0.163677,0.170123,0.175994,0.186256,0.204990,0.241515,0.314155", \ "0.172276,0.178729,0.184574,0.194809,0.213558,0.250052,0.322702", \ "0.184724,0.191374,0.197235,0.207625,0.226392,0.262892,0.335529"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035079,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006460,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066760", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014573,0.022325,0.038563,0.072557,0.141544", \ "0.006870,0.010694,0.014571,0.022320,0.038562,0.072563,0.141531", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141529", \ "0.006874,0.010691,0.014574,0.022323,0.038557,0.072547,0.141528", \ "0.006876,0.010695,0.014572,0.022325,0.038565,0.072530,0.141519", \ "0.006860,0.010681,0.014565,0.022314,0.038552,0.072560,0.141531", \ "0.007551,0.011268,0.015043,0.022649,0.038755,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028319,0.032984,0.037002,0.043605,0.054619,0.074058,0.110973", \ "0.029901,0.034563,0.038582,0.045188,0.056200,0.075645,0.112556", \ "0.036102,0.040748,0.044761,0.051365,0.062384,0.081832,0.118749", \ "0.048226,0.052969,0.057008,0.063640,0.074691,0.094156,0.131068", \ "0.061517,0.066846,0.071398,0.078690,0.090382,0.110186,0.147086", \ "0.075029,0.080894,0.085947,0.093983,0.106607,0.127211,0.164464", \ "0.089169,0.095507,0.101033,0.109857,0.123520,0.145188,0.182945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.147448,0.153905,0.159754,0.170010,0.188825,0.225318,0.297965", \ "0.148705,0.155167,0.161015,0.171274,0.190097,0.226581,0.299230", \ "0.151758,0.158222,0.164072,0.174327,0.193144,0.229631,0.302286", \ "0.156728,0.163188,0.169041,0.179290,0.198105,0.234613,0.307255", \ "0.163687,0.170139,0.176005,0.186267,0.205006,0.241520,0.314165", \ "0.172291,0.178742,0.184586,0.194821,0.213570,0.250062,0.322713", \ "0.184739,0.191302,0.197265,0.207640,0.226405,0.262908,0.335535"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005640,0.007616,0.009580,0.013248,0.020377,0.035074,0.066064", \ "0.005639,0.007617,0.009581,0.013250,0.020381,0.035074,0.066090", \ "0.005644,0.007625,0.009588,0.013259,0.020379,0.035072,0.066064", \ "0.006459,0.008227,0.010030,0.013552,0.020548,0.035141,0.066101", \ "0.008558,0.010355,0.012121,0.015412,0.021896,0.035749,0.066210", \ "0.010778,0.012662,0.014483,0.017756,0.023980,0.037204,0.066759", \ "0.013174,0.015158,0.017069,0.020438,0.026541,0.039108,0.067638"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.006877,0.010693,0.014570,0.022325,0.038563,0.072557,0.141532", \ "0.006872,0.010691,0.014572,0.022320,0.038562,0.072563,0.141568", \ "0.006876,0.010692,0.014570,0.022319,0.038559,0.072549,0.141549", \ "0.006878,0.010694,0.014574,0.022320,0.038557,0.072548,0.141527", \ "0.006875,0.010694,0.014574,0.022321,0.038550,0.072543,0.141521", \ "0.006861,0.010680,0.014565,0.022313,0.038549,0.072560,0.141532", \ "0.007551,0.011267,0.015044,0.022649,0.038754,0.072637,0.141559"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094841,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066872", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057305,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094841,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066872", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028397,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066200", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066870", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & RN & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (RN == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.028396,0.033150,0.037266,0.044022,0.055237,0.074911,0.111932", \ "0.029975,0.034730,0.038845,0.045602,0.056818,0.076493,0.113516", \ "0.036185,0.040920,0.045024,0.051781,0.063001,0.082681,0.119707", \ "0.048358,0.053176,0.057306,0.064090,0.075349,0.095044,0.132062", \ "0.061738,0.067178,0.071845,0.079321,0.091241,0.111264,0.148249", \ "0.075354,0.081350,0.086548,0.094842,0.107769,0.128637,0.165968", \ "0.089582,0.096114,0.101817,0.110955,0.125063,0.147056,0.184877"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005757,0.007802,0.009834,0.013564,0.020762,0.035387,0.066188", \ "0.005757,0.007802,0.009831,0.013565,0.020760,0.035390,0.066201", \ "0.005757,0.007815,0.009847,0.013574,0.020763,0.035388,0.066199", \ "0.006589,0.008413,0.010285,0.013867,0.020931,0.035447,0.066214", \ "0.008748,0.010626,0.012471,0.015819,0.022320,0.036042,0.066316", \ "0.011033,0.013031,0.014959,0.018335,0.024571,0.037550,0.066871", \ "0.013525,0.015631,0.017685,0.021210,0.027358,0.039558,0.067757"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.365437,8.401684,8.462494,8.510302,8.571208,8.596047,8.667095", \ "8.354525,8.392801,8.450520,8.502928,8.539521,8.594399,8.566205", \ "8.352781,8.404480,8.450736,8.504515,8.502872,8.615127,8.515786", \ "8.397246,8.450025,8.505413,8.558351,8.589492,8.687608,8.688589", \ "8.569737,8.629835,8.663484,8.727870,8.783448,8.755087,8.873930", \ "8.879418,8.912809,8.977527,9.024969,9.096798,9.135843,9.087998", \ "9.344960,9.399650,9.437247,9.491577,9.546474,9.600850,9.598923"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.099395,8.185556,8.263441,8.399388,8.666089,8.748022,8.794106", \ "8.092593,8.168769,8.251488,8.399709,8.659850,8.727563,8.780640", \ "8.091487,8.161082,8.245070,8.380384,8.648537,8.726786,8.753118", \ "8.150621,8.218910,8.301756,8.449151,8.705242,8.778127,8.830195", \ "8.296452,8.377702,8.463182,8.599343,8.868220,8.943782,8.867643", \ "8.619173,8.686036,8.766279,8.909819,9.169360,9.145070,9.299250", \ "9.080527,9.155104,9.235611,9.382710,9.632203,9.609608,9.754452"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.986272,7.097983,7.224415,7.355896,7.374463,7.444859,7.290228", \ "6.948559,7.056404,7.183073,7.273428,7.314623,7.292951,7.127884", \ "6.933024,7.073136,7.193768,7.289508,7.335006,7.315850,7.341051", \ "7.176588,7.261109,7.280089,7.388926,7.370865,7.331452,7.253744", \ "7.642628,7.601748,7.628909,7.683340,7.695893,7.528862,7.580254", \ "8.351652,8.184218,8.147027,8.123125,8.164772,8.112186,8.098170", \ "9.329671,9.080865,8.984227,8.893936,8.802809,8.714000,8.452866"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.985821,7.097519,7.223925,7.355399,7.374243,7.444281,7.289961", \ "6.948106,7.055941,7.182600,7.272928,7.314088,7.292354,7.127435", \ "6.932605,7.072678,7.193303,7.289018,7.334479,7.315263,7.340395", \ "7.176021,7.260670,7.279636,7.388452,7.370289,7.330874,7.253115", \ "7.642249,7.601341,7.628485,7.682893,7.695474,7.528277,7.579652", \ "8.351301,8.183853,8.142490,8.122557,8.164339,8.111694,8.097591", \ "9.329377,9.080560,8.983870,8.893598,8.802431,8.713551,8.452342"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.985956,7.097675,7.224067,7.355710,7.396837,7.444428,7.289783", \ "6.948245,7.056086,7.182745,7.273066,7.314241,7.292496,7.126572", \ "6.932580,7.072817,7.193439,7.289154,7.334629,7.315401,7.340558", \ "7.176294,7.193640,7.279768,7.388582,7.370677,7.331024,7.253297", \ "7.642286,7.601462,7.628601,7.683009,7.695268,7.528989,7.579835", \ "8.351403,8.183964,8.142592,8.122665,8.164460,8.111849,8.097753", \ "9.329475,9.080662,8.984196,8.893696,8.802555,8.713705,8.452532"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676113,7.801529,7.913059,8.043406,8.011025,8.130315,7.973963", \ "7.617272,7.725055,7.851088,8.011742,7.990700,7.955874,7.822883", \ "7.604918,7.748957,7.869004,7.964024,8.041112,8.106323,8.012465", \ "7.933997,8.018428,8.036387,8.144572,8.248399,8.084939,8.006385", \ "8.606360,8.528899,8.554835,8.606937,8.615373,8.435172,8.501065", \ "9.551267,9.386231,9.343249,9.325459,9.363226,9.307483,9.292104", \ "10.910180,10.739840,10.557490,10.483540,10.392940,10.300410,10.036910"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676617,7.802337,7.913559,8.043937,8.011578,8.130149,7.974696", \ "7.617739,7.725560,7.851588,8.012300,7.991268,7.956574,7.823651", \ "7.605407,7.749458,7.869500,7.964552,8.041671,8.107030,8.013259", \ "7.934380,8.018900,8.036876,8.145085,8.248994,8.085640,8.007071", \ "8.606797,8.529336,8.555301,8.607430,8.615937,8.435770,8.501712", \ "9.551654,9.388811,9.353139,9.325884,9.363701,9.308065,9.292753", \ "10.910500,10.740170,10.557840,10.483890,10.393340,10.300100,10.036310"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676630,7.802223,7.913573,8.043950,8.011605,8.130631,7.974743", \ "7.617753,7.725574,7.851602,8.012310,7.991499,7.956580,7.823663", \ "7.605420,7.749470,7.869513,7.964564,8.041687,8.107036,8.013263", \ "7.934468,8.018913,8.036889,8.145098,8.249005,8.085645,8.007084", \ "8.606808,8.529349,8.555312,8.607440,8.615948,8.435782,8.501725", \ "9.551666,9.388828,9.343676,9.325960,9.363715,9.308031,9.292836", \ "10.910510,10.740190,10.557850,10.483910,10.393360,10.300110,10.036320"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.985525,7.097228,7.223610,7.355222,7.394169,7.443755,7.289029", \ "6.947826,7.055640,7.182288,7.272571,7.313692,7.291835,7.125814", \ "6.932146,7.072370,7.192982,7.288664,7.334083,7.314746,7.339772", \ "7.175729,7.193206,7.279311,7.388096,7.370141,7.330371,7.252538", \ "7.641877,7.601039,7.628157,7.682535,7.694726,7.528348,7.579093", \ "8.351019,8.183567,8.142176,8.122224,8.163965,8.111263,8.097009", \ "9.329131,9.080305,8.983822,8.893297,8.802102,8.713146,8.451841"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.676697,7.802094,7.913663,8.044041,8.011711,8.131112,7.974861", \ "7.617836,7.725651,7.851691,8.012387,7.991546,7.956656,7.823756", \ "7.605490,7.749546,7.869601,7.964652,8.041788,8.107100,8.013333", \ "7.934507,8.018992,8.036970,8.145182,8.249073,8.085707,8.007210", \ "8.606874,8.529427,8.555385,8.607514,8.616012,8.435875,8.501854", \ "9.551728,9.388891,9.343745,9.326039,9.363795,9.308129,9.292880", \ "10.910570,10.740250,10.557920,10.483980,10.393440,10.300170,10.036440"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541493,8.707051,8.840144,8.898074,8.994368,8.819699,8.752861", \ "8.483751,8.647953,8.780284,8.867420,8.963910,8.935023,8.899424", \ "8.469530,8.663501,8.789335,8.880619,8.844923,9.005075,8.785523", \ "8.807334,8.925329,9.004413,9.051277,9.140805,9.160180,9.178577", \ "9.436324,9.470734,9.436444,9.463146,9.526331,9.483934,9.300261", \ "10.402700,10.291940,10.253800,10.242750,10.195230,10.127760,10.052590", \ "11.609410,11.503040,11.365110,11.254720,11.146150,11.186760,11.044890"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541052,8.706642,8.839728,8.897631,8.993939,9.001377,8.752324", \ "8.483351,8.647535,8.779868,8.866971,8.963427,8.934484,8.898823", \ "8.469397,8.663096,8.788897,8.880185,8.844941,9.004912,8.784928", \ "8.806888,8.924937,9.003642,9.050845,9.140340,9.159752,9.178003", \ "9.437133,9.470381,9.436060,9.462761,9.525900,9.483434,9.299722", \ "10.402410,10.291630,10.253470,10.242410,10.194860,10.127340,10.052080", \ "11.609190,11.503220,11.364860,11.254450,11.145850,11.231180,11.044440"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.540804,8.706681,8.839647,8.897523,8.993572,9.002123,8.751753", \ "8.483315,8.647564,8.779826,8.866844,8.963201,8.934049,8.898233", \ "8.464775,8.662887,8.788827,8.880068,8.840690,8.999488,8.784362", \ "8.789782,8.924889,8.950405,9.050727,9.140095,9.157776,9.177450", \ "9.432200,9.470377,9.435938,9.462766,9.525680,9.483056,9.299215", \ "10.404830,10.291650,10.253620,10.242300,10.194660,10.126990,10.051570", \ "11.609220,11.501160,11.364740,11.254370,11.145850,11.186030,11.043970"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541208,8.706750,8.839324,8.896756,8.991860,8.986636,8.745895", \ "8.483486,8.647545,8.779534,8.866009,8.961424,8.930370,8.892263", \ "8.461753,8.662815,8.788482,8.879222,8.838965,9.004249,8.778438", \ "8.831202,8.924830,8.950105,9.049862,9.138223,9.111714,9.171877", \ "9.432348,9.403483,9.435616,9.461905,9.523815,9.479633,9.293446", \ "10.402470,10.291590,10.253220,10.241380,10.192870,10.123610,10.045690", \ "11.609310,11.500930,11.364330,11.253510,11.144090,11.183440,11.039080"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541655,8.712355,8.839789,8.897234,8.992328,8.979966,8.746594", \ "8.483900,8.648005,8.779992,8.866515,8.961980,8.931048,8.893034", \ "8.462107,8.663270,8.788937,8.879713,8.839484,9.004893,8.779186", \ "8.788227,8.925257,8.950553,9.050335,9.138782,9.112389,9.172568", \ "9.432721,9.403866,9.436030,9.462346,9.524341,9.480215,9.294048", \ "10.402800,10.291920,10.253580,10.241760,10.193290,10.124090,10.046360", \ "11.609550,11.501180,11.364610,11.253800,11.144420,11.182360,11.038770"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541666,8.709618,8.839797,8.897244,8.992471,8.814342,8.746632", \ "8.483913,8.648014,8.780000,8.866522,8.961988,8.931051,8.893035", \ "8.462108,8.663279,8.788946,8.879721,8.839496,9.004889,8.779189", \ "8.831640,8.925268,8.950563,9.050343,9.138787,9.112389,9.172575", \ "9.432717,9.403877,9.436041,9.462355,9.524344,9.480220,9.294062", \ "10.402810,10.291940,10.253590,10.241770,10.193300,10.124100,10.046370", \ "11.609560,11.501200,11.364620,11.253810,11.144430,11.182360,11.038780"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.540414,8.706271,8.839226,8.897072,8.993092,9.005914,8.750959", \ "8.482934,8.647153,8.779406,8.866384,8.962686,8.933432,8.897477", \ "8.464592,8.662476,8.788406,8.879611,8.840188,8.998968,8.783610", \ "8.789407,8.924488,8.949985,9.050276,9.139583,9.157199,9.176717", \ "9.431836,9.469994,9.435537,9.462335,9.525188,9.482495,9.298512", \ "10.404720,10.291310,10.253260,10.241910,10.194220,10.126460,10.050880", \ "11.608950,11.500760,11.364430,11.254030,11.145470,11.185540,11.043340"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI & SN"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.541730,8.710100,8.839878,8.897332,8.992449,8.816009,8.746688", \ "8.483992,8.648085,8.780081,8.866596,8.962063,8.931113,8.893105", \ "8.462197,8.663348,8.789025,8.879798,8.839586,9.004944,8.779268", \ "8.831706,8.925340,8.950642,9.050418,9.138846,9.112436,9.172675", \ "9.432787,9.403951,9.436106,9.462423,9.524397,9.480295,9.294195", \ "10.402860,10.292000,10.253640,10.241840,10.193370,10.124200,10.046420", \ "11.609610,11.501260,11.364670,11.253870,11.144500,11.182410,11.038870"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.631036,5.968204,6.300605,6.648714,6.917652,7.012390,7.030500", \ "5.587794,5.931659,6.259606,6.618305,6.876637,6.974156,6.981102", \ "5.571643,5.891746,6.215661,6.566510,6.833579,6.926678,6.964684", \ "5.874865,6.154727,6.401145,6.725538,6.990455,7.077189,7.103211", \ "6.392247,6.560948,6.777829,7.121446,7.422546,7.517372,7.530374", \ "7.365749,7.355386,7.456714,7.656913,8.035884,8.234190,8.225870", \ "8.755360,8.622250,8.574777,8.694526,8.970489,9.181008,9.282823"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.063740,4.390964,4.579023,4.689573,4.738773,4.752335,4.815671", \ "3.950660,4.394943,4.599635,4.725185,4.607455,4.595313,4.689647", \ "3.983872,4.393050,4.584150,4.701967,4.801441,4.456034,4.564753", \ "4.312798,4.636609,4.730462,4.872555,4.776195,4.666034,4.814397", \ "4.917888,5.197077,5.348410,5.328578,5.285444,5.333696,5.047809", \ "5.973578,6.115524,6.273395,6.144941,5.913169,5.703480,5.901981", \ "7.352666,7.307314,7.431670,7.505908,7.214066,6.972237,6.500742"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.631063,5.968223,6.300620,6.648725,6.917654,7.012389,7.030496", \ "5.587820,5.931678,6.259620,6.618313,6.876639,6.974156,6.981090", \ "5.571670,5.891764,6.215675,6.566518,6.833581,6.926675,6.964682", \ "5.874892,6.154746,6.401158,6.725545,6.990458,7.077186,7.103203", \ "6.392270,6.560966,6.777845,7.121455,7.422548,7.517372,7.530375", \ "7.365768,7.355401,7.456729,7.656921,8.035889,8.234188,8.225863", \ "8.755378,8.622264,8.574789,8.694535,8.970493,9.181008,9.282816"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.063758,4.390981,4.579038,4.689586,4.738784,4.752328,4.815678", \ "3.950677,4.394961,4.599651,4.725200,4.607464,4.595319,4.689653", \ "3.983890,4.393067,4.584168,4.701987,4.801456,4.456038,4.564757", \ "4.312821,4.636626,4.730477,4.872570,4.776208,4.666041,4.814405", \ "4.917903,5.197093,5.348426,5.328593,5.285458,5.333715,5.047815", \ "5.973592,6.115540,6.273411,6.144955,5.913179,5.703488,5.901993", \ "7.352678,7.307329,7.431685,7.505921,7.214078,6.973386,6.497974"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.630970,5.968140,6.300545,6.648671,6.917627,7.012385,7.030504", \ "5.587728,5.931594,6.259546,6.618258,6.876617,6.974154,6.981112", \ "5.571580,5.891683,6.215601,6.566463,6.833555,6.926676,6.964683", \ "5.874809,6.154668,6.401089,6.725492,6.990430,7.077185,7.113713", \ "6.392200,6.560900,6.777782,7.121404,7.422520,7.517365,7.530372", \ "7.365706,7.355345,7.456674,7.656879,8.035858,8.234184,8.225875", \ "8.755318,8.622212,8.574742,8.694495,8.970465,9.180998,9.282826"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.063703,4.390900,4.578940,4.689484,4.738698,4.752253,4.815628", \ "3.950626,4.394878,4.599551,4.725095,4.607382,4.595262,4.689607", \ "3.983836,4.392983,4.584067,4.701878,4.801365,4.455985,4.564714", \ "4.312761,4.636545,4.730381,4.872466,4.776119,4.665983,4.814355", \ "4.917852,5.197015,5.348331,5.328492,5.285369,5.333639,5.047766", \ "5.973539,6.115465,6.273318,6.144858,5.913094,5.703427,5.901932", \ "7.352626,7.307259,7.431600,7.505822,7.213993,6.972183,6.497932"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.615171,5.952376,6.270855,6.633477,6.902455,6.997651,7.016933", \ "5.571696,5.912880,6.243611,6.602517,6.860593,6.957146,6.975706", \ "5.560422,5.875517,6.199532,6.550433,6.817697,6.910826,6.948994", \ "5.858713,6.138600,6.385113,6.709557,6.974603,7.061373,7.098005", \ "6.375854,6.543715,6.744941,7.101812,7.406814,7.501704,7.514778", \ "7.349882,7.369067,7.423839,7.635744,7.987294,8.229462,8.210645", \ "8.710008,8.606390,8.558863,8.682428,8.954904,9.157201,9.268020"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.072622,4.399835,4.587386,4.697728,4.746543,4.737960,4.822934", \ "3.976512,4.377884,4.608607,4.733767,4.616160,4.606136,4.696263", \ "3.993097,4.401967,4.574831,4.606434,4.810599,4.536252,4.613616", \ "4.355227,4.675114,4.737462,4.881522,4.860614,4.676733,4.822851", \ "4.949984,5.208295,5.357733,5.337425,5.294088,4.885301,5.061356", \ "5.966644,6.127546,6.282965,6.154698,5.844082,5.712434,5.910674", \ "7.361350,7.321233,7.442302,7.522809,7.308604,7.140841,6.518877"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223188,6.369378,6.541455,6.775932,6.828172,6.839734,6.845919", \ "6.204653,6.325454,6.497609,6.716717,6.818679,6.990588,7.007103", \ "6.182957,6.337308,6.502373,6.660107,6.837815,6.810243,6.884133", \ "6.376494,6.472499,6.612562,6.738198,6.975327,6.845988,7.072468", \ "6.799028,6.861808,6.886433,7.073600,7.145213,7.155536,7.240324", \ "7.394663,7.365359,7.412846,7.524836,7.560147,7.463812,7.610056", \ "8.240759,8.162127,8.134727,8.117434,8.186626,8.223623,8.148350"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223123,6.369304,6.541374,6.775805,6.863919,6.839571,7.009854", \ "6.204584,6.325380,6.497527,6.716618,6.818552,6.990423,7.006862", \ "6.182889,6.337233,6.502274,6.660009,6.837690,6.810081,6.883892", \ "6.376410,6.472423,6.612478,6.738100,6.975203,6.845827,7.072255", \ "6.798965,6.861736,6.886354,7.073507,7.145097,7.155384,7.240130", \ "7.431760,7.365301,7.412776,7.524754,7.560045,7.463588,7.609871", \ "8.240728,8.162089,8.134684,8.117407,8.186548,8.223513,8.148193"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223312,6.369516,6.541609,6.776066,6.838122,6.840078,6.846365", \ "6.204775,6.325593,6.497762,6.716913,6.818940,6.990945,7.007551", \ "6.183079,6.337449,6.502516,6.660300,6.838074,6.810593,6.884575", \ "6.376611,6.472640,6.612723,6.738390,6.975578,6.846318,7.072902", \ "6.799147,6.861941,6.886582,7.073781,7.145448,7.155857,7.240733", \ "7.431866,7.365466,7.412964,7.524982,7.560352,7.464091,7.610433", \ "8.240814,8.162189,8.134801,8.117553,8.186780,8.223861,8.148685"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152916,6.298722,6.470320,6.689311,6.822601,6.957839,6.851311", \ "6.135488,6.256323,6.428489,6.647475,6.749262,6.931248,6.940330", \ "6.115983,6.268875,6.361156,6.647268,6.769247,6.747198,6.818090", \ "6.269098,6.404545,6.544619,6.670113,6.907008,6.778488,7.003017", \ "6.732171,6.797052,6.819239,7.006390,7.077598,7.089108,7.175492", \ "7.327849,7.292607,7.371001,7.473485,7.493206,7.575768,7.362828", \ "8.177883,8.099063,8.071078,8.043489,8.118922,8.119010,8.084078"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.615122,5.952337,6.270825,6.633457,6.902445,6.997643,7.016912", \ "5.571648,5.912846,6.243584,6.602500,6.860583,6.957138,6.975683", \ "5.560374,5.875477,6.199504,6.550414,6.817688,6.910807,6.948986", \ "5.858662,6.138562,6.385082,6.709536,6.974597,7.061359,7.098002", \ "6.375809,6.543678,6.744908,7.101784,7.406800,7.501701,7.514777", \ "7.349843,7.369037,7.423810,7.635714,7.987270,8.229459,8.210618", \ "8.709972,8.606361,8.558836,8.682408,8.954888,9.157185,9.267994"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.072585,4.399789,4.587344,4.697688,4.746501,4.737908,4.822883", \ "3.976465,4.377838,4.608570,4.733732,4.616106,4.606074,4.696202", \ "3.993054,4.401926,4.574793,4.606390,4.810569,4.536198,4.613579", \ "4.355193,4.675075,4.737415,4.881488,4.860575,4.676675,4.822797", \ "4.949946,5.208253,5.357698,5.337389,5.294055,4.885231,5.061298", \ "5.966613,6.127507,6.282930,6.154655,5.844027,5.712380,5.908541", \ "7.361321,7.321191,7.442256,7.522773,7.308565,7.140811,6.518803"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.615150,5.952357,6.270840,6.633466,6.902449,6.997645,7.016916", \ "5.571675,5.912861,6.243598,6.602508,6.860586,6.957139,6.975687", \ "5.560401,5.875497,6.199518,6.550423,6.817691,6.910811,6.948988", \ "5.858691,6.138582,6.385097,6.709545,6.974600,7.061362,7.087446", \ "6.375834,6.543698,6.744925,7.101796,7.406804,7.501702,7.514778", \ "7.349864,7.369054,7.423825,7.635726,7.987278,8.229460,8.210622", \ "8.709991,8.606376,8.558849,8.682418,8.954894,9.157189,9.267999"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.072604,4.399809,4.587362,4.697705,4.746518,4.737928,4.822904", \ "3.976487,4.377858,4.608587,4.733748,4.616126,4.606095,4.696224", \ "3.993075,4.401944,4.574809,4.606408,4.810584,4.536207,4.613574", \ "4.355211,4.675093,4.737434,4.881504,4.860593,4.676696,4.822819", \ "4.949964,5.208272,5.357715,5.337405,5.294071,4.885254,5.061320", \ "5.966629,6.127525,6.282947,6.154672,5.844047,5.712400,5.908560", \ "7.361335,7.321210,7.442275,7.522789,7.308583,7.140828,6.518828"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.630987,5.968151,6.300554,6.648676,6.917628,7.012384,7.030497", \ "5.587745,5.931607,6.259556,6.618262,6.876617,6.974152,6.981096", \ "5.571597,5.891694,6.215610,6.566467,6.833555,6.926670,6.964681", \ "5.874825,6.154680,6.401097,6.725496,6.990431,7.077181,7.113712", \ "6.392213,6.560912,6.777793,7.121409,7.422520,7.517365,7.530373", \ "7.365717,7.355353,7.456684,7.656885,8.035859,8.234180,8.225866", \ "8.755332,8.622219,8.574749,8.694500,8.970467,9.180999,9.282817"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.063714,4.390909,4.578950,4.689491,4.738702,4.752280,4.815627", \ "3.950635,4.394889,4.599562,4.725104,4.607383,4.595259,4.689603", \ "3.983847,4.392995,4.584078,4.701889,4.801374,4.455980,4.564708", \ "4.312773,4.636556,4.730389,4.872475,4.776122,4.665981,4.814354", \ "4.917860,5.197025,5.348341,5.328501,5.285376,5.333641,5.047764", \ "5.973548,6.115475,6.273329,6.144865,5.913097,5.703427,5.901937", \ "7.352633,7.307267,7.431608,7.505834,7.213998,6.973324,6.497924"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.615188,5.952389,6.270865,6.633483,6.902458,6.997653,7.016938", \ "5.571714,5.912893,6.243621,6.602523,6.860597,6.957148,6.975711", \ "5.560439,5.875530,6.199541,6.550439,6.817700,6.910830,6.948996", \ "5.858732,6.138613,6.385123,6.709564,6.974605,7.061377,7.098006", \ "6.375869,6.543728,6.744952,7.101820,7.406818,7.501705,7.514778", \ "7.349895,7.369077,7.423848,7.635753,7.987300,8.229463,8.210651", \ "8.710020,8.606400,8.558872,8.682435,8.954909,9.157205,9.268025"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("4.072634,4.399849,4.587399,4.697741,4.746557,4.737975,4.822950", \ "3.976526,4.377898,4.608619,4.733778,4.616176,4.606154,4.696280", \ "3.993111,4.401979,4.574843,4.606448,4.810609,4.536270,4.613634", \ "4.355238,4.675126,4.737476,4.881533,4.860625,4.676750,4.822867", \ "4.949996,5.208308,5.357745,5.337436,5.294099,4.885320,5.061373", \ "5.966654,6.127558,6.282977,6.154711,5.844098,5.712450,5.908589", \ "7.361361,7.321245,7.442316,7.522821,7.308616,7.140852,6.518897"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152972,6.298779,6.470373,6.689396,6.806357,6.955957,6.962330", \ "6.135546,6.256379,6.428541,6.647558,6.749381,6.931445,6.940565", \ "6.116043,6.268935,6.361202,6.647322,6.769360,6.747378,6.818313", \ "6.269142,6.404597,6.544680,6.670184,6.907105,6.778591,7.003161", \ "6.732213,6.797101,6.819300,7.006465,7.077687,7.089265,7.175654", \ "7.327884,7.292642,7.371055,7.473537,7.493293,7.575890,7.362941", \ "8.177905,8.099084,8.071114,8.043530,8.118984,8.119144,8.084232"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153240,6.299072,6.470683,6.689754,6.807433,6.949300,6.851361", \ "6.135818,6.256673,6.428852,6.647934,6.749856,6.932085,6.941369", \ "6.116314,6.269232,6.361511,6.647711,6.769829,6.748009,6.819106", \ "6.269412,6.404892,6.545091,6.670549,6.907557,6.779156,7.003929", \ "6.732476,6.797386,6.819610,7.006818,7.078113,7.089834,7.176360", \ "7.328109,7.292882,7.371336,7.473833,7.493676,7.576395,7.363584", \ "8.178059,8.099255,8.071309,8.043756,8.119284,8.119585,8.084826"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.223113,6.369294,6.541369,6.775778,6.837271,6.839642,6.845735", \ "6.204575,6.325371,6.497523,6.716626,6.818572,6.990446,7.006980", \ "6.182875,6.337224,6.502279,6.660017,6.837710,6.810079,6.884005", \ "6.376416,6.472401,6.612545,6.738106,6.975226,6.845870,7.072433", \ "6.798952,6.861726,6.886347,7.073512,7.145116,7.155421,7.240187", \ "7.431745,7.365279,7.412838,7.524755,7.560058,7.463674,7.609945", \ "8.240708,8.162068,8.134662,8.117385,8.186551,8.223525,8.148231"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153180,6.299011,6.470626,6.689683,6.821104,6.960551,6.970816", \ "6.135755,6.256612,6.428796,6.647848,6.749732,6.931869,6.941202", \ "6.116252,6.269169,6.361461,6.647626,6.769710,6.747805,6.818854", \ "6.269363,6.404837,6.544934,6.670473,6.907454,6.779049,7.003761", \ "6.732428,6.797331,6.819545,7.006738,7.078019,7.089670,7.176191", \ "7.328068,7.292843,7.371267,7.473778,7.493582,7.576266,7.363467", \ "8.178032,8.099230,8.071268,8.043712,8.119217,8.119445,8.084666"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955872,6.361618,6.742945,7.200734,7.634039,8.130106,10.411710", \ "5.910751,6.317585,6.699940,7.154729,7.590167,8.104400,10.377140", \ "5.915768,6.277260,6.648480,7.100525,7.543320,8.044722,10.403310", \ "6.210785,6.579631,6.858915,7.283604,7.723331,8.244156,10.576480", \ "6.769343,6.973014,7.239718,7.689769,8.188074,8.683240,11.076950", \ "7.764509,7.853232,7.968488,8.263479,8.786855,9.403924,11.709930", \ "9.213204,9.136197,9.171758,9.310648,9.766771,10.284200,12.493810"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.984674,8.398887,8.658640,8.698824,8.856419,8.793441,8.493168", \ "8.024339,8.431530,8.689172,8.760836,8.637296,8.978023,9.071872", \ "7.991442,8.467567,8.595458,8.753896,8.800894,8.671742,8.569011", \ "8.009921,8.357459,8.616784,8.757010,8.802551,8.464865,8.591862", \ "7.943983,8.421633,8.547682,8.752711,8.729296,8.643494,8.800811", \ "8.012903,8.417875,8.618001,8.747963,8.802506,8.809831,9.007230", \ "8.193367,8.613829,8.852090,8.840868,8.920641,9.027249,8.618773"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955903,6.361642,6.742451,7.200748,7.634048,8.130111,10.411720", \ "5.910783,6.317610,6.699959,7.154742,7.590175,8.104408,10.377140", \ "5.915710,6.277285,6.648499,7.100539,7.543328,8.044727,10.403320", \ "6.274146,6.579657,6.859681,7.283618,7.723340,8.244165,10.576480", \ "6.769371,6.973038,7.239739,7.689772,8.188084,8.683246,11.076960", \ "7.764532,7.853254,7.968507,8.263493,8.786866,9.403932,11.709940", \ "9.213225,9.136215,9.171776,9.310661,9.766781,10.284210,12.493820"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.984823,8.398904,8.658655,8.698836,8.856129,8.793457,8.493149", \ "8.024220,8.431551,8.689188,8.760849,8.637302,8.978034,9.071882", \ "7.991465,8.467586,8.595472,8.753907,8.800904,8.672182,8.569009", \ "8.009946,8.357477,8.616799,8.757027,8.802562,8.464864,8.591831", \ "7.944005,8.421652,8.547696,8.752724,8.729284,8.643499,8.800815", \ "8.012925,8.417889,8.618017,8.747976,8.802516,8.809840,9.007240", \ "8.193387,8.613845,8.852106,8.840880,8.920633,9.027260,8.618772"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955794,6.361542,6.742330,7.200673,7.633995,8.130077,10.411670", \ "5.910676,6.317511,6.699868,7.154669,7.590126,8.104371,10.377090", \ "5.915612,6.277188,6.648410,7.100465,7.543279,8.044694,10.403250", \ "6.262729,6.579562,6.859594,7.283546,7.717621,8.187833,10.576430", \ "6.769287,6.972958,7.239661,7.689715,8.188031,8.683212,11.076900", \ "7.764458,7.853181,7.968438,8.263433,8.786816,9.403894,11.709880", \ "9.213155,9.136151,9.171713,9.310607,9.766735,10.284180,12.493760"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.984717,8.398782,8.658528,8.698723,8.856041,8.793401,8.493117", \ "8.024063,8.431428,8.689060,8.760734,8.637220,8.977964,9.071821", \ "7.991355,8.467461,8.595346,8.753792,8.800816,8.672124,8.568970", \ "8.009831,8.357355,8.616671,8.756910,8.802473,8.464813,8.591806", \ "7.943894,8.421526,8.547570,8.752607,8.729194,8.643441,8.800763", \ "8.012814,8.417766,8.617889,8.747860,8.802427,8.809775,9.007180", \ "8.193287,8.613729,8.851983,8.840769,8.920540,9.027189,8.618731"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955980,6.361823,6.743680,7.200879,7.633990,8.134072,10.410660", \ "5.910866,6.317744,6.700066,7.154666,7.590388,8.104673,10.378910", \ "5.854680,6.277388,6.648613,7.100671,7.543494,8.044865,10.403020", \ "6.274261,6.579782,6.859821,7.283779,7.723531,8.188029,10.578190", \ "6.769519,6.974229,7.239597,7.684808,8.188291,8.685474,11.077460", \ "7.776583,7.853454,7.968790,8.263749,8.786723,9.404345,11.708600", \ "9.213183,9.136620,9.172338,9.310928,9.767118,10.284720,12.495920"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.995336,8.397927,8.698407,8.697894,8.817068,8.819852,8.496136", \ "7.955765,8.430174,8.688263,8.759767,8.666484,8.976888,9.066837", \ "7.990500,8.466627,8.594451,8.752944,8.799857,8.640021,8.567228", \ "8.008773,8.435584,8.615710,8.754591,8.801711,8.463213,8.589524", \ "7.975561,8.419667,8.546353,8.752196,8.640230,8.639936,8.798369", \ "8.018248,8.410166,8.616692,8.746677,8.800730,8.808632,9.005223", \ "8.191611,8.622740,8.848886,8.839101,8.920821,9.026231,8.617632"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152917,6.288525,6.400311,6.520486,7.112101,8.996998,9.091428", \ "6.109234,6.244693,6.356908,6.509720,7.116716,8.956820,9.251715", \ "6.152171,6.259975,6.318610,6.452715,7.124084,8.890613,9.127587", \ "6.346980,6.399859,6.456863,6.582445,7.190172,9.008631,9.203907", \ "6.793212,6.804327,6.814468,6.899095,7.502021,9.148014,9.494167", \ "7.400666,7.313032,7.284376,7.331188,7.851658,9.526086,9.699535", \ "8.241374,8.055750,7.954562,7.908642,8.285686,10.214520,10.490710"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152857,6.288456,6.400234,6.520394,7.117591,9.010090,9.091263", \ "6.109174,6.244624,6.356831,6.509627,7.115328,8.956667,9.251477", \ "6.152109,6.259902,6.318528,6.452623,7.124122,8.890467,9.127359", \ "6.346918,6.399788,6.471936,6.582353,7.190066,9.008435,9.203711", \ "6.793154,6.804260,6.772610,6.899008,7.501917,9.147857,9.494208", \ "7.400618,7.312977,7.284317,7.331128,7.851515,9.525958,9.699356", \ "8.241345,8.055715,7.954521,7.908589,8.285616,10.214410,10.490560"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153033,6.288658,6.400459,6.520668,7.117418,8.982975,9.091883", \ "6.109351,6.244827,6.357055,6.509906,7.115888,8.957185,9.252122", \ "6.152290,6.260107,6.318744,6.452899,7.124439,8.890967,9.127999", \ "6.347103,6.399994,6.472169,6.582627,7.190419,9.008944,9.204328", \ "6.793329,6.804455,6.814613,6.899268,7.502239,9.148308,9.494567", \ "7.400760,7.313134,7.284506,7.331365,7.851821,9.526345,9.699904", \ "8.241423,8.055810,7.954632,7.908737,8.285826,10.214730,10.491040"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152947,6.288527,6.400307,6.520469,7.135214,8.978077,9.093891", \ "6.109231,6.244695,6.356913,6.509755,7.153555,8.957309,9.254143", \ "6.152163,6.259964,6.318603,6.452707,7.124831,8.798531,9.129978", \ "6.346968,6.399854,6.457229,6.582589,7.189722,9.009520,9.206327", \ "6.793203,6.804327,6.772695,6.899061,7.501589,9.149946,9.496569", \ "7.400647,7.313030,7.284381,7.330651,7.864949,9.391593,9.904714", \ "8.241317,8.055735,7.954557,7.908660,8.285382,10.196850,10.492820"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955919,6.361772,6.743004,7.200858,7.633964,8.134048,10.410320", \ "5.910805,6.317697,6.700025,7.154635,7.590362,8.104667,10.377460", \ "5.854606,6.277337,6.648572,7.100639,7.543469,8.044839,10.403500", \ "6.210874,6.579733,6.859777,7.283746,7.724088,8.187989,10.576850", \ "6.769464,6.974179,7.239552,7.684776,8.188269,8.685674,11.077440", \ "7.776534,7.853415,7.968754,8.263725,8.786698,9.404339,11.708160", \ "9.213140,9.136580,9.172305,9.310891,9.767101,10.284690,12.495870"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.995284,8.397874,8.698330,8.697871,8.817038,8.819810,8.496050", \ "7.955710,8.430125,8.688224,8.759750,8.666420,8.976882,9.066817", \ "7.990449,8.466582,8.594402,8.752927,8.799840,8.639968,8.567148", \ "8.008727,8.435434,8.615664,8.754576,8.801696,8.463162,8.589448", \ "7.975514,8.419622,8.546302,8.752194,8.640202,8.639903,8.798315", \ "8.018203,8.410118,8.616647,8.746661,8.800716,8.808612,9.005187", \ "8.191559,8.622693,8.848848,8.839083,8.920783,9.026226,8.617553"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955953,6.361799,6.743059,7.200872,7.633975,8.134065,10.410340", \ "5.910839,6.317723,6.700046,7.154649,7.590373,8.104677,10.377480", \ "5.854646,6.277363,6.648593,7.100654,7.543479,8.044850,10.403510", \ "6.210910,6.579759,6.859052,7.283761,7.717851,8.245430,10.576860", \ "6.769494,6.974205,7.239575,7.684793,8.188279,8.685685,11.077450", \ "7.776561,7.853437,7.968773,8.263742,8.786710,9.404348,11.708180", \ "9.213163,9.136600,9.172323,9.310908,9.767112,10.284700,12.495880"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.995314,8.397897,8.698482,8.697890,8.817035,8.819843,8.496076", \ "7.955737,8.430147,8.688242,8.759768,8.666440,8.976898,9.066835", \ "7.990475,8.466603,8.594422,8.752944,8.799858,8.639983,8.567173", \ "8.008751,8.435522,8.615684,8.754593,8.801713,8.463186,8.589473", \ "7.975539,8.419643,8.546324,8.752189,8.640222,8.639924,8.798336", \ "8.018227,8.410140,8.616667,8.746679,8.800733,8.808631,9.005205", \ "8.191584,8.622715,8.848866,8.839101,8.920800,9.026242,8.617578"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.955814,6.361558,6.742348,7.200681,7.634001,8.130079,10.411670", \ "5.910696,6.317526,6.699881,7.154677,7.590130,8.104375,10.377090", \ "5.915621,6.277203,6.648422,7.100473,7.543283,8.044695,10.403260", \ "6.210740,6.579578,6.859606,7.283554,7.723295,8.187827,10.576430", \ "6.769304,6.972971,7.239673,7.689711,8.188037,8.683213,11.076900", \ "7.764471,7.853194,7.968450,8.263442,8.786822,9.403898,11.709880", \ "9.213167,9.136161,9.171724,9.310614,9.766741,10.284180,12.493770"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.984731,8.398793,8.658539,8.698730,8.856044,8.793401,8.493104", \ "8.024076,8.431441,8.689072,8.760743,8.637221,8.977972,9.071826", \ "7.991369,8.467475,8.595356,8.753801,8.800822,8.672117,8.568960", \ "8.009846,8.357367,8.616682,8.756920,8.802480,8.464806,8.591797", \ "7.943909,8.421540,8.547579,8.752617,8.729197,8.643440,8.800762", \ "8.012957,8.417778,8.617900,8.747869,8.802434,8.809779,9.007185", \ "8.193298,8.613741,8.851995,8.840777,8.920545,9.027197,8.618721"); } } internal_power () { related_pin : "SN"; when : "CK & D & !RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("5.956000,6.361838,6.743097,7.200894,7.633993,8.134084,10.410380", \ "5.910886,6.317763,6.700077,7.154672,7.590392,8.104689,10.377510", \ "5.854699,6.277403,6.648624,7.100678,7.543498,8.044875,10.403530", \ "6.274281,6.579797,6.859833,7.283786,7.723534,8.188045,10.576900", \ "6.769537,6.974243,7.239609,7.684825,8.188294,8.685707,11.077470", \ "7.776599,7.853466,7.968799,8.263770,8.786728,9.404363,11.708190", \ "9.213196,9.136630,9.172346,9.310936,9.767130,10.284740,12.495890"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.995354,8.397944,8.698432,8.697933,8.817074,8.819870,8.496159", \ "7.955783,8.430190,8.688276,8.759803,8.666500,8.976923,9.066849", \ "7.990517,8.466641,8.594466,8.752980,8.799894,8.640037,8.567249", \ "8.008789,8.435611,8.615724,8.754627,8.801747,8.463260,8.589544", \ "7.975578,8.419682,8.546369,8.752208,8.640270,8.639979,8.798385", \ "8.018264,8.410182,8.616707,8.746714,8.800767,8.808672,9.005236", \ "8.191628,8.622755,8.848898,8.839140,8.920834,9.026269,8.617654"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152991,6.288580,6.400356,6.520524,7.136622,8.974249,9.094161", \ "6.109276,6.244747,6.356961,6.509830,7.154702,8.957499,9.254499", \ "6.152214,6.260020,6.318646,6.452767,7.125047,8.797642,9.130352", \ "6.347011,6.399900,6.457364,6.582666,7.189738,9.009810,9.206608", \ "6.793240,6.804372,6.772753,6.899126,7.501617,9.150250,9.497034", \ "7.400679,7.313064,7.284423,7.330570,7.863411,9.394158,9.900187", \ "8.241327,8.055749,7.954586,7.908699,8.285405,10.197010,10.493050"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153251,6.288867,6.400660,6.520865,7.136047,8.974766,9.094910", \ "6.109538,6.245035,6.357265,6.510197,7.155106,8.958122,9.255260", \ "6.152484,6.260312,6.318954,6.453125,7.125511,8.798328,9.131079", \ "6.347278,6.400189,6.472394,6.583026,7.190190,9.010362,9.207338", \ "6.793499,6.804651,6.814845,6.899474,7.502053,9.150800,9.497512", \ "7.400901,7.313303,7.284691,7.330908,7.863911,9.394451,9.901140", \ "8.241482,8.055917,7.954776,7.908925,8.285710,10.197450,10.493630"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.152837,6.288440,6.400222,6.520391,7.116046,8.981609,9.091238", \ "6.109155,6.244608,6.356819,6.509621,7.115636,8.956706,9.251552", \ "6.152087,6.259886,6.318514,6.452620,7.124051,8.890515,9.127426", \ "6.346902,6.399774,6.456792,6.582349,7.190084,9.008497,9.203767", \ "6.793137,6.804244,6.814379,6.899001,7.501916,9.147886,9.494033", \ "7.400600,7.312958,7.284310,7.331144,7.851527,9.525969,9.699409", \ "8.241318,8.055691,7.954496,7.908570,8.285605,10.214410,10.490600"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & RN & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.153203,6.288809,6.400607,6.520818,7.135351,8.973402,9.094549", \ "6.109490,6.244977,6.357212,6.510118,7.153735,8.957883,9.254914", \ "6.152425,6.260251,6.318902,6.453060,7.125230,8.799444,9.130748", \ "6.347230,6.400137,6.457516,6.582941,7.190166,9.010004,9.207026", \ "6.793456,6.804602,6.814780,6.899403,7.502019,9.150452,9.497226", \ "7.400862,7.313262,7.284636,7.330982,7.865800,9.391426,9.702449", \ "8.241464,8.055899,7.954745,7.908882,8.285688,10.197270,10.493380"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFR_X1 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X1 *******************************************************************************************/ cell (SDFFR_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; clear : "!RN"; } area : 6.650000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 105.258197; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; value : 82.734630; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !Q & QN"; value : 93.260178; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !Q & QN"; value : 86.802969; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !Q & QN"; value : 99.817311; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !Q & QN"; value : 95.590264; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; value : 105.660918; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !Q & QN"; value : 106.115922; } leakage_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; value : 116.186576; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !Q & QN"; value : 99.658713; } leakage_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; value : 109.729257; } leakage_power () { when : "!CK & !D & RN & SE & SI & !Q & QN"; value : 110.254848; } leakage_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; value : 115.187413; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !Q & QN"; value : 99.944581; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !Q & QN"; value : 105.333283; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !Q & QN"; value : 100.749242; } leakage_power () { when : "!CK & D & !RN & SE & SI & !Q & QN"; value : 106.923751; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !Q & QN"; value : 110.382118; } leakage_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; value : 115.314683; } leakage_power () { when : "!CK & D & RN & !SE & SI & !Q & QN"; value : 115.770820; } leakage_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; value : 120.703385; } leakage_power () { when : "!CK & D & RN & SE & !SI & !Q & QN"; value : 113.604986; } leakage_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; value : 123.675530; } leakage_power () { when : "!CK & D & RN & SE & SI & !Q & QN"; value : 117.361288; } leakage_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; value : 122.293853; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !Q & QN"; value : 79.158453; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !Q & QN"; value : 89.683781; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !Q & QN"; value : 83.226462; } leakage_power () { when : "CK & !D & !RN & SE & SI & !Q & QN"; value : 91.510804; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !Q & QN"; value : 90.713568; } leakage_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; value : 107.073307; } leakage_power () { when : "CK & !D & RN & !SE & SI & !Q & QN"; value : 101.239006; } leakage_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; value : 117.598635; } leakage_power () { when : "CK & !D & RN & SE & !SI & !Q & QN"; value : 94.781687; } leakage_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; value : 111.141316; } leakage_power () { when : "CK & !D & RN & SE & SI & !Q & QN"; value : 103.066249; } leakage_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; value : 114.293718; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !Q & QN"; value : 91.638184; } leakage_power () { when : "CK & D & !RN & !SE & SI & !Q & QN"; value : 97.026886; } leakage_power () { when : "CK & D & !RN & SE & !SI & !Q & QN"; value : 97.172405; } leakage_power () { when : "CK & D & !RN & SE & SI & !Q & QN"; value : 98.617574; } leakage_power () { when : "CK & D & RN & !SE & !SI & !Q & QN"; value : 103.193629; } leakage_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; value : 114.420988; } leakage_power () { when : "CK & D & RN & !SE & SI & !Q & QN"; value : 108.582441; } leakage_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; value : 119.809800; } leakage_power () { when : "CK & D & RN & SE & !SI & !Q & QN"; value : 108.727520; } leakage_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; value : 125.087149; } leakage_power () { when : "CK & D & RN & SE & SI & !Q & QN"; value : 110.173019; } leakage_power () { when : "CK & D & RN & SE & SI & Q & !QN"; value : 121.400378; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.153532; fall_capacitance : 1.096761; rise_capacitance : 1.153532; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.023055,-0.009779,-0.004547", \ "-0.030652,-0.016399,-0.008915", \ "0.114986,0.129503,0.137034"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.011479,-0.002903,-0.004649", \ "-0.011646,-0.004396,-0.009733", \ "0.065893,0.072697,0.060760"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.073371,0.066813,0.079677", \ "0.090759,0.084272,0.097051", \ "0.133112,0.126315,0.138276"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.065770,0.051355,0.044071", \ "0.076325,0.061734,0.054370", \ "0.084012,0.069500,0.061991"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.110806,5.087619,5.057175,5.069674,5.215122,5.568869,6.144641"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.162556,4.126675,4.081152,4.097807,4.233022,4.568861,5.115814"); } } internal_power () { when : "!CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.110495,5.086974,5.057014,5.069083,5.214657,5.567235,6.143780"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.136470,4.094753,4.055404,4.065933,4.206790,4.542541,5.088120"); } } internal_power () { when : "!CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717836,0.693676,0.681940,0.678536,0.676230,0.675553,0.674395"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603501,-0.609312,-0.614721,-0.618370,-0.621437,-0.623187,-0.624992"); } } internal_power () { when : "!CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650144,0.657851,0.660051,0.659515,0.658709,0.657899,0.657988"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422922,-0.488794,-0.545647,-0.567113,-0.579335,-0.586675,-0.592254"); } } internal_power () { when : "!CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.738770,6.713753,6.684332,6.695806,6.841044,7.191908,7.762809"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.584465,4.548317,4.508610,4.519468,4.657872,4.986900,5.536377"); } } internal_power () { when : "!CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.707501,6.685250,6.656037,6.668237,6.811764,7.163337,7.733938"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.583710,4.549995,4.510392,4.520201,4.658167,4.997969,5.541713"); } } internal_power () { when : "!CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.738671,6.713382,6.677787,6.695340,6.840534,7.191321,7.762278"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.588018,4.551456,4.506426,4.522945,4.661336,4.990395,5.539936"); } } internal_power () { when : "!CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.705677,6.683170,6.654043,6.665878,6.809355,7.160852,7.731203"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.583666,4.547595,4.507545,4.520144,4.658098,4.997936,5.541643"); } } internal_power () { when : "!CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716987,0.692824,0.681089,0.677689,0.674944,0.674719,0.673009"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605762,-0.611571,-0.616975,-0.620625,-0.623255,-0.625440,-0.627242"); } } internal_power () { when : "!CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717406,0.693194,0.681856,0.678458,0.676133,0.675490,0.674053"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603462,-0.609094,-0.614540,-0.618235,-0.621390,-0.623143,-0.625524"); } } internal_power () { when : "!CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649812,0.657518,0.659717,0.659180,0.657972,0.657568,0.657154"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424005,-0.489876,-0.546732,-0.568193,-0.580001,-0.587749,-0.593322"); } } internal_power () { when : "!CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650328,0.658029,0.660233,0.659691,0.658467,0.658083,0.657635"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422173,-0.488040,-0.544887,-0.566350,-0.578163,-0.585915,-0.591497"); } } internal_power () { when : "CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.274127,3.252199,3.222005,3.238062,3.384228,3.732888,4.295117"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526592,1.490458,1.453216,1.470189,1.614559,1.948829,2.494836"); } } internal_power () { when : "CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.271820,3.249918,3.219542,3.235715,3.381822,3.730406,4.292535"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526702,1.490594,1.453015,1.470215,1.614314,1.948854,2.494702"); } } internal_power () { when : "CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716392,0.692546,0.680834,0.677449,0.675114,0.674422,0.673039"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606391,-0.612059,-0.617523,-0.621231,-0.624249,-0.626152,-0.628371"); } } internal_power () { when : "CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649573,0.657300,0.659441,0.658913,0.658068,0.657263,0.656908"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424626,-0.490609,-0.547474,-0.568906,-0.581047,-0.588728,-0.594374"); } } internal_power () { when : "CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.272833,3.250298,3.219970,3.236459,3.382330,3.731052,4.293191"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.585905,1.549676,1.512099,1.528554,1.672598,2.007321,2.553920"); } } internal_power () { when : "CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.211417,3.187523,3.159357,3.174929,3.323968,3.674872,4.238562"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561707,1.525497,1.487860,1.503255,1.646139,1.978497,2.523636"); } } internal_power () { when : "CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.272399,3.249926,3.219540,3.236002,3.381941,3.730473,4.288988"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.589448,1.553119,1.515552,1.532016,1.675883,2.010809,2.557607"); } } internal_power () { when : "CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.209138,3.184988,3.155775,3.172990,3.321547,3.672393,4.235931"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561755,1.525514,1.489163,1.503279,1.646183,1.978522,2.523525"); } } internal_power () { when : "CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716736,0.692587,0.680832,0.677443,0.675113,0.674439,0.673053"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606237,-0.612057,-0.617489,-0.621142,-0.624155,-0.625960,-0.628246"); } } internal_power () { when : "CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.721882,0.693648,0.681954,0.678551,0.675784,0.675556,0.673848"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603899,-0.609519,-0.614999,-0.618611,-0.621299,-0.623650,-0.625319"); } } internal_power () { when : "CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649571,0.657269,0.659445,0.658915,0.658070,0.657261,0.657140"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424609,-0.490525,-0.547400,-0.568856,-0.580996,-0.588411,-0.594399"); } } internal_power () { when : "CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651590,0.659277,0.661462,0.660921,0.660103,0.659287,0.659362"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.419443,-0.485345,-0.542195,-0.563648,-0.575857,-0.583205,-0.588781"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.490977; fall_capacitance : 1.470155; rise_capacitance : 1.490977; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.032969,-0.046939,-0.055266", \ "-0.024577,-0.038576,-0.046779", \ "-0.026374,-0.040643,-0.049010"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.100127,0.113544,0.121267", \ "0.155024,0.168190,0.176025", \ "0.347303,0.360456,0.368378"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.075040,0.108575,0.199362"); } } internal_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.689187,0.665495,0.652978,0.649087,0.647236,0.646462,0.644964"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606772,-0.608201,-0.610559,-0.613650,-0.616790,-0.616972,-0.617640"); } } internal_power () { when : "!CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.689310,0.665201,0.653041,0.649164,0.647310,0.646594,0.644812"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.607435,-0.608831,-0.610693,-0.613634,-0.615880,-0.616898,-0.619289"); } } internal_power () { when : "!CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.689175,0.665486,0.652966,0.649077,0.647218,0.646444,0.644942"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606794,-0.608226,-0.610590,-0.613681,-0.616805,-0.617004,-0.617672"); } } internal_power () { when : "!CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.349656,2.329326,2.316303,2.345556,2.426703,2.572129,2.767383"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.144612,-0.148674,-0.154230,-0.152329,-0.124879,-0.048424,0.094993"); } } internal_power () { when : "!CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.349691,2.329346,2.316336,2.345584,2.426764,2.572191,2.767461"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.144540,-0.148585,-0.154119,-0.152217,-0.124833,-0.048312,0.095103"); } } internal_power () { when : "!CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.349684,2.329341,2.316331,2.345580,2.426755,2.572182,2.767449"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.144552,-0.148612,-0.154138,-0.152236,-0.124842,-0.048331,0.095084"); } } internal_power () { when : "!CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.689188,0.665494,0.652979,0.649088,0.647240,0.646467,0.644971"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606764,-0.608191,-0.610547,-0.613638,-0.616785,-0.616961,-0.617629"); } } internal_power () { when : "!CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.349648,2.329321,2.316295,2.345550,2.426691,2.572116,2.767367"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.144630,-0.148694,-0.154255,-0.152353,-0.124891,-0.048449,0.094968"); } } internal_power () { when : "CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634879,0.635741,0.634561,0.633718,0.633625,0.633110,0.632562"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.607357,-0.608705,-0.609828,-0.612837,-0.612912,-0.612905,-0.613582"); } } internal_power () { when : "CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634350,0.635211,0.634034,0.633191,0.633107,0.632593,0.632042"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608539,-0.609885,-0.611004,-0.614014,-0.614105,-0.614085,-0.614783"); } } internal_power () { when : "CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634012,0.634876,0.633695,0.632853,0.632745,0.632246,0.631706"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609856,-0.611294,-0.612240,-0.615070,-0.615264,-0.615265,-0.615986"); } } internal_power () { when : "CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.633179,0.634044,0.632865,0.632031,0.631919,0.631402,0.630884"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611608,-0.612972,-0.614116,-0.617123,-0.617142,-0.617191,-0.617796"); } } internal_power () { when : "CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.633744,0.634591,0.633427,0.632586,0.632505,0.631991,0.631440"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610319,-0.611753,-0.612691,-0.615514,-0.615744,-0.615715,-0.616478"); } } internal_power () { when : "CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.633768,0.634622,0.633455,0.632614,0.632530,0.632010,0.631466"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609985,-0.611336,-0.612461,-0.615468,-0.615545,-0.615539,-0.616216"); } } internal_power () { when : "CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634362,0.635225,0.634047,0.633203,0.633109,0.632602,0.632054"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608443,-0.609791,-0.610913,-0.613926,-0.614007,-0.613999,-0.614685"); } } internal_power () { when : "CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.633168,0.634037,0.632854,0.632266,0.631903,0.631387,0.630872"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611667,-0.613014,-0.614147,-0.616366,-0.617166,-0.617190,-0.617779"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.000805; fall_capacitance : 1.883372; rise_capacitance : 2.000805; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.017591,-0.009001,-0.011670", \ "-0.033874,-0.026506,-0.029002", \ "0.108753,0.115252,0.103076"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.015975,-0.003381,-0.002207", \ "-0.020201,-0.006799,-0.008288", \ "0.068846,0.082857,0.072978"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.075881,0.061390,0.070008", \ "0.095136,0.080728,0.087567", \ "0.130159,0.116153,0.126057"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.082982,0.076018,0.088902", \ "0.089739,0.082860,0.095627", \ "0.090247,0.083754,0.095955"); } } internal_power () { when : "!CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.391041,2.353578,2.406963,2.612041,2.995158,3.609137,4.483496"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.060224,-0.094185,-0.088256,0.075616,0.469683,1.110705,2.013559"); } } internal_power () { when : "!CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.938984,5.866583,5.924049,6.268824,6.966407,8.087313,9.695571"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.489348,3.446132,3.433281,3.682936,4.346355,5.491013,7.140707"); } } internal_power () { when : "!CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.390091,2.352722,2.406112,2.611191,2.993893,3.608149,4.482135"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.062505,-0.096448,-0.090512,0.073359,0.467848,1.108347,2.011308"); } } internal_power () { when : "!CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.391476,2.353993,2.407392,2.612468,2.995607,3.609587,4.483651"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.046073,-0.079611,-0.073630,0.090341,0.484272,1.125355,2.026398"); } } internal_power () { when : "!CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.561068,7.491140,7.547310,7.891741,8.582846,9.709738,11.316080"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.979356,3.937234,3.922934,4.171590,4.830344,5.967247,7.615241"); } } internal_power () { when : "!CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.527672,7.460502,7.515778,7.860519,8.557269,9.679330,11.285700"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.943299,3.904510,3.887283,4.136687,4.801772,5.939931,7.592585"); } } internal_power () { when : "!CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.339511,6.307660,6.406402,6.693345,7.177281,7.899910,8.874287"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.321252,4.290739,4.232833,4.345679,4.717437,5.361210,6.304800"); } } internal_power () { when : "!CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.231420,2.198841,2.256909,2.467702,2.855291,3.472557,4.349241"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.036862,0.008278,0.015209,0.172591,0.557826,1.196339,2.099655"); } } internal_power () { when : "!CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.814350,6.781730,6.881633,7.167327,7.647510,8.372485,9.346114"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.948380,5.912286,5.859885,5.973336,6.342100,6.987955,7.928658"); } } internal_power () { when : "!CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.865101,6.835448,6.935353,7.221134,7.704478,8.426101,9.404601"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.985187,5.956747,5.900292,6.012772,6.381019,7.028683,7.967681"); } } internal_power () { when : "!CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.231190,2.198686,2.256580,2.467363,2.854551,3.472231,4.348413"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.035759,0.007219,0.014143,0.171524,0.557159,1.195265,2.098596"); } } internal_power () { when : "!CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.233251,2.198640,2.256705,2.467489,2.854666,3.472366,4.348520"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.036337,0.007761,0.014700,0.172083,0.557718,1.195824,2.099153"); } } internal_power () { when : "CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.391168,2.353751,2.407441,2.612561,2.995634,3.609683,4.483659"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.046542,-0.079898,-0.073483,0.090307,0.484548,1.125265,2.026196"); } } internal_power () { when : "CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.097255,4.025200,4.086584,4.435914,5.131127,6.242804,7.836163"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.882042,0.839524,0.832544,1.090335,1.754951,2.894172,4.531670"); } } internal_power () { when : "CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.389870,2.352132,2.405835,2.610952,2.994059,3.607644,4.482132"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063731,-0.096987,-0.091094,0.072908,0.466944,1.107813,2.010304"); } } internal_power () { when : "CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.390371,2.352958,2.406642,2.611751,2.994156,3.608918,4.482603"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.048535,-0.081837,-0.075424,0.088961,0.482949,1.123310,2.024918"); } } internal_power () { when : "CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.096791,4.024626,4.086143,4.435571,5.130666,6.242286,7.835802"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.979955,0.937552,0.929964,1.186797,1.848453,2.984120,4.616084"); } } internal_power () { when : "CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.030697,3.959871,4.021373,4.374215,5.071851,6.186324,7.782186"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.916163,0.875414,0.867713,1.121420,1.786836,2.921693,4.559078"); } } internal_power () { when : "CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.822589,3.790170,3.889111,4.174867,4.659711,5.378380,6.350961"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.360627,2.341817,2.327704,2.474341,2.863662,3.518495,4.453147"); } } internal_power () { when : "CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.233816,2.201064,2.259505,2.470671,2.858116,3.474225,4.352291"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.150009,-0.178853,-0.171585,-0.013411,0.373740,1.012564,1.914626"); } } internal_power () { when : "CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.814187,3.781979,3.881670,4.168839,4.656038,5.376897,6.352313"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.509731,2.479706,2.420355,2.534940,2.907339,3.556547,4.492189"); } } internal_power () { when : "CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.848786,3.816237,3.916258,4.203138,4.690004,5.410478,6.385655"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.454881,2.424398,2.364392,2.479754,2.850019,3.499352,4.434724"); } } internal_power () { when : "CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.230816,2.198177,2.256465,2.467257,2.855036,3.471283,4.348398"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.035262,0.006626,0.013499,0.171101,0.556260,1.194593,2.097475"); } } internal_power () { when : "CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.231270,2.198648,2.257066,2.467849,2.855649,3.471897,4.349232"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.036990,0.008365,0.015262,0.172861,0.557959,1.196350,2.099634"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.875562; fall_capacitance : 0.838906; rise_capacitance : 0.875562; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.026657,-0.013189,-0.007257", \ "-0.030147,-0.016282,-0.009611", \ "0.107809,0.122690,0.129913"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.012014,-0.003429,-0.005799", \ "-0.011693,-0.004184,-0.010208", \ "0.054108,0.061715,0.049735"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.081568,0.074748,0.087584", \ "0.099228,0.092371,0.105110", \ "0.144899,0.137297,0.149303"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.068278,0.053862,0.046383", \ "0.080008,0.065564,0.058418", \ "0.091190,0.076313,0.069113"); } } internal_power () { when : "!CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543026,0.526497,0.519463,0.515762,0.514117,0.512899,0.511811"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465026,-0.468919,-0.471235,-0.472464,-0.473852,-0.474379,-0.475072"); } } internal_power () { when : "!CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.073006,5.059900,5.038068,5.045261,5.141623,5.390712,5.805422"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.690097,3.664179,3.638121,3.647129,3.733359,3.970514,4.357003"); } } internal_power () { when : "!CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542177,0.525643,0.518614,0.514914,0.512817,0.512066,0.510409"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467284,-0.471178,-0.473489,-0.474719,-0.475655,-0.476631,-0.477321"); } } internal_power () { when : "!CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543006,0.526477,0.519442,0.515747,0.514123,0.512921,0.511548"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.464828,-0.468624,-0.470867,-0.472198,-0.473719,-0.473998,-0.475413"); } } internal_power () { when : "!CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.695055,6.684293,6.660472,6.668244,6.759237,7.007652,7.422899"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.117394,4.090733,4.064419,4.069297,4.160297,4.384618,4.777691"); } } internal_power () { when : "!CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.671265,6.655252,6.627263,6.639750,6.735641,6.986710,7.396216"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.117732,4.093285,4.067225,4.071610,4.166417,4.396392,4.783106"); } } internal_power () { when : "!CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481156,0.489127,0.491078,0.490659,0.490379,0.490512,0.490334"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321142,-0.370058,-0.409900,-0.425469,-0.434381,-0.439476,-0.443525"); } } internal_power () { when : "!CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.073028,5.059299,5.037424,5.042415,5.140859,5.389882,5.804473"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.694037,3.673451,3.641773,3.650696,3.737127,3.973880,4.360123"); } } internal_power () { when : "!CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480839,0.488804,0.490756,0.490330,0.489572,0.490182,0.489416"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322215,-0.371136,-0.410977,-0.426542,-0.434972,-0.440540,-0.444583"); } } internal_power () { when : "!CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480973,0.488931,0.490887,0.490457,0.489685,0.490318,0.489524"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321664,-0.370581,-0.410415,-0.425980,-0.434411,-0.439981,-0.444027"); } } internal_power () { when : "!CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.692541,6.683678,6.659820,6.667546,6.758485,7.006796,7.421957"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.120828,4.094410,4.067991,4.072864,4.164120,4.388618,4.780603"); } } internal_power () { when : "!CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.666596,6.651676,6.623656,6.636088,6.731828,6.982871,7.392210"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.148950,4.126311,4.096438,4.100837,4.189444,4.424888,4.811866"); } } internal_power () { when : "CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543075,0.526575,0.519508,0.515827,0.514140,0.512937,0.511611"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.464825,-0.468641,-0.470932,-0.472265,-0.473663,-0.474066,-0.475326"); } } internal_power () { when : "CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.232995,3.220636,3.198233,3.206584,3.305366,3.544082,3.945066"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122448,1.096427,1.071880,1.080920,1.175999,1.407168,1.796321"); } } internal_power () { when : "CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.541923,0.525404,0.518360,0.514667,0.513000,0.511786,0.510462"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467756,-0.471664,-0.474003,-0.475235,-0.476570,-0.477152,-0.478343"); } } internal_power () { when : "CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542278,0.525755,0.518709,0.515020,0.512893,0.512180,0.510500"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466836,-0.470640,-0.472895,-0.474225,-0.475225,-0.476022,-0.476786"); } } internal_power () { when : "CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.229957,3.217730,3.195188,3.203539,3.302317,3.541035,3.942222"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122449,1.096311,1.071887,1.080931,1.175847,1.407208,1.796340"); } } internal_power () { when : "CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.174216,3.161180,3.139775,3.147844,3.246491,3.488854,3.891194"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.099871,1.071769,1.049599,1.056047,1.152616,1.379753,1.767536"); } } internal_power () { when : "CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482206,0.489128,0.491013,0.490587,0.490256,0.490389,0.489955"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321392,-0.369946,-0.410113,-0.425590,-0.434355,-0.439394,-0.443913"); } } internal_power () { when : "CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.229377,3.217007,3.194761,3.202888,3.301573,3.539532,3.941135"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.063642,1.037573,1.013183,1.022945,1.118578,1.349138,1.738075"); } } internal_power () { when : "CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480575,0.488553,0.490487,0.490065,0.489739,0.489877,0.489446"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322838,-0.371781,-0.411636,-0.427201,-0.436030,-0.441199,-0.445742"); } } internal_power () { when : "CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481182,0.489144,0.491092,0.490656,0.490360,0.490491,0.490305"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321108,-0.370046,-0.409877,-0.425440,-0.434331,-0.439440,-0.443489"); } } internal_power () { when : "CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.229370,3.216983,3.194564,3.202852,3.301566,3.539621,3.941068"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.126026,1.099993,1.075461,1.084495,1.179604,1.411004,1.799653"); } } internal_power () { when : "CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.170220,3.157109,3.135692,3.143622,3.242683,3.484549,3.886818"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.125174,1.097233,1.075121,1.081500,1.177744,1.405075,1.791860"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 1.026344; fall_capacitance : 0.939316; rise_capacitance : 1.026344; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.063747,0.075086,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.062526,0.062797,0.198733"); } } internal_power () { when : "!D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.361975,4.331321,4.340161,4.516303,4.883238,5.464028,6.308264"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.974576,2.954306,2.945007,3.062446,3.386857,3.978425,4.869581"); } } internal_power () { when : "!D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.358437,4.327463,4.336619,4.512864,4.879677,5.460347,6.304655"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.217439,3.197209,3.188093,3.304798,3.629693,4.220222,5.113003"); } } internal_power () { when : "!D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.361845,4.331241,4.340020,4.516283,4.883117,5.463995,6.308234"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.974686,2.955366,2.945092,3.062700,3.386920,3.978414,4.869598"); } } internal_power () { when : "!D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.573688,6.543223,6.554232,6.741466,7.121779,7.721920,8.581196"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.354670,11.336550,11.335780,11.475800,11.830860,12.471930,13.432740"); } } internal_power () { when : "!D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.363799,4.333589,4.342181,4.516652,4.883937,5.465820,6.308912"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.981434,2.962530,2.952222,3.070173,3.395574,3.984997,4.877159"); } } internal_power () { when : "!D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.423562,7.384317,7.393626,7.576079,7.948780,8.552145,9.419525"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.363801,4.333623,4.342188,4.516659,4.883937,5.465838,6.308912"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.981458,2.962872,2.952249,3.070210,3.395605,3.985033,4.877190"); } } internal_power () { when : "!D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.422371,7.383130,7.392446,7.574900,7.947597,8.550964,9.418339"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.363689,4.333492,4.342064,4.516521,4.883822,5.465782,6.308903"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.981533,2.962617,2.952294,3.070237,3.395643,3.984983,4.877183"); } } internal_power () { when : "!D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.893119,7.851048,7.858296,8.042925,8.421539,9.017167,9.884478"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.102637,7.065887,7.076064,7.260647,7.638663,8.230630,9.090410"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.390361,4.359763,4.370082,4.547751,4.915134,5.500763,6.346746"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.880515,2.860541,2.846666,2.966700,3.296076,3.889849,4.783941"); } } internal_power () { when : "D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.573725,6.543245,6.554278,6.741537,7.121868,7.721810,8.581781"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.354980,11.335830,11.336230,11.475480,11.831080,12.472000,13.432860"); } } internal_power () { when : "D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.573712,6.543240,6.554272,6.741532,7.121836,7.721798,8.581769"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.354980,11.335810,11.336210,11.475460,11.830690,12.471990,13.432840"); } } internal_power () { when : "D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.361846,4.331220,4.340016,4.516266,4.883140,5.464017,6.308262"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.974711,2.954431,2.945128,3.062578,3.386937,3.978462,4.869639"); } } internal_power () { when : "D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.573679,6.543218,6.554225,6.741459,7.121788,7.721908,8.581181"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("11.354660,11.336490,11.335750,11.475780,11.831230,12.471900,13.432720"); } } internal_power () { when : "D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.102712,7.066651,7.076177,7.260749,7.638712,8.230723,9.090464"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.388160,4.357534,4.367870,4.545539,4.912893,5.498577,6.344522"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.880489,2.860524,2.846668,2.966728,3.296112,3.889923,4.783975"); } } internal_power () { when : "D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.102696,7.066630,7.076157,7.260731,7.638702,8.230705,9.090457"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.388151,4.357528,4.367870,4.545535,4.912893,5.498567,6.344522"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.880477,2.860509,2.846649,2.966709,3.296093,3.889906,4.783956"); } } internal_power () { when : "D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.363693,4.333521,4.342066,4.516517,4.883822,5.465804,6.308903"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.981569,2.962979,2.952330,3.070274,3.395682,3.985030,4.877222"); } } internal_power () { when : "D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.423169,7.380598,7.389910,7.573739,7.947434,8.551603,9.417051"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.102618,7.065867,7.076038,7.260424,7.638651,8.230605,9.090401"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.388121,4.357505,4.367839,4.545506,4.912897,5.498509,6.344522"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.880389,2.860466,2.846522,2.966575,3.295955,3.889774,4.783826"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.424800; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.063286,0.068774,0.074235,0.083131,0.097409,0.120404,0.159837", \ "0.064758,0.070247,0.075710,0.084606,0.098886,0.121876,0.161315", \ "0.069749,0.075231,0.080694,0.089593,0.103870,0.126864,0.166304", \ "0.075527,0.081008,0.086469,0.095362,0.109645,0.132644,0.172087", \ "0.079988,0.085471,0.090936,0.099830,0.114117,0.137125,0.176558", \ "0.083130,0.088615,0.094075,0.102971,0.117217,0.140236,0.179674", \ "0.084770,0.090241,0.095697,0.104601,0.118878,0.141895,0.181360"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.065182,0.070769,0.076571,0.086845,0.105844,0.142509,0.215114", \ "0.066658,0.072246,0.078049,0.088320,0.107320,0.143986,0.216588", \ "0.071850,0.077441,0.083246,0.093509,0.112511,0.149175,0.221788", \ "0.077875,0.083463,0.089259,0.099540,0.118536,0.155199,0.227813", \ "0.082590,0.088182,0.093983,0.104255,0.123234,0.159904,0.232529", \ "0.085705,0.091295,0.097098,0.107363,0.126363,0.163027,0.235639", \ "0.086823,0.092410,0.098217,0.108499,0.127485,0.164138,0.236761"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010222,0.012423,0.014815,0.019115,0.026733,0.040663,0.069477", \ "0.010224,0.012421,0.014815,0.019114,0.026733,0.040671,0.069476", \ "0.010228,0.012427,0.014819,0.019120,0.026738,0.040671,0.069488", \ "0.010226,0.012428,0.014821,0.019123,0.026739,0.040669,0.069488", \ "0.010248,0.012446,0.014848,0.019150,0.026765,0.040685,0.069495", \ "0.010272,0.012477,0.014874,0.019174,0.026784,0.040699,0.069478", \ "0.010378,0.012580,0.014970,0.019263,0.026856,0.040746,0.069524"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007023,0.010403,0.014340,0.022251,0.038662,0.072439,0.141114", \ "0.007023,0.010402,0.014342,0.022253,0.038662,0.072439,0.141116", \ "0.007025,0.010403,0.014340,0.022251,0.038663,0.072439,0.141114", \ "0.007026,0.010402,0.014344,0.022251,0.038663,0.072440,0.141116", \ "0.007024,0.010405,0.014344,0.022255,0.038663,0.072441,0.141117", \ "0.007032,0.010411,0.014351,0.022259,0.038666,0.072439,0.141115", \ "0.007045,0.010423,0.014359,0.022264,0.038670,0.072443,0.141116"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067223,0.072863,0.078500,0.087687,0.102013,0.124199,0.162499", \ "0.068866,0.074516,0.080148,0.089335,0.103659,0.125846,0.164148", \ "0.074573,0.080220,0.085854,0.095042,0.109370,0.131561,0.169873", \ "0.084033,0.089679,0.095306,0.104488,0.118817,0.141004,0.179316", \ "0.098447,0.104095,0.109717,0.118890,0.133184,0.155353,0.193637", \ "0.115636,0.121548,0.127458,0.137042,0.151664,0.174000,0.212278", \ "0.135333,0.141488,0.147683,0.157711,0.172715,0.195308,0.233606"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011000,0.013221,0.015660,0.019818,0.026471,0.039128,0.067841", \ "0.011002,0.013217,0.015658,0.019819,0.026471,0.039135,0.067844", \ "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ "0.010995,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ "0.012927,0.015101,0.017468,0.021370,0.027481,0.039589,0.067961", \ "0.014546,0.016761,0.019148,0.022930,0.028595,0.040205,0.068244"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067223,0.072863,0.078500,0.087687,0.102014,0.124197,0.162500", \ "0.068866,0.074516,0.080148,0.089335,0.103660,0.125846,0.164149", \ "0.074573,0.080220,0.085854,0.095043,0.109371,0.131560,0.169873", \ "0.084034,0.089679,0.095306,0.104489,0.118818,0.141004,0.179316", \ "0.098447,0.104095,0.109717,0.118891,0.133184,0.155353,0.193637", \ "0.115636,0.121548,0.127459,0.137042,0.151665,0.173999,0.212279", \ "0.135333,0.141488,0.147683,0.157711,0.172715,0.195308,0.233606"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011000,0.013221,0.015660,0.019818,0.026470,0.039129,0.067840", \ "0.011002,0.013217,0.015658,0.019819,0.026471,0.039135,0.067844", \ "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ "0.010995,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ "0.012927,0.015101,0.017468,0.021370,0.027481,0.039594,0.067961", \ "0.014546,0.016761,0.019148,0.022930,0.028595,0.040205,0.068244"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067222,0.072863,0.078500,0.087687,0.102013,0.124197,0.162500", \ "0.068865,0.074516,0.080147,0.089335,0.103659,0.125846,0.164148", \ "0.074572,0.080220,0.085854,0.095042,0.109370,0.131560,0.169873", \ "0.084033,0.089678,0.095306,0.104488,0.118817,0.141004,0.179315", \ "0.098447,0.104095,0.109716,0.118890,0.133183,0.155353,0.193636", \ "0.115636,0.121512,0.127458,0.137019,0.151664,0.174000,0.212278", \ "0.135333,0.141488,0.147684,0.157711,0.172715,0.195308,0.233606"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011000,0.013221,0.015660,0.019818,0.026470,0.039129,0.067840", \ "0.011002,0.013217,0.015660,0.019819,0.026471,0.039135,0.067844", \ "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ "0.010994,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ "0.012927,0.015104,0.017468,0.021372,0.027481,0.039589,0.067961", \ "0.014546,0.016761,0.019147,0.022930,0.028595,0.040205,0.068244"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067202,0.072848,0.078483,0.087672,0.102000,0.124185,0.162487", \ "0.068851,0.074495,0.080131,0.089321,0.103649,0.125832,0.164131", \ "0.074554,0.080203,0.085833,0.095027,0.109355,0.131549,0.169854", \ "0.084023,0.089664,0.095290,0.104478,0.118802,0.140987,0.179297", \ "0.098418,0.104064,0.109687,0.118864,0.133150,0.155320,0.193611", \ "0.115581,0.121529,0.127441,0.137013,0.151646,0.173958,0.212231", \ "0.135157,0.141398,0.147611,0.157726,0.172675,0.195266,0.233620"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011001,0.013214,0.015655,0.019811,0.026460,0.039120,0.067826", \ "0.011002,0.013214,0.015653,0.019812,0.026461,0.039123,0.067828", \ "0.010997,0.013212,0.015653,0.019811,0.026462,0.039120,0.067841", \ "0.010994,0.013210,0.015658,0.019817,0.026465,0.039122,0.067826", \ "0.011446,0.013565,0.015933,0.020004,0.026582,0.039181,0.067850", \ "0.012925,0.015096,0.017458,0.021362,0.027473,0.039583,0.067950", \ "0.014552,0.016757,0.019141,0.022917,0.028589,0.040202,0.068231"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067202,0.072844,0.078483,0.087671,0.101999,0.124185,0.162487", \ "0.068851,0.074493,0.080131,0.089319,0.103649,0.125832,0.164131", \ "0.074552,0.080201,0.085833,0.095026,0.109356,0.131549,0.169854", \ "0.084021,0.089664,0.095291,0.104476,0.118802,0.140987,0.179297", \ "0.098417,0.104063,0.109687,0.118864,0.133150,0.155320,0.193611", \ "0.115581,0.121529,0.127441,0.137013,0.151646,0.173958,0.212230", \ "0.135157,0.141399,0.147611,0.157726,0.172675,0.195266,0.233620"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011001,0.013218,0.015655,0.019811,0.026462,0.039120,0.067826", \ "0.011002,0.013214,0.015653,0.019812,0.026461,0.039123,0.067828", \ "0.010997,0.013211,0.015653,0.019811,0.026462,0.039120,0.067841", \ "0.010994,0.013211,0.015658,0.019817,0.026465,0.039122,0.067826", \ "0.011445,0.013566,0.015933,0.020004,0.026582,0.039181,0.067850", \ "0.012925,0.015096,0.017458,0.021362,0.027473,0.039583,0.067950", \ "0.014552,0.016757,0.019141,0.022917,0.028590,0.040202,0.068231"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067202,0.072849,0.078484,0.087671,0.102000,0.124185,0.162487", \ "0.068851,0.074495,0.080130,0.089319,0.103649,0.125832,0.164131", \ "0.074554,0.080203,0.085835,0.095026,0.109356,0.131548,0.169854", \ "0.084023,0.089664,0.095292,0.104476,0.118803,0.140987,0.179297", \ "0.098417,0.104067,0.109686,0.118864,0.133150,0.155320,0.193611", \ "0.115581,0.121530,0.127445,0.137014,0.151646,0.173958,0.212231", \ "0.135157,0.141398,0.147611,0.157726,0.172675,0.195266,0.233620"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011001,0.013214,0.015655,0.019811,0.026462,0.039120,0.067825", \ "0.011002,0.013214,0.015656,0.019812,0.026461,0.039123,0.067838", \ "0.010997,0.013212,0.015653,0.019811,0.026462,0.039120,0.067841", \ "0.010994,0.013210,0.015658,0.019817,0.026465,0.039122,0.067826", \ "0.011445,0.013564,0.015932,0.020004,0.026582,0.039181,0.067850", \ "0.012924,0.015095,0.017460,0.021362,0.027473,0.039583,0.067950", \ "0.014552,0.016757,0.019141,0.022917,0.028590,0.040202,0.068231"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067222,0.072863,0.078500,0.087687,0.102014,0.124197,0.162502", \ "0.068866,0.074515,0.080148,0.089336,0.103660,0.125846,0.164149", \ "0.074573,0.080220,0.085854,0.095042,0.109371,0.131560,0.169874", \ "0.084033,0.089678,0.095306,0.104489,0.118817,0.141004,0.179316", \ "0.098447,0.104095,0.109717,0.118891,0.133184,0.155353,0.193637", \ "0.115636,0.121512,0.127459,0.137042,0.151664,0.174000,0.212279", \ "0.135333,0.141488,0.147684,0.157711,0.172715,0.195308,0.233606"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011000,0.013220,0.015660,0.019818,0.026471,0.039135,0.067836", \ "0.011002,0.013217,0.015658,0.019819,0.026471,0.039135,0.067844", \ "0.010999,0.013219,0.015660,0.019817,0.026469,0.039136,0.067837", \ "0.010995,0.013217,0.015662,0.019823,0.026472,0.039129,0.067837", \ "0.011443,0.013567,0.015937,0.020011,0.026590,0.039190,0.067866", \ "0.012927,0.015104,0.017468,0.021370,0.027481,0.039589,0.067961", \ "0.014546,0.016761,0.019147,0.022930,0.028595,0.040205,0.068244"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.067202,0.072844,0.078483,0.087671,0.101999,0.124185,0.162486", \ "0.068851,0.074493,0.080131,0.089319,0.103649,0.125832,0.164132", \ "0.074554,0.080201,0.085833,0.095025,0.109355,0.131549,0.169853", \ "0.084022,0.089664,0.095290,0.104476,0.118802,0.140987,0.179296", \ "0.098417,0.104063,0.109685,0.118864,0.133150,0.155320,0.193604", \ "0.115581,0.121529,0.127441,0.137013,0.151646,0.173958,0.212231", \ "0.135157,0.141399,0.147611,0.157705,0.172674,0.195267,0.233620"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.011001,0.013218,0.015655,0.019811,0.026462,0.039120,0.067828", \ "0.011002,0.013214,0.015653,0.019812,0.026461,0.039123,0.067833", \ "0.010997,0.013211,0.015653,0.019811,0.026462,0.039120,0.067841", \ "0.010994,0.013211,0.015658,0.019817,0.026465,0.039122,0.067826", \ "0.011445,0.013566,0.015932,0.020004,0.026582,0.039181,0.067848", \ "0.012924,0.015096,0.017458,0.021362,0.027473,0.039583,0.067950", \ "0.014552,0.016757,0.019141,0.022919,0.028590,0.040203,0.068231"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149588,0.155082,0.160557,0.169538,0.184268,0.208006,0.248024", \ "0.151084,0.156582,0.162066,0.170980,0.185614,0.209255,0.249239", \ "0.157257,0.162744,0.168213,0.177147,0.191713,0.215297,0.255243", \ "0.170202,0.175694,0.181157,0.190084,0.204659,0.228214,0.268130", \ "0.189696,0.195180,0.200645,0.209568,0.224053,0.247579,0.287467", \ "0.212004,0.217494,0.222957,0.231817,0.246214,0.269757,0.309651", \ "0.235545,0.241032,0.246498,0.255371,0.269864,0.293130,0.333256"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010391,0.012585,0.015013,0.019529,0.027685,0.041664,0.070105", \ "0.010386,0.012573,0.014975,0.019424,0.027508,0.041542,0.070077", \ "0.010382,0.012570,0.014962,0.019370,0.027395,0.041464,0.070044", \ "0.010384,0.012567,0.014959,0.019355,0.027352,0.041427,0.070024", \ "0.010385,0.012570,0.014957,0.019344,0.027330,0.041411,0.070023", \ "0.010385,0.012567,0.014959,0.019340,0.027319,0.041400,0.070015", \ "0.010385,0.012567,0.014961,0.019338,0.027309,0.041391,0.070009"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149589,0.155082,0.160557,0.169539,0.184269,0.208006,0.248032", \ "0.151085,0.156579,0.162066,0.170980,0.185614,0.209255,0.249239", \ "0.157257,0.162745,0.168213,0.177148,0.191713,0.215297,0.255243", \ "0.170203,0.175695,0.181157,0.190084,0.204659,0.228214,0.268130", \ "0.189696,0.195180,0.200645,0.209568,0.224054,0.247579,0.287468", \ "0.212005,0.217494,0.222957,0.231817,0.246214,0.269758,0.309651", \ "0.235545,0.241032,0.246498,0.255371,0.269864,0.293130,0.333256"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010391,0.012585,0.015013,0.019529,0.027685,0.041664,0.070105", \ "0.010386,0.012573,0.014975,0.019424,0.027508,0.041542,0.070077", \ "0.010382,0.012570,0.014962,0.019370,0.027395,0.041464,0.070044", \ "0.010384,0.012567,0.014959,0.019355,0.027352,0.041427,0.070024", \ "0.010385,0.012570,0.014957,0.019344,0.027330,0.041411,0.070023", \ "0.010385,0.012567,0.014959,0.019340,0.027319,0.041400,0.070015", \ "0.010385,0.012567,0.014961,0.019338,0.027309,0.041391,0.070009"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149585,0.155078,0.160553,0.169535,0.184265,0.208002,0.248026", \ "0.151082,0.156580,0.162062,0.170975,0.185610,0.209252,0.249236", \ "0.157254,0.162741,0.168209,0.177144,0.191709,0.215294,0.255240", \ "0.170199,0.175691,0.181153,0.190080,0.204655,0.228210,0.268127", \ "0.189693,0.195177,0.200642,0.209564,0.224050,0.247576,0.287465", \ "0.212001,0.217491,0.222954,0.231814,0.246211,0.269755,0.309648", \ "0.235542,0.241029,0.246495,0.255368,0.269861,0.293125,0.333253"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010391,0.012585,0.015012,0.019529,0.027685,0.041663,0.070104", \ "0.010386,0.012574,0.014975,0.019424,0.027508,0.041541,0.070076", \ "0.010382,0.012570,0.014962,0.019369,0.027395,0.041464,0.070043", \ "0.010384,0.012566,0.014958,0.019354,0.027352,0.041425,0.070024", \ "0.010381,0.012570,0.014957,0.019343,0.027330,0.041411,0.070023", \ "0.010385,0.012567,0.014958,0.019340,0.027318,0.041400,0.070015", \ "0.010385,0.012567,0.014961,0.019337,0.027309,0.041392,0.070002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248017", \ "0.151074,0.156570,0.162028,0.170965,0.185598,0.209239,0.249225", \ "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ "0.170190,0.175678,0.181142,0.190075,0.204644,0.228198,0.268116", \ "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070104", \ "0.010382,0.012572,0.014974,0.019422,0.027506,0.041540,0.070075", \ "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248010", \ "0.151073,0.156572,0.162028,0.170965,0.185598,0.209239,0.249225", \ "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ "0.170190,0.175678,0.181142,0.190074,0.204644,0.228198,0.268116", \ "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070103", \ "0.010383,0.012570,0.014974,0.019422,0.027506,0.041540,0.070075", \ "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248017", \ "0.151073,0.156571,0.162028,0.170965,0.185598,0.209239,0.249225", \ "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ "0.170190,0.175678,0.181142,0.190074,0.204644,0.228198,0.268116", \ "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070104", \ "0.010383,0.012570,0.014974,0.019422,0.027506,0.041540,0.070075", \ "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149585,0.155078,0.160553,0.169535,0.184265,0.208002,0.248026", \ "0.151082,0.156580,0.162062,0.170975,0.185610,0.209252,0.249236", \ "0.157254,0.162741,0.168209,0.177144,0.191709,0.215294,0.255240", \ "0.170199,0.175691,0.181153,0.190081,0.204655,0.228210,0.268128", \ "0.189693,0.195177,0.200642,0.209565,0.224050,0.247576,0.287465", \ "0.212001,0.217491,0.222954,0.231814,0.246211,0.269755,0.309648", \ "0.235542,0.241029,0.246495,0.255368,0.269861,0.293126,0.333253"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010391,0.012585,0.015012,0.019529,0.027685,0.041663,0.070104", \ "0.010386,0.012574,0.014975,0.019424,0.027508,0.041541,0.070076", \ "0.010382,0.012570,0.014962,0.019369,0.027395,0.041464,0.070043", \ "0.010384,0.012566,0.014958,0.019354,0.027352,0.041425,0.070024", \ "0.010382,0.012570,0.014957,0.019343,0.027330,0.041411,0.070023", \ "0.010385,0.012567,0.014958,0.019340,0.027318,0.041400,0.070015", \ "0.010385,0.012567,0.014961,0.019337,0.027309,0.041392,0.070002"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.149574,0.155067,0.160542,0.169523,0.184253,0.207990,0.248018", \ "0.151074,0.156570,0.162028,0.170965,0.185598,0.209239,0.249225", \ "0.157243,0.162730,0.168198,0.177132,0.191697,0.215282,0.255229", \ "0.170190,0.175678,0.181142,0.190074,0.204644,0.228198,0.268116", \ "0.189683,0.195167,0.200631,0.209554,0.224039,0.247564,0.287454", \ "0.211991,0.217481,0.222944,0.231804,0.246200,0.269744,0.309638", \ "0.235533,0.241019,0.246488,0.255358,0.269849,0.293116,0.333243"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.010389,0.012583,0.015011,0.019527,0.027683,0.041662,0.070104", \ "0.010382,0.012572,0.014974,0.019422,0.027506,0.041540,0.070075", \ "0.010381,0.012569,0.014960,0.019368,0.027393,0.041462,0.070042", \ "0.010381,0.012565,0.014958,0.019352,0.027350,0.041429,0.070023", \ "0.010385,0.012568,0.014955,0.019342,0.027328,0.041409,0.070022", \ "0.010384,0.012565,0.014956,0.019338,0.027317,0.041399,0.070014", \ "0.010384,0.012566,0.014958,0.019336,0.027305,0.041390,0.070001"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.132138,5.118499,5.088042,5.110456,5.154354,5.177123,5.172860", \ "5.121677,5.109103,5.086647,5.111547,5.145302,5.153857,5.177521", \ "5.097940,5.096193,5.083649,5.095907,5.125414,5.140738,5.121046", \ "5.151406,5.156216,5.131192,5.152197,5.167667,5.184483,5.185428", \ "5.328418,5.318348,5.292369,5.313903,5.346188,5.356776,5.319385", \ "5.649974,5.631096,5.598325,5.604220,5.639755,5.647986,5.579901", \ "6.121444,6.110000,6.071932,6.091704,6.114705,6.143611,6.117971"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.738367,4.835744,4.908990,4.991733,5.160252,5.198478,5.159774", \ "4.739723,4.824316,4.896761,4.981034,5.149162,5.168926,5.216030", \ "4.727980,4.811346,4.899103,4.964218,5.130381,5.186179,5.187362", \ "4.792574,4.870434,4.946878,5.034166,5.191908,5.221298,5.272241", \ "4.954835,5.040754,5.118079,5.196871,5.345759,5.385934,5.428522", \ "5.264062,5.349575,5.420443,5.504377,5.669077,5.712905,5.715877", \ "5.704641,5.802198,5.884643,5.960943,6.118798,6.160555,6.176931"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.326021,4.328309,4.279688,4.295877,4.305677,4.215228,4.314395", \ "4.310150,4.300012,4.289843,4.266845,4.293080,4.273232,4.165249", \ "4.298580,4.287973,4.277964,4.250636,4.241123,4.291713,4.287201", \ "4.296622,4.286080,4.275299,4.259775,4.280849,4.261369,4.215511", \ "4.391601,4.346875,4.330684,4.320081,4.299677,4.289880,4.319159", \ "4.598380,4.582177,4.520320,4.461519,4.461590,4.423432,4.413533", \ "4.865621,4.807152,4.731595,4.685424,4.661389,4.535834,4.546020"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.331682,4.328021,4.279395,4.295651,4.305258,4.214796,4.260192", \ "4.309768,4.299727,4.289552,4.266519,4.292636,4.272758,4.164676", \ "4.298315,4.287692,4.277670,4.250303,4.240716,4.291247,4.286633", \ "4.296326,4.285812,4.275012,4.259274,4.280462,4.260913,4.214947", \ "4.391364,4.346621,4.330413,4.319745,4.299307,4.289397,4.318555", \ "4.598168,4.581955,4.520062,4.461259,4.461236,4.422985,4.413025", \ "4.865466,4.806954,4.731413,4.685236,4.661119,4.535489,4.545545"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.324004,4.328051,4.279431,4.295468,4.305354,4.214925,4.260341", \ "4.309940,4.299757,4.289441,4.266558,4.292749,4.272856,4.164848", \ "4.298342,4.287725,4.277708,4.250366,4.240808,4.291348,4.286798", \ "4.296492,4.285849,4.275049,4.259458,4.280555,4.261002,4.215104", \ "4.391402,4.346659,4.330478,4.319829,4.299404,4.289468,4.318626", \ "4.598207,4.549863,4.520121,4.461682,4.461340,4.423110,4.413191", \ "4.865481,4.806978,4.749472,4.685262,4.661203,4.535594,4.545707"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.156856,5.158773,5.114316,5.118792,5.147197,5.056166,5.099829", \ "5.130954,5.129470,5.124136,5.114484,5.134098,5.114656,5.005527", \ "5.120541,5.117183,5.111917,5.111936,5.083035,5.133674,5.127913", \ "5.131184,5.145962,5.125021,5.112731,5.140284,5.120899,5.073963", \ "5.260115,5.228602,5.216891,5.214406,5.199159,5.173969,5.223150", \ "5.526685,5.479642,5.464571,5.420151,5.428470,5.391151,5.380747", \ "5.853951,5.813679,5.756227,5.731358,5.725963,5.605908,5.611744"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.157080,5.159085,5.114627,5.119296,5.147777,5.056745,5.100541", \ "5.131230,5.129815,5.124459,5.115026,5.134567,5.115204,5.006129", \ "5.120506,5.127862,5.112234,5.104774,5.083473,5.134215,5.128542", \ "5.131441,5.129899,5.125348,5.113106,5.140695,5.121455,5.074632", \ "5.260426,5.228623,5.217208,5.214751,5.199552,5.174440,5.223799", \ "5.526884,5.479926,5.464849,5.420440,5.428855,5.391694,5.381328", \ "5.854097,5.834798,5.756434,5.731588,5.726284,5.606281,5.612308"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.157117,5.159097,5.114596,5.119300,5.147790,5.056730,5.100544", \ "5.131273,5.129789,5.124351,5.115019,5.134565,5.115216,5.146473", \ "5.120829,5.117506,5.112211,5.105287,5.083473,5.134220,5.128545", \ "5.131463,5.148196,5.125322,5.113107,5.140696,5.121452,5.074630", \ "5.260435,5.249637,5.217143,5.214752,5.199553,5.174449,5.223795", \ "5.526780,5.479870,5.464931,5.420412,5.428856,5.391670,5.381313", \ "5.854107,5.813872,5.756449,5.731573,5.726286,5.606272,5.612296"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.338584,4.327783,4.279146,4.295275,4.305038,4.283977,4.259573", \ "4.309650,4.299490,4.289296,4.266240,4.292310,4.272342,4.164157", \ "4.298085,4.287451,4.277425,4.250036,4.240394,4.290837,4.286111", \ "4.296184,4.285581,4.274760,4.259059,4.280148,4.260487,4.214403", \ "4.391155,4.346396,4.330167,4.319487,4.299000,4.288984,4.318309", \ "4.597975,4.549614,4.519828,4.461012,4.460971,4.422621,4.412534", \ "4.865282,4.806765,4.731141,4.684990,4.660876,4.535151,4.545105"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.157237,5.159133,5.114693,5.119330,5.147830,5.056792,5.100586", \ "5.131329,5.129864,5.124517,5.115080,5.134606,5.115263,5.006174", \ "5.120884,5.128105,5.112295,5.105246,5.083527,5.134273,5.128657", \ "5.131508,5.129959,5.125398,5.113164,5.140756,5.121494,5.074712", \ "5.260484,5.228679,5.217230,5.214801,5.199610,5.174517,5.212423", \ "5.526749,5.479981,5.464892,5.420496,5.428890,5.391700,5.381391", \ "5.854174,5.834839,5.756479,5.732676,5.726323,5.626720,5.612340"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.634197,5.618835,5.599028,5.633972,5.643775,5.720220,5.661306", \ "5.611227,5.614114,5.586780,5.622690,5.623207,5.636149,5.709841", \ "5.580726,5.601590,5.582966,5.589447,5.627144,5.639383,5.647233", \ "5.615852,5.606260,5.584960,5.610784,5.635887,5.713565,5.641278", \ "5.637425,5.648123,5.619969,5.649500,5.686521,5.682483,5.735390", \ "5.724402,5.708249,5.682615,5.710536,5.713687,5.789342,5.819311", \ "5.785102,5.802516,5.781037,5.789856,5.828002,5.843254,5.914697"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633783,5.618138,5.598605,5.633522,5.643660,5.719670,5.692922", \ "5.610819,5.613719,5.585970,5.622256,5.622727,5.635613,5.709243", \ "5.580306,5.601187,5.582546,5.589011,5.626674,5.638854,5.646643", \ "5.615459,5.606959,5.584510,5.599043,5.635434,5.712850,5.640689", \ "5.637050,5.647736,5.619586,5.649091,5.686076,5.681972,5.734823", \ "5.724071,5.707909,5.682264,5.710160,5.713278,5.788837,5.818779", \ "5.784818,5.802237,5.780774,5.789543,5.827911,5.842593,5.914209"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633631,5.617920,5.598311,5.633147,5.643007,5.719028,5.657300", \ "5.610466,5.613263,5.587741,5.621944,5.622260,5.635018,5.708522", \ "5.580335,5.600876,5.582254,5.588647,5.626215,5.638259,5.645941", \ "5.615252,5.605680,5.583257,5.598686,5.634999,5.660759,5.639997", \ "5.636797,5.647549,5.619138,5.648738,5.685675,5.681589,5.734164", \ "5.723902,5.707657,5.682028,5.712669,5.712907,5.788732,5.818167", \ "5.784831,5.801951,5.780523,5.789285,5.827297,5.772560,5.913608"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.632632,5.606974,5.596920,5.631267,5.639824,5.714687,5.689158", \ "5.609469,5.612088,5.571753,5.619984,5.619512,5.630792,5.701953", \ "5.579800,5.599452,5.580849,5.586858,5.623477,5.681369,5.639431", \ "5.614305,5.586905,5.574069,5.596538,5.632331,5.707617,5.633472", \ "5.636045,5.645433,5.617202,5.646993,5.683011,5.677744,5.727685", \ "5.723024,5.706302,5.680725,5.716957,5.710325,5.784747,5.811787", \ "5.784474,5.800567,5.779270,5.787634,5.824670,5.768604,5.907307"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633107,5.607413,5.597399,5.631801,5.640628,5.715394,5.653638", \ "5.609946,5.612603,5.572231,5.620510,5.620100,5.631438,5.702660", \ "5.580245,5.599936,5.581327,5.587358,5.624051,5.681962,5.640115", \ "5.614745,5.587353,5.574548,5.597031,5.632862,5.709088,5.634211", \ "5.636449,5.645869,5.617663,5.647479,5.683535,5.678331,5.728392", \ "5.723405,5.706698,5.681145,5.717394,5.710818,5.785377,5.812436", \ "5.784776,5.800894,5.779619,5.787992,5.825101,5.769083,5.907951"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633116,5.607417,5.597408,5.631805,5.640258,5.715415,5.701926", \ "5.609957,5.612571,5.572236,5.620514,5.620101,5.631440,5.702663", \ "5.580258,5.599944,5.581334,5.587364,5.624052,5.681972,5.640120", \ "5.614756,5.587363,5.574555,5.597036,5.632866,5.709303,5.634209", \ "5.636459,5.645880,5.617669,5.647482,5.683538,5.678335,5.728389", \ "5.723414,5.706706,5.681150,5.717418,5.710820,5.785377,5.812437", \ "5.784788,5.800902,5.779624,5.787997,5.825103,5.769087,5.907946"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633214,5.617549,5.597876,5.632675,5.642574,5.734729,5.656497", \ "5.610048,5.612863,5.587407,5.621476,5.621734,5.634401,5.707777", \ "5.579913,5.600442,5.581817,5.588184,5.625692,5.704038,5.645202", \ "5.614839,5.607006,5.582624,5.598231,5.634486,5.660220,5.639246", \ "5.636388,5.647125,5.618704,5.648275,5.685162,5.680992,5.733422", \ "5.723513,5.707257,5.681612,5.712190,5.712413,5.788131,5.817454", \ "5.784485,5.801595,5.780152,5.788892,5.826845,5.772027,5.912928"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633190,5.607511,5.597491,5.631875,5.640299,5.715426,5.627013", \ "5.610024,5.612662,5.572322,5.620587,5.620170,5.631523,5.702776", \ "5.580342,5.600013,5.581414,5.587446,5.624125,5.682068,5.640242", \ "5.614837,5.587450,5.574629,5.597116,5.632953,5.708916,5.634288", \ "5.636539,5.645949,5.617737,5.647552,5.683613,5.678421,5.728472", \ "5.723487,5.706775,5.681214,5.717507,5.710885,5.785407,5.812527", \ "5.784859,5.800964,5.779681,5.788064,5.825157,5.769168,5.907997"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.424800; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.090995,0.094377,0.097844,0.103838,0.114261,0.133224,0.169905", \ "0.092469,0.095856,0.099324,0.105315,0.115739,0.134699,0.171377", \ "0.097659,0.101044,0.104512,0.110505,0.120931,0.139893,0.176578", \ "0.103686,0.107066,0.110533,0.116527,0.126953,0.145914,0.182592", \ "0.108403,0.111787,0.115251,0.121240,0.131660,0.150618,0.187310", \ "0.111521,0.114905,0.118369,0.124358,0.134778,0.153732,0.190424", \ "0.112647,0.116024,0.119492,0.125491,0.135898,0.154843,0.191538"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.094607,0.098455,0.102405,0.110354,0.127444,0.163083,0.235228", \ "0.096080,0.099929,0.103880,0.111829,0.128924,0.164551,0.236704", \ "0.101076,0.104921,0.108868,0.116818,0.133905,0.169535,0.241688", \ "0.106853,0.110696,0.114643,0.122588,0.139679,0.175311,0.247466", \ "0.111341,0.115175,0.119125,0.127068,0.144150,0.179777,0.251916", \ "0.114485,0.118320,0.122263,0.130217,0.147255,0.182882,0.255041", \ "0.116187,0.120000,0.123931,0.131861,0.148906,0.184494,0.256656"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.006140,0.007860,0.009690,0.013150,0.020120,0.034844,0.066035", \ "0.006140,0.007858,0.009690,0.013150,0.020115,0.034845,0.066028", \ "0.006142,0.007857,0.009688,0.013147,0.020118,0.034846,0.066032", \ "0.006142,0.007859,0.009691,0.013150,0.020114,0.034846,0.066029", \ "0.006139,0.007860,0.009691,0.013149,0.020115,0.034847,0.066034", \ "0.006140,0.007858,0.009688,0.013149,0.020119,0.034831,0.066029", \ "0.006144,0.007862,0.009692,0.013152,0.020124,0.034842,0.066057"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007305,0.010141,0.013554,0.021141,0.037898,0.072275,0.141182", \ "0.007302,0.010143,0.013551,0.021139,0.037896,0.072274,0.141186", \ "0.007306,0.010140,0.013557,0.021139,0.037896,0.072275,0.141186", \ "0.007306,0.010140,0.013557,0.021142,0.037895,0.072273,0.141185", \ "0.007307,0.010141,0.013560,0.021141,0.037898,0.072274,0.141182", \ "0.007312,0.010150,0.013560,0.021141,0.037895,0.072275,0.141187", \ "0.007329,0.010165,0.013572,0.021144,0.037897,0.072275,0.141185"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099148,0.102902,0.106770,0.114671,0.131774,0.167455,0.239692", \ "0.100794,0.104551,0.108419,0.116320,0.133423,0.169103,0.241337", \ "0.106496,0.110256,0.114124,0.122023,0.139130,0.174818,0.247062", \ "0.115941,0.119700,0.123568,0.131470,0.148580,0.184259,0.256499", \ "0.130417,0.134158,0.138023,0.145920,0.162986,0.198631,0.270842", \ "0.149129,0.152802,0.156581,0.164333,0.181205,0.216743,0.288872", \ "0.170487,0.174072,0.177760,0.185343,0.201977,0.237387,0.309342"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007245,0.010007,0.013409,0.021069,0.037896,0.072274,0.141177", \ "0.007243,0.009999,0.013409,0.021067,0.037899,0.072273,0.141181", \ "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141180", \ "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ "0.007267,0.010025,0.013422,0.021072,0.037898,0.072273,0.141180", \ "0.007504,0.010250,0.013578,0.021133,0.037912,0.072275,0.141183", \ "0.007783,0.010549,0.013797,0.021218,0.037927,0.072282,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099149,0.102902,0.106770,0.114671,0.131775,0.167452,0.239692", \ "0.100794,0.104551,0.108418,0.116320,0.133424,0.169103,0.241337", \ "0.106496,0.110256,0.114124,0.122024,0.139131,0.174818,0.247062", \ "0.115942,0.119700,0.123568,0.131471,0.148581,0.184259,0.256499", \ "0.130417,0.134159,0.138023,0.145921,0.162987,0.198631,0.270841", \ "0.149129,0.152802,0.156582,0.164333,0.181207,0.216744,0.288872", \ "0.170487,0.174072,0.177760,0.185343,0.201977,0.237387,0.309343"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007246,0.010007,0.013409,0.021069,0.037895,0.072274,0.141177", \ "0.007243,0.009999,0.013408,0.021067,0.037899,0.072273,0.141181", \ "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141179", \ "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ "0.007267,0.010025,0.013422,0.021072,0.037899,0.072273,0.141180", \ "0.007504,0.010250,0.013578,0.021133,0.037912,0.072275,0.141183", \ "0.007783,0.010549,0.013797,0.021218,0.037927,0.072282,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099148,0.102902,0.106770,0.114670,0.131774,0.167452,0.239691", \ "0.100794,0.104551,0.108418,0.116319,0.133423,0.169102,0.241337", \ "0.106495,0.110256,0.114124,0.122023,0.139130,0.174818,0.247062", \ "0.115941,0.119700,0.123568,0.131471,0.148580,0.184259,0.256499", \ "0.130417,0.134158,0.138022,0.145920,0.162986,0.198631,0.270841", \ "0.149129,0.152767,0.156581,0.164311,0.181205,0.216743,0.288872", \ "0.170487,0.174072,0.177761,0.185343,0.201976,0.237387,0.309342"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007246,0.010007,0.013409,0.021069,0.037895,0.072274,0.141177", \ "0.007243,0.009999,0.013412,0.021067,0.037898,0.072273,0.141181", \ "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141180", \ "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ "0.007267,0.010025,0.013422,0.021072,0.037898,0.072273,0.141180", \ "0.007504,0.010251,0.013578,0.021133,0.037912,0.072275,0.141183", \ "0.007783,0.010549,0.013799,0.021218,0.037927,0.072282,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099127,0.102884,0.106750,0.114652,0.131757,0.167439,0.239679", \ "0.100771,0.104531,0.108398,0.116301,0.133405,0.169091,0.241325", \ "0.106474,0.110232,0.114099,0.122005,0.139111,0.174803,0.247045", \ "0.115930,0.119682,0.123550,0.131456,0.148562,0.184244,0.256492", \ "0.130383,0.134126,0.137990,0.145891,0.162949,0.198600,0.270816", \ "0.149075,0.152778,0.156559,0.164302,0.181187,0.216700,0.288822", \ "0.170319,0.173982,0.177685,0.185357,0.201940,0.237349,0.309363"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007247,0.009999,0.013405,0.021067,0.037898,0.072274,0.141177", \ "0.007244,0.009998,0.013405,0.021068,0.037897,0.072273,0.141182", \ "0.007249,0.009998,0.013407,0.021070,0.037897,0.072272,0.141181", \ "0.007251,0.010004,0.013410,0.021068,0.037897,0.072271,0.141182", \ "0.007268,0.010021,0.013421,0.021072,0.037897,0.072276,0.141180", \ "0.007503,0.010251,0.013576,0.021133,0.037912,0.072275,0.141183", \ "0.007787,0.010551,0.013797,0.021217,0.037927,0.072284,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099127,0.102881,0.106750,0.114651,0.131758,0.167439,0.239680", \ "0.100771,0.104529,0.108399,0.116299,0.133405,0.169090,0.241325", \ "0.106473,0.110232,0.114099,0.122004,0.139111,0.174803,0.247046", \ "0.115928,0.119680,0.123550,0.131455,0.148562,0.184244,0.256492", \ "0.130381,0.134125,0.137990,0.145891,0.162949,0.198600,0.270816", \ "0.149075,0.152777,0.156559,0.164302,0.181187,0.216699,0.288821", \ "0.170317,0.173983,0.177685,0.185357,0.201939,0.237349,0.309363"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007247,0.010002,0.013405,0.021069,0.037898,0.072274,0.141178", \ "0.007244,0.009998,0.013405,0.021068,0.037897,0.072273,0.141182", \ "0.007250,0.010002,0.013407,0.021070,0.037897,0.072272,0.141181", \ "0.007251,0.009999,0.013410,0.021068,0.037897,0.072271,0.141182", \ "0.007268,0.010021,0.013421,0.021072,0.037897,0.072276,0.141180", \ "0.007503,0.010251,0.013575,0.021133,0.037912,0.072275,0.141183", \ "0.007787,0.010551,0.013797,0.021217,0.037927,0.072284,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099127,0.102884,0.106752,0.114651,0.131758,0.167439,0.239680", \ "0.100771,0.104531,0.108399,0.116299,0.133405,0.169091,0.241324", \ "0.106474,0.110232,0.114101,0.122004,0.139111,0.174803,0.247046", \ "0.115930,0.119682,0.123551,0.131455,0.148562,0.184244,0.256492", \ "0.130381,0.134129,0.137990,0.145891,0.162949,0.198600,0.270816", \ "0.149075,0.152778,0.156562,0.164303,0.181187,0.216700,0.288822", \ "0.170317,0.173981,0.177685,0.185357,0.201939,0.237349,0.309363"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007247,0.009998,0.013404,0.021069,0.037898,0.072274,0.141179", \ "0.007244,0.009998,0.013410,0.021068,0.037897,0.072273,0.141180", \ "0.007249,0.009998,0.013407,0.021070,0.037897,0.072272,0.141181", \ "0.007251,0.010004,0.013410,0.021068,0.037897,0.072271,0.141182", \ "0.007268,0.010022,0.013421,0.021072,0.037897,0.072276,0.141180", \ "0.007503,0.010251,0.013578,0.021133,0.037912,0.072275,0.141183", \ "0.007787,0.010551,0.013796,0.021217,0.037927,0.072284,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099148,0.102902,0.106770,0.114671,0.131774,0.167452,0.239697", \ "0.100794,0.104551,0.108419,0.116320,0.133424,0.169102,0.241338", \ "0.106496,0.110256,0.114124,0.122023,0.139131,0.174818,0.247063", \ "0.115941,0.119700,0.123567,0.131471,0.148581,0.184259,0.256500", \ "0.130417,0.134158,0.138022,0.145920,0.162986,0.198631,0.270842", \ "0.149129,0.152767,0.156581,0.164333,0.181205,0.216743,0.288872", \ "0.170487,0.174072,0.177760,0.185343,0.201976,0.237387,0.309343"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007246,0.010007,0.013409,0.021069,0.037896,0.072272,0.141182", \ "0.007243,0.009999,0.013409,0.021067,0.037899,0.072273,0.141181", \ "0.007249,0.009998,0.013411,0.021067,0.037898,0.072273,0.141179", \ "0.007251,0.010000,0.013405,0.021067,0.037895,0.072271,0.141176", \ "0.007267,0.010025,0.013422,0.021072,0.037899,0.072273,0.141180", \ "0.007504,0.010251,0.013578,0.021133,0.037912,0.072275,0.141183", \ "0.007783,0.010549,0.013797,0.021218,0.037927,0.072282,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.099127,0.102881,0.106750,0.114651,0.131758,0.167439,0.239677", \ "0.100771,0.104529,0.108398,0.116299,0.133405,0.169090,0.241324", \ "0.106474,0.110232,0.114099,0.122004,0.139111,0.174803,0.247045", \ "0.115928,0.119680,0.123550,0.131455,0.148562,0.184244,0.256491", \ "0.130381,0.134125,0.137989,0.145891,0.162949,0.198600,0.270811", \ "0.149075,0.152777,0.156559,0.164302,0.181186,0.216699,0.288822", \ "0.170319,0.173983,0.177685,0.185337,0.201939,0.237349,0.309363"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007247,0.010002,0.013405,0.021069,0.037898,0.072274,0.141176", \ "0.007244,0.009998,0.013405,0.021068,0.037897,0.072273,0.141180", \ "0.007249,0.010002,0.013407,0.021070,0.037897,0.072272,0.141181", \ "0.007251,0.009999,0.013410,0.021068,0.037897,0.072271,0.141182", \ "0.007268,0.010021,0.013421,0.021072,0.037897,0.072276,0.141180", \ "0.007503,0.010251,0.013575,0.021133,0.037912,0.072275,0.141183", \ "0.007787,0.010551,0.013797,0.021218,0.037927,0.072284,0.141181"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180777,0.184625,0.188587,0.196550,0.213659,0.249340,0.321583", \ "0.182182,0.186011,0.189978,0.197906,0.215033,0.250717,0.322963", \ "0.188311,0.192123,0.196064,0.204026,0.221150,0.256845,0.329086", \ "0.201244,0.205053,0.208988,0.216946,0.234102,0.269797,0.342022", \ "0.220729,0.224531,0.228466,0.236421,0.253502,0.289184,0.361381", \ "0.243036,0.246839,0.250772,0.258664,0.275665,0.311370,0.383581", \ "0.266558,0.270360,0.274292,0.282202,0.299303,0.334744,0.407183"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007332,0.010163,0.013573,0.021150,0.037921,0.072294,0.141198", \ "0.007298,0.010125,0.013541,0.021139,0.037917,0.072295,0.141198", \ "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ "0.007272,0.010096,0.013511,0.021125,0.037916,0.072296,0.141198", \ "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007269,0.010083,0.013501,0.021119,0.037912,0.072295,0.141195", \ "0.007267,0.010084,0.013500,0.021121,0.037913,0.072293,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180777,0.184626,0.188587,0.196550,0.213660,0.249340,0.321592", \ "0.182182,0.186012,0.189978,0.197907,0.215033,0.250717,0.322963", \ "0.188311,0.192123,0.196064,0.204026,0.221150,0.256845,0.329086", \ "0.201244,0.205053,0.208989,0.216945,0.234103,0.269797,0.342022", \ "0.220729,0.224531,0.228466,0.236421,0.253502,0.289184,0.361381", \ "0.243037,0.246839,0.250772,0.258664,0.275665,0.311370,0.383581", \ "0.266558,0.270360,0.274292,0.282202,0.299303,0.334744,0.407183"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007332,0.010163,0.013573,0.021150,0.037921,0.072294,0.141199", \ "0.007298,0.010129,0.013541,0.021139,0.037917,0.072295,0.141198", \ "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ "0.007272,0.010096,0.013511,0.021125,0.037916,0.072296,0.141198", \ "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007269,0.010083,0.013501,0.021119,0.037912,0.072295,0.141195", \ "0.007267,0.010084,0.013500,0.021121,0.037913,0.072293,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180774,0.184622,0.188583,0.196546,0.213656,0.249337,0.321585", \ "0.182178,0.186010,0.189974,0.197902,0.215029,0.250713,0.322960", \ "0.188307,0.192119,0.196060,0.204022,0.221147,0.256841,0.329083", \ "0.201240,0.205049,0.208984,0.216941,0.234099,0.269795,0.342020", \ "0.220725,0.224527,0.228462,0.236417,0.253499,0.289181,0.361378", \ "0.243033,0.246835,0.250769,0.258661,0.275662,0.311367,0.383579", \ "0.266554,0.270356,0.274289,0.282199,0.299300,0.334737,0.407181"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007333,0.010163,0.013573,0.021150,0.037921,0.072294,0.141198", \ "0.007301,0.010123,0.013541,0.021136,0.037917,0.072297,0.141198", \ "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ "0.007272,0.010095,0.013510,0.021125,0.037916,0.072293,0.141198", \ "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007269,0.010083,0.013501,0.021119,0.037912,0.072296,0.141196", \ "0.007267,0.010085,0.013500,0.021120,0.037914,0.072292,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321579", \ "0.182168,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141199", \ "0.007293,0.010126,0.013540,0.021139,0.037917,0.072292,0.141198", \ "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ "0.007271,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321572", \ "0.182167,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141198", \ "0.007293,0.010125,0.013540,0.021139,0.037917,0.072292,0.141198", \ "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ "0.007272,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321580", \ "0.182167,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141199", \ "0.007293,0.010128,0.013540,0.021139,0.037917,0.072292,0.141198", \ "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ "0.007272,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180774,0.184622,0.188583,0.196546,0.213656,0.249337,0.321585", \ "0.182178,0.186011,0.189974,0.197902,0.215029,0.250713,0.322960", \ "0.188307,0.192119,0.196060,0.204022,0.221147,0.256842,0.329083", \ "0.201240,0.205049,0.208984,0.216941,0.234099,0.269795,0.342020", \ "0.220725,0.224527,0.228462,0.236417,0.253499,0.289181,0.361378", \ "0.243033,0.246835,0.250769,0.258661,0.275662,0.311367,0.383579", \ "0.266554,0.270357,0.274289,0.282199,0.299300,0.334737,0.407181"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007333,0.010163,0.013573,0.021150,0.037921,0.072294,0.141198", \ "0.007301,0.010124,0.013541,0.021136,0.037917,0.072297,0.141198", \ "0.007281,0.010106,0.013517,0.021128,0.037917,0.072298,0.141197", \ "0.007272,0.010096,0.013510,0.021125,0.037916,0.072293,0.141198", \ "0.007269,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007269,0.010083,0.013501,0.021119,0.037912,0.072296,0.141196", \ "0.007267,0.010085,0.013500,0.021120,0.037914,0.072292,0.141198"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.180761,0.184609,0.188570,0.196534,0.213644,0.249326,0.321581", \ "0.182168,0.186000,0.189939,0.197891,0.215017,0.250705,0.322951", \ "0.188295,0.192106,0.196048,0.204010,0.221136,0.256831,0.329074", \ "0.201228,0.205034,0.208973,0.216934,0.234088,0.269781,0.342011", \ "0.220714,0.224516,0.228451,0.236406,0.253488,0.289171,0.361370", \ "0.243022,0.246824,0.250758,0.258650,0.275652,0.311358,0.383571", \ "0.266544,0.270346,0.274281,0.282189,0.299292,0.334728,0.407173"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.007334,0.010161,0.013572,0.021150,0.037921,0.072294,0.141200", \ "0.007293,0.010126,0.013540,0.021139,0.037917,0.072292,0.141198", \ "0.007280,0.010106,0.013517,0.021128,0.037916,0.072297,0.141197", \ "0.007270,0.010088,0.013510,0.021125,0.037916,0.072297,0.141198", \ "0.007272,0.010093,0.013507,0.021122,0.037914,0.072293,0.141194", \ "0.007268,0.010083,0.013500,0.021118,0.037912,0.072296,0.141196", \ "0.007267,0.010084,0.013500,0.021120,0.037914,0.072292,0.141198"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.738367,4.835744,4.908990,4.991733,5.160252,5.198478,5.159774", \ "4.739723,4.824316,4.896761,4.981034,5.149162,5.168926,5.216030", \ "4.727980,4.811346,4.899103,4.964218,5.130381,5.186179,5.187362", \ "4.792574,4.870434,4.946878,5.034166,5.191908,5.221298,5.272241", \ "4.954835,5.040754,5.118079,5.196871,5.345759,5.385934,5.428522", \ "5.264062,5.349575,5.420443,5.504377,5.669077,5.712905,5.715877", \ "5.704641,5.802198,5.884643,5.960943,6.118798,6.160555,6.176931"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.132138,5.118499,5.088042,5.110456,5.154354,5.177123,5.172860", \ "5.121677,5.109103,5.086647,5.111547,5.145302,5.153857,5.177521", \ "5.097940,5.096193,5.083649,5.095907,5.125414,5.140738,5.121046", \ "5.151406,5.156216,5.131192,5.152197,5.167667,5.184483,5.185428", \ "5.328418,5.318348,5.292369,5.313903,5.346188,5.356776,5.319385", \ "5.649974,5.631096,5.598325,5.604220,5.639755,5.647986,5.579901", \ "6.121444,6.110000,6.071932,6.091704,6.114705,6.143611,6.117971"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.326021,4.328309,4.279688,4.295877,4.305677,4.215228,4.314395", \ "4.310150,4.300012,4.289843,4.266845,4.293080,4.273232,4.165249", \ "4.298580,4.287973,4.277964,4.250636,4.241123,4.291713,4.287201", \ "4.296622,4.286080,4.275299,4.259775,4.280849,4.261369,4.215511", \ "4.391601,4.346875,4.330684,4.320081,4.299677,4.289880,4.319159", \ "4.598380,4.582177,4.520320,4.461519,4.461590,4.423432,4.413533", \ "4.865621,4.807152,4.731595,4.685424,4.661389,4.535834,4.546020"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.331682,4.328021,4.279395,4.295651,4.305258,4.214796,4.260192", \ "4.309768,4.299727,4.289552,4.266519,4.292636,4.272758,4.164676", \ "4.298315,4.287692,4.277670,4.250303,4.240716,4.291247,4.286633", \ "4.296326,4.285812,4.275012,4.259274,4.280462,4.260913,4.214947", \ "4.391364,4.346621,4.330413,4.319745,4.299307,4.289397,4.318555", \ "4.598168,4.581955,4.520062,4.461259,4.461236,4.422985,4.413025", \ "4.865466,4.806954,4.731413,4.685236,4.661119,4.535489,4.545545"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.324004,4.328051,4.279431,4.295468,4.305354,4.214925,4.260341", \ "4.309940,4.299757,4.289441,4.266558,4.292749,4.272856,4.164848", \ "4.298342,4.287725,4.277708,4.250366,4.240808,4.291348,4.286798", \ "4.296492,4.285849,4.275049,4.259458,4.280555,4.261002,4.215104", \ "4.391402,4.346659,4.330478,4.319829,4.299404,4.289468,4.318626", \ "4.598207,4.549863,4.520121,4.461682,4.461340,4.423110,4.413191", \ "4.865481,4.806978,4.749472,4.685262,4.661203,4.535594,4.545707"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.156856,5.158773,5.114316,5.118792,5.147197,5.056166,5.099829", \ "5.130954,5.129470,5.124136,5.114484,5.134098,5.114656,5.005527", \ "5.120541,5.117183,5.111917,5.111936,5.083035,5.133674,5.127913", \ "5.131184,5.145962,5.125021,5.112731,5.140284,5.120899,5.073963", \ "5.260115,5.228602,5.216891,5.214406,5.199159,5.173969,5.223150", \ "5.526685,5.479642,5.464571,5.420151,5.428470,5.391151,5.380747", \ "5.853951,5.813679,5.756227,5.731358,5.725963,5.605908,5.611744"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.157080,5.159085,5.114627,5.119296,5.147777,5.056745,5.100541", \ "5.131230,5.129815,5.124459,5.115026,5.134567,5.115204,5.006129", \ "5.120506,5.127862,5.112234,5.104774,5.083473,5.134215,5.128542", \ "5.131441,5.129899,5.125348,5.113106,5.140695,5.121455,5.074632", \ "5.260426,5.228623,5.217208,5.214751,5.199552,5.174440,5.223799", \ "5.526884,5.479926,5.464849,5.420440,5.428855,5.391694,5.381328", \ "5.854097,5.834798,5.756434,5.731588,5.726284,5.606281,5.612308"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.157117,5.159097,5.114596,5.119300,5.147790,5.056730,5.100544", \ "5.131273,5.129789,5.124351,5.115019,5.134565,5.115216,5.146473", \ "5.120829,5.117506,5.112211,5.105287,5.083473,5.134220,5.128545", \ "5.131463,5.148196,5.125322,5.113107,5.140696,5.121452,5.074630", \ "5.260435,5.249637,5.217143,5.214752,5.199553,5.174449,5.223795", \ "5.526780,5.479870,5.464931,5.420412,5.428856,5.391670,5.381313", \ "5.854107,5.813872,5.756449,5.731573,5.726286,5.606272,5.612296"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.338584,4.327783,4.279146,4.295275,4.305038,4.283977,4.259573", \ "4.309650,4.299490,4.289296,4.266240,4.292310,4.272342,4.164157", \ "4.298085,4.287451,4.277425,4.250036,4.240394,4.290837,4.286111", \ "4.296184,4.285581,4.274760,4.259059,4.280148,4.260487,4.214403", \ "4.391155,4.346396,4.330167,4.319487,4.299000,4.288984,4.318309", \ "4.597975,4.549614,4.519828,4.461012,4.460971,4.422621,4.412534", \ "4.865282,4.806765,4.731141,4.684990,4.660876,4.535151,4.545105"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.157237,5.159133,5.114693,5.119330,5.147830,5.056792,5.100586", \ "5.131329,5.129864,5.124517,5.115080,5.134606,5.115263,5.006174", \ "5.120884,5.128105,5.112295,5.105246,5.083527,5.134273,5.128657", \ "5.131508,5.129959,5.125398,5.113164,5.140756,5.121494,5.074712", \ "5.260484,5.228679,5.217230,5.214801,5.199610,5.174517,5.212423", \ "5.526749,5.479981,5.464892,5.420496,5.428890,5.391700,5.381391", \ "5.854174,5.834839,5.756479,5.732676,5.726323,5.626720,5.612340"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.634197,5.618835,5.599028,5.633972,5.643775,5.720220,5.661306", \ "5.611227,5.614114,5.586780,5.622690,5.623207,5.636149,5.709841", \ "5.580726,5.601590,5.582966,5.589447,5.627144,5.639383,5.647233", \ "5.615852,5.606260,5.584960,5.610784,5.635887,5.713565,5.641278", \ "5.637425,5.648123,5.619969,5.649500,5.686521,5.682483,5.735390", \ "5.724402,5.708249,5.682615,5.710536,5.713687,5.789342,5.819311", \ "5.785102,5.802516,5.781037,5.789856,5.828002,5.843254,5.914697"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633783,5.618138,5.598605,5.633522,5.643660,5.719670,5.692922", \ "5.610819,5.613719,5.585970,5.622256,5.622727,5.635613,5.709243", \ "5.580306,5.601187,5.582546,5.589011,5.626674,5.638854,5.646643", \ "5.615459,5.606959,5.584510,5.599043,5.635434,5.712850,5.640689", \ "5.637050,5.647736,5.619586,5.649091,5.686076,5.681972,5.734823", \ "5.724071,5.707909,5.682264,5.710160,5.713278,5.788837,5.818779", \ "5.784818,5.802237,5.780774,5.789543,5.827911,5.842593,5.914209"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633631,5.617920,5.598311,5.633147,5.643007,5.719028,5.657300", \ "5.610466,5.613263,5.587741,5.621944,5.622260,5.635018,5.708522", \ "5.580335,5.600876,5.582254,5.588647,5.626215,5.638259,5.645941", \ "5.615252,5.605680,5.583257,5.598686,5.634999,5.660759,5.639997", \ "5.636797,5.647549,5.619138,5.648738,5.685675,5.681589,5.734164", \ "5.723902,5.707657,5.682028,5.712669,5.712907,5.788732,5.818167", \ "5.784831,5.801951,5.780523,5.789285,5.827297,5.772560,5.913608"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.632632,5.606974,5.596920,5.631267,5.639824,5.714687,5.689158", \ "5.609469,5.612088,5.571753,5.619984,5.619512,5.630792,5.701953", \ "5.579800,5.599452,5.580849,5.586858,5.623477,5.681369,5.639431", \ "5.614305,5.586905,5.574069,5.596538,5.632331,5.707617,5.633472", \ "5.636045,5.645433,5.617202,5.646993,5.683011,5.677744,5.727685", \ "5.723024,5.706302,5.680725,5.716957,5.710325,5.784747,5.811787", \ "5.784474,5.800567,5.779270,5.787634,5.824670,5.768604,5.907307"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633107,5.607413,5.597399,5.631801,5.640628,5.715394,5.653638", \ "5.609946,5.612603,5.572231,5.620510,5.620100,5.631438,5.702660", \ "5.580245,5.599936,5.581327,5.587358,5.624051,5.681962,5.640115", \ "5.614745,5.587353,5.574548,5.597031,5.632862,5.709088,5.634211", \ "5.636449,5.645869,5.617663,5.647479,5.683535,5.678331,5.728392", \ "5.723405,5.706698,5.681145,5.717394,5.710818,5.785377,5.812436", \ "5.784776,5.800894,5.779619,5.787992,5.825101,5.769083,5.907951"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633116,5.607417,5.597408,5.631805,5.640258,5.715415,5.701926", \ "5.609957,5.612571,5.572236,5.620514,5.620101,5.631440,5.702663", \ "5.580258,5.599944,5.581334,5.587364,5.624052,5.681972,5.640120", \ "5.614756,5.587363,5.574555,5.597036,5.632866,5.709303,5.634209", \ "5.636459,5.645880,5.617669,5.647482,5.683538,5.678335,5.728389", \ "5.723414,5.706706,5.681150,5.717418,5.710820,5.785377,5.812437", \ "5.784788,5.800902,5.779624,5.787997,5.825103,5.769087,5.907946"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633214,5.617549,5.597876,5.632675,5.642574,5.734729,5.656497", \ "5.610048,5.612863,5.587407,5.621476,5.621734,5.634401,5.707777", \ "5.579913,5.600442,5.581817,5.588184,5.625692,5.704038,5.645202", \ "5.614839,5.607006,5.582624,5.598231,5.634486,5.660220,5.639246", \ "5.636388,5.647125,5.618704,5.648275,5.685162,5.680992,5.733422", \ "5.723513,5.707257,5.681612,5.712190,5.712413,5.788131,5.817454", \ "5.784485,5.801595,5.780152,5.788892,5.826845,5.772027,5.912928"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("5.633190,5.607511,5.597491,5.631875,5.640299,5.715426,5.627013", \ "5.610024,5.612662,5.572322,5.620587,5.620170,5.631523,5.702776", \ "5.580342,5.600013,5.581414,5.587446,5.624125,5.682068,5.640242", \ "5.614837,5.587450,5.574629,5.597116,5.632953,5.708916,5.634288", \ "5.636539,5.645949,5.617737,5.647552,5.683613,5.678421,5.728472", \ "5.723487,5.706775,5.681214,5.717507,5.710885,5.785407,5.812527", \ "5.784859,5.800964,5.779681,5.788064,5.825157,5.769168,5.907997"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFR_X2 Cell Description : Pos.edge D-Flip-Flop with active low reset, and active high scan, and drive strength X2 *******************************************************************************************/ cell (SDFFR_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; clear : "!RN"; } area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 141.961514; leakage_power () { when : "!CK & !D & !RN & !SE & !SI & !Q & QN"; value : 120.871300; } leakage_power () { when : "!CK & !D & !RN & !SE & SI & !Q & QN"; value : 131.396078; } leakage_power () { when : "!CK & !D & !RN & SE & !SI & !Q & QN"; value : 124.939287; } leakage_power () { when : "!CK & !D & !RN & SE & SI & !Q & QN"; value : 137.953101; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & !Q & QN"; value : 133.728694; } leakage_power () { when : "!CK & !D & RN & !SE & !SI & Q & !QN"; value : 139.500625; } leakage_power () { when : "!CK & !D & RN & !SE & SI & !Q & QN"; value : 144.253472; } leakage_power () { when : "!CK & !D & RN & !SE & SI & Q & !QN"; value : 150.026503; } leakage_power () { when : "!CK & !D & RN & SE & !SI & !Q & QN"; value : 137.796681; } leakage_power () { when : "!CK & !D & RN & SE & !SI & Q & !QN"; value : 143.569712; } leakage_power () { when : "!CK & !D & RN & SE & SI & !Q & QN"; value : 148.391958; } leakage_power () { when : "!CK & !D & RN & SE & SI & Q & !QN"; value : 149.025800; } leakage_power () { when : "!CK & D & !RN & !SE & !SI & !Q & QN"; value : 138.080811; } leakage_power () { when : "!CK & D & !RN & !SE & SI & !Q & QN"; value : 143.468644; } leakage_power () { when : "!CK & D & !RN & SE & !SI & !Q & QN"; value : 138.885791; } leakage_power () { when : "!CK & D & !RN & SE & SI & !Q & QN"; value : 145.059981; } leakage_power () { when : "!CK & D & RN & !SE & !SI & !Q & QN"; value : 148.519668; } leakage_power () { when : "!CK & D & RN & !SE & !SI & Q & !QN"; value : 149.153510; } leakage_power () { when : "!CK & D & RN & !SE & SI & !Q & QN"; value : 153.907501; } leakage_power () { when : "!CK & D & RN & !SE & SI & Q & !QN"; value : 154.542443; } leakage_power () { when : "!CK & D & RN & SE & !SI & !Q & QN"; value : 151.743185; } leakage_power () { when : "!CK & D & RN & SE & !SI & Q & !QN"; value : 157.515116; } leakage_power () { when : "!CK & D & RN & SE & SI & !Q & QN"; value : 155.498838; } leakage_power () { when : "!CK & D & RN & SE & SI & Q & !QN"; value : 156.132680; } leakage_power () { when : "CK & !D & !RN & !SE & !SI & !Q & QN"; value : 117.296883; } leakage_power () { when : "CK & !D & !RN & !SE & SI & !Q & QN"; value : 127.821661; } leakage_power () { when : "CK & !D & !RN & SE & !SI & !Q & QN"; value : 121.364540; } leakage_power () { when : "CK & !D & !RN & SE & SI & !Q & QN"; value : 129.648794; } leakage_power () { when : "CK & !D & RN & !SE & !SI & !Q & QN"; value : 128.851888; } leakage_power () { when : "CK & !D & RN & !SE & !SI & Q & !QN"; value : 140.903807; } leakage_power () { when : "CK & !D & RN & !SE & SI & !Q & QN"; value : 139.376666; } leakage_power () { when : "CK & !D & RN & !SE & SI & Q & !QN"; value : 151.429685; } leakage_power () { when : "CK & !D & RN & SE & !SI & !Q & QN"; value : 132.919655; } leakage_power () { when : "CK & !D & RN & SE & !SI & Q & !QN"; value : 144.971794; } leakage_power () { when : "CK & !D & RN & SE & SI & !Q & QN"; value : 141.204239; } leakage_power () { when : "CK & !D & RN & SE & SI & Q & !QN"; value : 148.124438; } leakage_power () { when : "CK & D & !RN & !SE & !SI & !Q & QN"; value : 129.776405; } leakage_power () { when : "CK & D & !RN & !SE & SI & !Q & QN"; value : 135.165327; } leakage_power () { when : "CK & D & !RN & SE & !SI & !Q & QN"; value : 135.310274; } leakage_power () { when : "CK & D & !RN & SE & SI & !Q & QN"; value : 136.755454; } leakage_power () { when : "CK & D & RN & !SE & !SI & !Q & QN"; value : 141.331399; } leakage_power () { when : "CK & D & RN & !SE & !SI & Q & !QN"; value : 148.251818; } leakage_power () { when : "CK & D & RN & !SE & SI & !Q & QN"; value : 146.720332; } leakage_power () { when : "CK & D & RN & !SE & SI & Q & !QN"; value : 153.640751; } leakage_power () { when : "CK & D & RN & SE & !SI & !Q & QN"; value : 146.865279; } leakage_power () { when : "CK & D & RN & SE & !SI & Q & !QN"; value : 158.918298; } leakage_power () { when : "CK & D & RN & SE & SI & !Q & QN"; value : 148.310899; } leakage_power () { when : "CK & D & RN & SE & SI & Q & !QN"; value : 155.230988; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.137331; fall_capacitance : 1.090635; rise_capacitance : 1.137331; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.023152,-0.010317,-0.004935", \ "-0.029812,-0.015559,-0.008155", \ "0.116519,0.130464,0.138264"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.011838,-0.003667,-0.005707", \ "-0.011123,-0.004157,-0.010323", \ "0.067464,0.074232,0.061904"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & !SE"; sdf_cond : "RN_AND_NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.071497,0.065696,0.078747", \ "0.088982,0.083076,0.096067", \ "0.131548,0.124786,0.137139"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.064745,0.050527,0.043237", \ "0.075156,0.060912,0.053628", \ "0.082552,0.068608,0.060811"); } } internal_power () { when : "!CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.089726,5.066568,5.036160,5.048784,5.194712,5.547868,6.119902"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.054446,4.018426,3.978225,3.989792,4.129314,4.462074,5.010742"); } } internal_power () { when : "!CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.089410,5.065614,5.035839,5.048435,5.194393,5.547397,6.118951"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.028244,3.991329,3.951860,3.963639,4.098100,4.436152,4.982748"); } } internal_power () { when : "!CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717956,0.691959,0.682060,0.678594,0.676429,0.675758,0.674633"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603417,-0.609046,-0.614389,-0.618015,-0.621340,-0.622824,-0.624587"); } } internal_power () { when : "!CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650986,0.657994,0.660186,0.659654,0.658823,0.658051,0.657973"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422653,-0.488570,-0.545275,-0.566929,-0.579050,-0.586310,-0.591838"); } } internal_power () { when : "!CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.562825,6.540944,6.504447,6.522503,6.667070,7.023655,7.590035"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.471254,4.435703,4.394640,4.405841,4.545272,4.880397,5.429433"); } } internal_power () { when : "!CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.532324,6.510292,6.479621,6.488196,6.637215,6.993800,7.560619"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.477003,4.441595,4.398826,4.410643,4.550301,4.886893,5.435159"); } } internal_power () { when : "!CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.562394,6.540515,6.504008,6.522043,6.666666,7.022616,7.589345"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.474703,4.439154,4.398108,4.409469,4.548768,4.887383,5.432975"); } } internal_power () { when : "!CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.529422,6.508347,6.477466,6.490476,6.634974,6.991488,7.558285"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.477564,4.442056,4.399269,4.411083,4.550699,4.887337,5.435435"); } } internal_power () { when : "!CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717110,0.691110,0.681214,0.677750,0.674946,0.674926,0.673000"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605668,-0.611295,-0.616633,-0.620259,-0.622948,-0.625066,-0.626827"); } } internal_power () { when : "!CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.718203,0.691453,0.682053,0.678591,0.676393,0.675760,0.674255"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.602961,-0.608663,-0.613996,-0.617563,-0.620981,-0.622625,-0.625232"); } } internal_power () { when : "!CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650653,0.657662,0.659854,0.659320,0.657888,0.657720,0.656891"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423725,-0.489654,-0.546350,-0.568000,-0.579508,-0.587374,-0.592898"); } } internal_power () { when : "!CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651185,0.658194,0.660384,0.659847,0.658409,0.658238,0.657399"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.421889,-0.487809,-0.544513,-0.566164,-0.577676,-0.585547,-0.591078"); } } internal_power () { when : "CK & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.253850,3.230328,3.201265,3.216537,3.364227,3.712935,4.275881"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526780,1.490854,1.453224,1.470708,1.615340,1.950789,2.497100"); } } internal_power () { when : "CK & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.251547,3.227857,3.198946,3.214195,3.361624,3.710457,4.273360"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526815,1.490879,1.453330,1.470730,1.615401,1.950807,2.497118"); } } internal_power () { when : "CK & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717115,0.690852,0.680954,0.677504,0.675319,0.674630,0.673172"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606050,-0.611773,-0.617150,-0.620787,-0.624071,-0.625774,-0.628247"); } } internal_power () { when : "CK & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650571,0.657417,0.659578,0.659052,0.658162,0.657415,0.656958"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424353,-0.490368,-0.547075,-0.568553,-0.580873,-0.588353,-0.594242"); } } internal_power () { when : "CK & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.251582,3.228436,3.200765,3.214686,3.362543,3.711101,4.273958"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.586146,1.550098,1.512418,1.529124,1.673622,2.009292,2.556440"); } } internal_power () { when : "CK & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.190819,3.167276,3.137733,3.155015,3.303596,3.654898,4.219284"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561716,1.525810,1.488013,1.504161,1.647466,1.980482,2.526496"); } } internal_power () { when : "CK & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.251156,3.228014,3.200334,3.214232,3.361621,3.710484,4.273292"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.589681,1.553575,1.515878,1.532583,1.677306,2.012727,2.559968"); } } internal_power () { when : "CK & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.189263,3.165718,3.136169,3.153259,3.301809,3.653174,4.217433"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.563228,1.527295,1.489443,1.505590,1.648776,1.981898,2.527934"); } } internal_power () { when : "CK & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716857,0.690878,0.680957,0.677505,0.675314,0.674647,0.673177"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606128,-0.611778,-0.617143,-0.620771,-0.624043,-0.625583,-0.628076"); } } internal_power () { when : "CK & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.722482,0.691938,0.682057,0.678599,0.675795,0.675736,0.673841"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603602,-0.609309,-0.614656,-0.618266,-0.621013,-0.623331,-0.625006"); } } internal_power () { when : "CK & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650425,0.657406,0.659581,0.659055,0.658185,0.657414,0.657009"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424334,-0.490301,-0.547017,-0.568662,-0.580702,-0.588037,-0.594223"); } } internal_power () { when : "CK & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.652419,0.659390,0.661570,0.661034,0.660179,0.659400,0.659304"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.419257,-0.485216,-0.541914,-0.563556,-0.575641,-0.582932,-0.588457"); } } } pin (RN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.527906; fall_capacitance : 1.506472; rise_capacitance : 1.527906; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.030832,-0.044791,-0.053077", \ "-0.021814,-0.036107,-0.044263", \ "-0.022307,-0.036240,-0.044526"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.037548,0.050929,0.058707", \ "0.030408,0.043513,0.051181", \ "0.036383,0.049134,0.056378"); } } timing () { related_pin : "RN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.100680,0.134382,0.238959"); } } internal_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688660,0.664695,0.651512,0.646126,0.647583,0.645101,0.645542"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.602714,-0.604752,-0.608502,-0.612323,-0.616561,-0.617259,-0.617987"); } } internal_power () { when : "!CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.687860,0.663911,0.651585,0.646226,0.647641,0.645244,0.645438"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603359,-0.604748,-0.608446,-0.613068,-0.616362,-0.617277,-0.617925"); } } internal_power () { when : "!CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688646,0.664686,0.651499,0.646115,0.647565,0.645083,0.645520"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.602735,-0.604776,-0.608532,-0.612354,-0.616577,-0.617291,-0.618019"); } } internal_power () { when : "!CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.198441,2.173885,2.164534,2.195425,2.278815,2.421316,2.622541"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.143656,-0.147745,-0.153673,-0.151592,-0.122199,-0.039395,0.109407"); } } internal_power () { when : "!CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.198479,2.173916,2.164571,2.195449,2.278878,2.421381,2.622621"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.143570,-0.147654,-0.153550,-0.151468,-0.122140,-0.039270,0.109530"); } } internal_power () { when : "!CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.198473,2.173913,2.164565,2.195444,2.278869,2.421371,2.622609"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.143582,-0.147669,-0.153568,-0.151487,-0.122149,-0.039289,0.109511"); } } internal_power () { when : "!CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.688660,0.664693,0.651512,0.646125,0.647588,0.645106,0.645548"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.602705,-0.604742,-0.608489,-0.612311,-0.616556,-0.617248,-0.617976"); } } internal_power () { when : "!CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.198433,2.173881,2.164527,2.195418,2.278802,2.421302,2.622525"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.143673,-0.147765,-0.153698,-0.151618,-0.122211,-0.039420,0.109382"); } } internal_power () { when : "CK & !D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.635303,0.636089,0.634844,0.634104,0.633977,0.633415,0.632737"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.606829,-0.607602,-0.610868,-0.612605,-0.612915,-0.612614,-0.613950"); } } internal_power () { when : "CK & !D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634774,0.635558,0.634317,0.633576,0.633459,0.632899,0.632218"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608012,-0.608782,-0.612044,-0.613781,-0.614108,-0.613793,-0.615151"); } } internal_power () { when : "CK & !D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.635669,0.635222,0.634007,0.633239,0.633106,0.632534,0.631880"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609194,-0.610275,-0.612595,-0.614834,-0.615407,-0.614978,-0.616083"); } } internal_power () { when : "CK & !D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.633602,0.634403,0.633149,0.632416,0.632264,0.631698,0.631058"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611084,-0.611873,-0.615159,-0.616891,-0.617146,-0.616902,-0.618167"); } } internal_power () { when : "CK & D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.635400,0.634948,0.633743,0.632970,0.632852,0.632285,0.631613"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609662,-0.610742,-0.613049,-0.615284,-0.615891,-0.615433,-0.616582"); } } internal_power () { when : "CK & D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634191,0.634980,0.633738,0.632999,0.632873,0.632305,0.631641"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609464,-0.610240,-0.613506,-0.615239,-0.615552,-0.615251,-0.616590"); } } internal_power () { when : "CK & D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.634782,0.635570,0.634324,0.633586,0.633463,0.632893,0.632227"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.607931,-0.608702,-0.611969,-0.613708,-0.614024,-0.613722,-0.615066"); } } internal_power () { when : "CK & D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.633591,0.634457,0.633139,0.632407,0.632248,0.631683,0.631047"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611155,-0.611899,-0.615197,-0.616976,-0.617173,-0.616918,-0.618183"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.922469; fall_capacitance : 1.812240; rise_capacitance : 1.922469; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.017430,-0.009762,-0.012070", \ "-0.033139,-0.026804,-0.029635", \ "0.110433,0.116830,0.104053"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.017163,-0.004533,-0.003150", \ "-0.020647,-0.006923,-0.008306", \ "0.069922,0.083962,0.074415"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.074764,0.060448,0.068765", \ "0.093792,0.079680,0.086298", \ "0.129090,0.115055,0.124627"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.081005,0.074987,0.087822", \ "0.087922,0.081610,0.094602", \ "0.088638,0.082242,0.095023"); } } internal_power () { when : "!CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.398568,2.361555,2.415112,2.620227,3.002880,3.616765,4.490940"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.059535,-0.093646,-0.088395,0.075080,0.468429,1.108744,2.011280"); } } internal_power () { when : "!CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.925858,5.853692,5.911094,6.256740,6.954313,8.076164,9.684150"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.380882,3.337431,3.323654,3.578641,4.241113,5.384476,7.032787"); } } internal_power () { when : "!CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.397717,2.360702,2.414264,2.619379,3.001417,3.616179,4.489332"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.061790,-0.095897,-0.090642,0.072836,0.466802,1.106411,2.009039"); } } internal_power () { when : "!CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.398968,2.362097,2.415583,2.620688,3.003365,3.616987,4.491020"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.045520,-0.078289,-0.073802,0.089619,0.482857,1.123419,2.023926"); } } internal_power () { when : "!CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.396987,7.321294,7.380696,7.727257,8.423279,9.548235,11.151350"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.866731,3.824137,3.809875,4.058939,4.718588,5.858292,7.506097"); } } internal_power () { when : "!CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.365733,7.292498,7.350674,7.694706,8.392396,9.517554,11.120720"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.835478,3.790360,3.778071,4.026046,4.688504,5.832972,7.484655"); } } internal_power () { when : "!CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.239139,6.207576,6.308057,6.593598,7.079013,7.801368,8.775006"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.301408,4.271826,4.211933,4.325895,4.693213,5.338837,6.282168"); } } internal_power () { when : "!CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.239083,2.206634,2.265346,2.475649,2.863741,3.480340,4.358773"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.037350,0.008614,0.015209,0.172032,0.556366,1.194500,2.097715"); } } internal_power () { when : "!CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.707766,6.676608,6.776338,7.062098,7.546948,8.268309,9.244825"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.775200,5.743458,5.683998,5.799294,6.163737,6.809139,7.751361"); } } internal_power () { when : "!CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.765755,6.735832,6.836252,7.122003,7.606117,8.326496,9.304281"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.816750,5.784444,5.725380,5.837666,6.207381,6.856438,7.791200"); } } internal_power () { when : "!CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.238613,2.206278,2.265008,2.475308,2.862798,3.480010,4.357699"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.036267,0.007469,0.014145,0.170961,0.555895,1.193432,2.096656"); } } internal_power () { when : "!CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.239184,2.206830,2.265541,2.475837,2.863323,3.480530,4.358207"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.038114,0.009336,0.015982,0.172794,0.557726,1.195259,2.098476"); } } internal_power () { when : "CK & !D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.398788,2.362129,2.415719,2.620741,3.003676,3.617243,4.490992"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.045332,-0.079145,-0.073644,0.089591,0.482500,1.123318,2.023777"); } } internal_power () { when : "CK & !D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.085461,4.012884,4.074615,4.424191,5.119613,6.232093,7.825759"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.882459,0.839721,0.832398,1.089749,1.754843,2.894998,4.532623"); } } internal_power () { when : "CK & !D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.397337,2.360292,2.413991,2.619142,3.001790,3.615906,4.489465"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063145,-0.096473,-0.091193,0.072334,0.465638,1.105880,2.007802"); } } internal_power () { when : "CK & !D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.397979,2.361339,2.414923,2.619931,3.002240,3.616458,4.489801"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047367,-0.081307,-0.075809,0.087599,0.481285,1.121314,2.022662"); } } internal_power () { when : "CK & !D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.083638,4.012117,4.073818,4.423832,5.119158,6.231740,7.825311"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.980121,0.937556,0.929760,1.186435,1.848668,2.985056,4.617116"); } } internal_power () { when : "CK & !D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.018158,3.947784,4.009152,4.362941,5.060738,6.176271,7.772517"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.918201,0.875634,0.867481,1.123872,1.788173,2.923896,4.561856"); } } internal_power () { when : "CK & D & !RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.830956,3.799246,3.898419,4.184470,4.669432,5.388034,6.360108"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.343160,2.324583,2.309846,2.454265,2.842066,3.497470,4.430820"); } } internal_power () { when : "CK & D & !RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.240937,2.208964,2.267866,2.478258,2.866189,3.482826,4.359649"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.149633,-0.178530,-0.171505,-0.013967,0.372084,1.010776,1.912419"); } } internal_power () { when : "CK & D & RN & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.822654,3.791035,3.891050,4.178474,4.665997,5.386666,6.361669"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.491434,2.460827,2.400439,2.515132,2.884874,3.534872,4.470299"); } } internal_power () { when : "CK & D & RN & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.858383,3.825338,3.925152,4.212810,4.699807,5.420178,6.394975"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.436122,2.405425,2.344389,2.459283,2.828494,3.476894,4.413606"); } } internal_power () { when : "CK & D & RN & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.237939,2.205862,2.264834,2.475138,2.863102,3.479537,4.357784"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.035496,0.006906,0.013481,0.170556,0.554796,1.192726,2.095288"); } } internal_power () { when : "CK & D & RN & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.239916,2.207965,2.266823,2.477109,2.865097,3.481522,4.360099"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.040572,0.011993,0.018586,0.175659,0.559857,1.197830,2.101045"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.878615; fall_capacitance : 0.842726; rise_capacitance : 0.878615; timing () { related_pin : "CK"; timing_type : hold_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.026219,-0.013352,-0.007748", \ "-0.029676,-0.015935,-0.009344", \ "0.109018,0.123759,0.130486"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.012184,-0.004297,-0.006489", \ "-0.010527,-0.003996,-0.010360", \ "0.056463,0.062964,0.051223"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "RN & SE"; sdf_cond : "RN_AND_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.079816,0.073660,0.086461", \ "0.097106,0.091141,0.103883", \ "0.142551,0.136056,0.147821"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.066848,0.052829,0.045394", \ "0.078884,0.064536,0.057217", \ "0.089982,0.075246,0.068542"); } } internal_power () { when : "!CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543119,0.526551,0.519566,0.515856,0.514313,0.513098,0.512098"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.464896,-0.468619,-0.470854,-0.472093,-0.473683,-0.474008,-0.474479"); } } internal_power () { when : "!CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.052541,5.038955,5.016679,5.021841,5.120582,5.371250,5.786624"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.587473,3.560653,3.535191,3.538736,3.625830,3.856614,4.250390"); } } internal_power () { when : "!CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542274,0.525699,0.518718,0.515010,0.512815,0.512267,0.510449"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467144,-0.470868,-0.473098,-0.474336,-0.475276,-0.476250,-0.476718"); } } internal_power () { when : "!CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543113,0.526449,0.519557,0.515839,0.514320,0.513076,0.511690"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.464468,-0.468299,-0.470447,-0.471749,-0.473481,-0.473581,-0.475228"); } } internal_power () { when : "!CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.522919,6.505443,6.483616,6.493140,6.585158,6.838122,7.252678"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.004245,3.978313,3.952429,3.956566,4.047976,4.282803,4.669577"); } } internal_power () { when : "!CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.489192,6.477213,6.459447,6.465716,6.558484,6.813368,7.224611"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.009480,3.983523,3.956990,3.961988,4.053829,4.285487,4.675824"); } } internal_power () { when : "!CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482215,0.489175,0.491185,0.490747,0.490580,0.490711,0.490580"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320787,-0.369709,-0.409505,-0.425084,-0.434194,-0.439089,-0.443202"); } } internal_power () { when : "!CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.050745,5.038416,5.016901,5.021146,5.119828,5.370453,5.785681"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.588219,3.561348,3.538860,3.542306,3.629126,3.860242,4.254123"); } } internal_power () { when : "!CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481897,0.488849,0.490862,0.490417,0.489575,0.490381,0.489412"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321861,-0.370792,-0.410583,-0.426158,-0.434587,-0.440154,-0.444261"); } } internal_power () { when : "!CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482012,0.488964,0.490976,0.490528,0.489682,0.490488,0.489514"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321396,-0.370326,-0.410118,-0.425692,-0.434121,-0.439690,-0.443799"); } } internal_power () { when : "!CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.521903,6.504824,6.482953,6.492450,6.584415,6.841224,7.251716"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.008052,3.982456,3.956003,3.960142,4.051338,4.286381,4.673018"); } } internal_power () { when : "!CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.485565,6.473603,6.451966,6.462013,6.554709,6.809509,7.220631"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.040162,4.012074,3.987314,3.992266,4.083310,4.313818,4.703997"); } } internal_power () { when : "CK & !D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543173,0.526531,0.519614,0.515909,0.514339,0.513095,0.511748"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.464506,-0.468349,-0.470538,-0.471843,-0.473477,-0.473678,-0.475197"); } } internal_power () { when : "CK & !D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.212535,3.200137,3.177909,3.186322,3.285476,3.524235,3.926320"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122843,1.096506,1.072197,1.081420,1.176628,1.408498,1.797829"); } } internal_power () { when : "CK & !D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542020,0.525460,0.518464,0.514765,0.513198,0.511987,0.510635"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467613,-0.471349,-0.473607,-0.474849,-0.476387,-0.476767,-0.477984"); } } internal_power () { when : "CK & !D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542366,0.525709,0.518808,0.515092,0.512897,0.512319,0.510510"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466554,-0.470391,-0.472553,-0.473851,-0.474888,-0.475682,-0.476456"); } } internal_power () { when : "CK & !D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.209498,3.197074,3.174865,3.183285,3.282433,3.521182,3.923248"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.122843,1.096733,1.072203,1.081433,1.176647,1.408535,1.797900"); } } internal_power () { when : "CK & !D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.153527,3.140546,3.119295,3.127456,3.226350,3.469348,3.871526"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.099743,1.071967,1.050038,1.058030,1.153391,1.381037,1.769157"); } } internal_power () { when : "CK & D & !RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481181,0.489186,0.491116,0.490607,0.490450,0.490586,0.490077"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321119,-0.369625,-0.409725,-0.425151,-0.434173,-0.439022,-0.443769"); } } internal_power () { when : "CK & D & !RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.208810,3.196492,3.174234,3.182644,3.281705,3.520820,3.922181"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.064049,1.038024,1.013493,1.023233,1.119091,1.350874,1.739867"); } } internal_power () { when : "CK & D & RN & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481634,0.488597,0.490592,0.490150,0.489932,0.490076,0.489572"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322487,-0.371435,-0.411242,-0.426817,-0.435845,-0.440816,-0.445671"); } } internal_power () { when : "CK & D & RN & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482224,0.489178,0.491181,0.490728,0.490530,0.490662,0.490516"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320849,-0.369796,-0.409589,-0.425160,-0.434226,-0.439158,-0.443269"); } } internal_power () { when : "CK & D & RN & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.208811,3.196477,3.174169,3.182599,3.281684,3.521069,3.922328"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.126486,1.100322,1.075886,1.085002,1.180096,1.412335,1.801467"); } } internal_power () { when : "CK & D & RN & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.149846,3.136808,3.115559,3.123642,3.223021,3.465357,3.868112"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.124074,1.097945,1.076015,1.084126,1.178393,1.406846,1.794582"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.962924; fall_capacitance : 0.872463; rise_capacitance : 0.962924; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "RN"; sdf_cond : "RN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.062526,0.073857,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.089081,0.088297,0.198733"); } } internal_power () { when : "!D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.288986,4.257389,4.266527,4.441599,4.808297,5.390972,6.236183"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.041088,3.025199,3.011409,3.129759,3.458410,4.051230,4.944215"); } } internal_power () { when : "!D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.285629,4.252791,4.263066,4.438071,4.804779,5.387319,6.232570"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.285192,3.264801,3.254210,3.371663,3.698378,4.295293,5.187235"); } } internal_power () { when : "!D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.288928,4.257149,4.266402,4.441458,4.808174,5.390935,6.236150"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.042707,3.021911,3.011468,3.129837,3.458494,4.051216,4.944234"); } } internal_power () { when : "!D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.397062,6.365474,6.376174,6.563485,6.943632,7.544267,8.406155"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("10.357300,10.333900,10.334100,10.477770,10.827990,11.474430,12.439850"); } } internal_power () { when : "!D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.289121,4.257501,4.267246,4.443358,4.808946,5.392278,6.237509"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.053757,3.034137,3.020079,3.137886,3.466905,4.059043,4.952071"); } } internal_power () { when : "!D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.190148,7.152254,7.158527,7.341174,7.717406,8.318733,9.187970"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.289124,4.257497,4.267252,4.443367,4.808946,5.392295,6.237508"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.053781,3.034162,3.020111,3.137919,3.466940,4.059079,4.952102"); } } internal_power () { when : "!D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.660825,7.619221,7.631893,7.811686,8.191127,8.791235,9.654414"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.288949,4.257338,4.267097,4.443238,4.808834,5.392245,6.237500"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.053847,3.034196,3.020163,3.137972,3.466972,4.059034,4.952091"); } } internal_power () { when : "!D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.666048,7.620180,7.632795,7.812820,8.183690,8.792170,9.655347"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.921220,6.884278,6.894025,7.079396,7.455857,8.051595,8.911715"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.311535,4.279909,4.290350,4.468447,4.835274,5.421835,6.269731"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.952465,2.931198,2.915673,3.038005,3.369559,3.968318,4.864408"); } } internal_power () { when : "D & !RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.397026,6.365509,6.376281,6.563549,6.943735,7.544351,8.406240"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("10.355670,10.333620,10.337010,10.479600,10.828040,11.474240,12.439900"); } } internal_power () { when : "D & !RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.397015,6.365502,6.376275,6.563545,6.943725,7.544339,8.406228"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("10.355660,10.333600,10.336990,10.479580,10.828030,11.474220,12.439880"); } } internal_power () { when : "D & !RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.288933,4.257147,4.266403,4.441461,4.808196,5.390958,6.236178"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.042728,3.021934,3.011498,3.129871,3.458512,4.051257,4.944278"); } } internal_power () { when : "D & !RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.397062,6.365466,6.376167,6.563479,6.943619,7.544254,8.406139"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("10.358050,10.333880,10.334080,10.477750,10.827980,11.474400,12.439820"); } } internal_power () { when : "D & RN & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.921292,6.884413,6.894021,7.079506,7.455978,8.051736,8.911778"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.309183,4.277543,4.287998,4.466080,4.832896,5.419532,6.267365"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.952345,2.930909,2.915642,3.037950,3.369505,3.968255,4.864358"); } } internal_power () { when : "D & RN & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.921276,6.884395,6.894001,7.079493,7.455964,8.051715,8.911771"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.311560,4.279923,4.290377,4.468467,4.835267,5.421891,6.269731"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.952525,2.931084,2.915801,3.038114,3.369673,3.968424,4.864512"); } } internal_power () { when : "D & RN & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.288948,4.257421,4.267092,4.443095,4.808834,5.392268,6.237499"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.053898,3.030300,3.020199,3.139396,3.467008,4.059082,4.952132"); } } internal_power () { when : "D & RN & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.641347,7.594506,7.607368,7.790233,8.162152,8.766874,9.629209"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.921201,6.884257,6.894000,7.079371,7.455846,8.051570,8.911706"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & RN & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.311525,4.279904,4.290342,4.468440,4.835274,5.421820,6.269730"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.952448,2.931177,2.915648,3.037980,3.369534,3.968293,4.864383"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.086281,0.093516,0.099995,0.110653,0.127588,0.153496,0.195678", \ "0.087759,0.094996,0.101474,0.112132,0.129069,0.154977,0.197156", \ "0.092760,0.099999,0.106472,0.117128,0.134064,0.159975,0.202155", \ "0.098380,0.105617,0.112089,0.122746,0.139681,0.165593,0.207782", \ "0.102632,0.109870,0.116343,0.126997,0.143931,0.169825,0.212020", \ "0.105468,0.112710,0.119179,0.129847,0.146742,0.172657,0.214856", \ "0.106882,0.114119,0.120632,0.131292,0.148161,0.174075,0.216279"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.075096,0.082243,0.088795,0.100110,0.120148,0.157228,0.229767", \ "0.076576,0.083721,0.090270,0.101587,0.121623,0.158704,0.231253", \ "0.081733,0.088879,0.095430,0.106746,0.126781,0.163860,0.236405", \ "0.087653,0.094799,0.101350,0.112666,0.132711,0.169789,0.242337", \ "0.092293,0.099437,0.105990,0.117310,0.137340,0.174414,0.246965", \ "0.095365,0.102507,0.109053,0.120377,0.140416,0.177489,0.250036", \ "0.096459,0.103605,0.110154,0.121485,0.141513,0.178582,0.251137"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016095,0.018754,0.021403,0.026122,0.033811,0.047114,0.074685", \ "0.016095,0.018754,0.021406,0.026123,0.033812,0.047113,0.074698", \ "0.016098,0.018757,0.021407,0.026124,0.033814,0.047114,0.074695", \ "0.016099,0.018754,0.021407,0.026125,0.033815,0.047115,0.074694", \ "0.016124,0.018784,0.021426,0.026138,0.033824,0.047121,0.074684", \ "0.016133,0.018792,0.021443,0.026160,0.033845,0.047132,0.074710", \ "0.016201,0.018856,0.021505,0.026216,0.033890,0.047173,0.074693"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.008500,0.012681,0.016873,0.024871,0.040723,0.073476,0.141624", \ "0.008498,0.012680,0.016873,0.024871,0.040722,0.073476,0.141619", \ "0.008500,0.012679,0.016873,0.024872,0.040723,0.073473,0.141622", \ "0.008499,0.012682,0.016873,0.024870,0.040724,0.073476,0.141620", \ "0.008495,0.012678,0.016871,0.024875,0.040726,0.073474,0.141624", \ "0.008506,0.012689,0.016880,0.024878,0.040728,0.073475,0.141627", \ "0.008516,0.012697,0.016887,0.024883,0.040732,0.073479,0.141623"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090765,0.098185,0.104830,0.115610,0.131912,0.156090,0.196142", \ "0.092434,0.099846,0.106494,0.117274,0.133576,0.157756,0.197806", \ "0.098215,0.105631,0.112279,0.123061,0.139361,0.163554,0.203611", \ "0.107715,0.115134,0.121780,0.132558,0.148857,0.173048,0.213107", \ "0.122364,0.129767,0.136386,0.147166,0.163431,0.187603,0.227651", \ "0.142243,0.149727,0.156463,0.167310,0.183646,0.207770,0.247752", \ "0.164795,0.172472,0.179498,0.190728,0.207249,0.231584,0.271623"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016944,0.019655,0.022222,0.026226,0.032179,0.043939,0.071289", \ "0.016940,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ "0.016945,0.019650,0.022221,0.026227,0.032182,0.043936,0.071292", \ "0.016934,0.019658,0.022242,0.026259,0.032226,0.043962,0.071304", \ "0.018365,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071688"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090765,0.098186,0.104830,0.115609,0.131914,0.156090,0.196142", \ "0.092430,0.099846,0.106494,0.117274,0.133577,0.157756,0.197806", \ "0.098214,0.105631,0.112281,0.123061,0.139363,0.163554,0.203611", \ "0.107714,0.115134,0.121779,0.132557,0.148858,0.173049,0.213107", \ "0.122365,0.129771,0.136386,0.147186,0.163432,0.187603,0.227651", \ "0.142243,0.149726,0.156463,0.167310,0.183646,0.207770,0.247752", \ "0.164795,0.172472,0.179498,0.190728,0.207249,0.231584,0.271623"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016945,0.019655,0.022222,0.026226,0.032179,0.043939,0.071288", \ "0.016941,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ "0.016945,0.019650,0.022221,0.026227,0.032182,0.043936,0.071292", \ "0.016934,0.019661,0.022242,0.026260,0.032226,0.043962,0.071304", \ "0.018365,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071688"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090765,0.098185,0.104829,0.115609,0.131913,0.156087,0.196142", \ "0.092434,0.099846,0.106493,0.117274,0.133576,0.157755,0.197805", \ "0.098215,0.105630,0.112279,0.123060,0.139361,0.163555,0.203610", \ "0.107715,0.115134,0.121781,0.132557,0.148857,0.173048,0.213107", \ "0.122365,0.129755,0.136388,0.147167,0.163432,0.187603,0.227651", \ "0.142242,0.149727,0.156463,0.167310,0.183647,0.207769,0.247751", \ "0.164795,0.172472,0.179498,0.190728,0.207249,0.231583,0.271622"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016944,0.019654,0.022222,0.026226,0.032179,0.043937,0.071285", \ "0.016939,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ "0.016945,0.019650,0.022221,0.026227,0.032182,0.043930,0.071292", \ "0.016934,0.019661,0.022242,0.026259,0.032226,0.043962,0.071304", \ "0.018364,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071688"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090746,0.098158,0.104807,0.115588,0.131891,0.156068,0.196122", \ "0.092406,0.099823,0.106472,0.117253,0.133554,0.157736,0.197781", \ "0.098190,0.105609,0.112254,0.123038,0.139343,0.163532,0.203585", \ "0.107693,0.115102,0.121758,0.132539,0.148834,0.173028,0.213080", \ "0.122303,0.129703,0.136335,0.147083,0.163346,0.187519,0.227557", \ "0.142117,0.149630,0.156351,0.167206,0.183488,0.207631,0.247614", \ "0.164597,0.172394,0.179421,0.190599,0.207203,0.231486,0.271490"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016933,0.019650,0.022218,0.026220,0.032169,0.043931,0.071282", \ "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ "0.016940,0.019648,0.022217,0.026222,0.032179,0.043924,0.071281", \ "0.016934,0.019659,0.022236,0.026253,0.032210,0.043951,0.071282", \ "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ "0.020562,0.023119,0.025469,0.028897,0.033957,0.044884,0.071674"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090746,0.098158,0.104807,0.115588,0.131893,0.156068,0.196120", \ "0.092405,0.099823,0.106472,0.117253,0.133556,0.157736,0.197781", \ "0.098189,0.105609,0.112254,0.123038,0.139343,0.163530,0.203587", \ "0.107693,0.115111,0.121758,0.132539,0.148834,0.173026,0.213081", \ "0.122303,0.129703,0.136335,0.147083,0.163344,0.187518,0.227558", \ "0.142116,0.149630,0.156351,0.167206,0.183480,0.207630,0.247614", \ "0.164597,0.172394,0.179401,0.190599,0.207201,0.231484,0.271490"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016933,0.019650,0.022218,0.026220,0.032170,0.043927,0.071279", \ "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ "0.016940,0.019646,0.022217,0.026222,0.032179,0.043928,0.071282", \ "0.016934,0.019659,0.022236,0.026253,0.032210,0.043951,0.071282", \ "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ "0.020562,0.023119,0.025469,0.028897,0.033957,0.044884,0.071674"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090746,0.098158,0.104807,0.115588,0.131891,0.156069,0.196121", \ "0.092406,0.099823,0.106472,0.117253,0.133554,0.157736,0.197780", \ "0.098190,0.105609,0.112254,0.123038,0.139343,0.163532,0.203585", \ "0.107693,0.115102,0.121758,0.132539,0.148834,0.173028,0.213080", \ "0.122303,0.129703,0.136335,0.147083,0.163346,0.187519,0.227557", \ "0.142116,0.149630,0.156351,0.167206,0.183488,0.207631,0.247614", \ "0.164597,0.172394,0.179401,0.190599,0.207203,0.231485,0.271490"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016933,0.019650,0.022218,0.026220,0.032169,0.043924,0.071278", \ "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ "0.016940,0.019648,0.022217,0.026222,0.032179,0.043924,0.071281", \ "0.016934,0.019659,0.022236,0.026253,0.032210,0.043951,0.071282", \ "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ "0.020562,0.023119,0.025469,0.028897,0.033957,0.044879,0.071675"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090765,0.098185,0.104830,0.115609,0.131913,0.156086,0.196141", \ "0.092434,0.099846,0.106494,0.117274,0.133576,0.157755,0.197805", \ "0.098215,0.105630,0.112281,0.123061,0.139362,0.163554,0.203610", \ "0.107714,0.115134,0.121781,0.132557,0.148857,0.173048,0.213106", \ "0.122365,0.129770,0.136386,0.147186,0.163432,0.187603,0.227651", \ "0.142242,0.149726,0.156463,0.167310,0.183647,0.207769,0.247751", \ "0.164794,0.172472,0.179498,0.190728,0.207249,0.231583,0.271623"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016944,0.019654,0.022222,0.026226,0.032179,0.043934,0.071285", \ "0.016939,0.019653,0.022221,0.026227,0.032178,0.043937,0.071309", \ "0.016946,0.019652,0.022222,0.026226,0.032180,0.043930,0.071287", \ "0.016945,0.019650,0.022221,0.026227,0.032182,0.043930,0.071292", \ "0.016934,0.019661,0.022242,0.026260,0.032226,0.043962,0.071304", \ "0.018364,0.020928,0.023337,0.027052,0.032686,0.044193,0.071391", \ "0.020550,0.023115,0.025468,0.028897,0.033969,0.044896,0.071689"); } } timing () { related_pin : "RN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.090746,0.098158,0.104807,0.115588,0.131893,0.156069,0.196122", \ "0.092406,0.099823,0.106472,0.117253,0.133556,0.157736,0.197781", \ "0.098190,0.105609,0.112254,0.123038,0.139343,0.163532,0.203585", \ "0.107693,0.115102,0.121758,0.132539,0.148834,0.173028,0.213080", \ "0.122303,0.129703,0.136335,0.147083,0.163344,0.187518,0.227557", \ "0.142117,0.149630,0.156351,0.167206,0.183488,0.207631,0.247614", \ "0.164597,0.172394,0.179401,0.190599,0.207203,0.231486,0.271490"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016933,0.019650,0.022218,0.026220,0.032170,0.043931,0.071281", \ "0.016939,0.019650,0.022216,0.026219,0.032169,0.043929,0.071288", \ "0.016944,0.019645,0.022217,0.026219,0.032170,0.043922,0.071273", \ "0.016940,0.019648,0.022217,0.026222,0.032179,0.043924,0.071281", \ "0.016934,0.019659,0.022236,0.026253,0.032210,0.043948,0.071282", \ "0.018371,0.020931,0.023338,0.027050,0.032677,0.044180,0.071380", \ "0.020562,0.023119,0.025469,0.028897,0.033957,0.044884,0.071674"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168215,0.175468,0.181979,0.192792,0.210302,0.236876,0.279584", \ "0.169686,0.176933,0.183429,0.194191,0.211594,0.238089,0.280779", \ "0.175883,0.183126,0.189615,0.200344,0.217684,0.244121,0.286777", \ "0.188886,0.196126,0.202612,0.213335,0.230633,0.257062,0.299707", \ "0.207940,0.215180,0.221632,0.232345,0.249694,0.276106,0.318707", \ "0.229354,0.236599,0.243075,0.253732,0.271098,0.297367,0.340083", \ "0.252379,0.259621,0.266108,0.276742,0.293984,0.320340,0.362988"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016135,0.018849,0.021621,0.026742,0.034902,0.048070,0.075326", \ "0.016117,0.018809,0.021542,0.026579,0.034712,0.047963,0.075286", \ "0.016110,0.018791,0.021505,0.026486,0.034586,0.047892,0.075244", \ "0.016113,0.018792,0.021494,0.026453,0.034537,0.047852,0.075230", \ "0.016111,0.018790,0.021490,0.026437,0.034510,0.047836,0.075225", \ "0.016111,0.018784,0.021487,0.026426,0.034495,0.047824,0.075218", \ "0.016101,0.018786,0.021487,0.026418,0.034485,0.047824,0.075209"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168215,0.175469,0.181979,0.192792,0.210303,0.236874,0.279585", \ "0.169686,0.176934,0.183429,0.194191,0.211594,0.238089,0.280779", \ "0.175884,0.183126,0.189615,0.200344,0.217685,0.244121,0.286778", \ "0.188886,0.196126,0.202612,0.213335,0.230634,0.257063,0.299707", \ "0.207940,0.215147,0.221632,0.232345,0.249694,0.276106,0.318711", \ "0.229354,0.236599,0.243076,0.253732,0.271098,0.297368,0.340083", \ "0.252379,0.259621,0.266108,0.276742,0.293984,0.320340,0.362988"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016135,0.018849,0.021621,0.026742,0.034902,0.048069,0.075325", \ "0.016117,0.018809,0.021542,0.026579,0.034712,0.047963,0.075286", \ "0.016110,0.018791,0.021505,0.026486,0.034586,0.047892,0.075244", \ "0.016113,0.018792,0.021494,0.026453,0.034537,0.047853,0.075230", \ "0.016111,0.018787,0.021490,0.026437,0.034511,0.047836,0.075225", \ "0.016111,0.018784,0.021487,0.026426,0.034495,0.047824,0.075218", \ "0.016101,0.018786,0.021487,0.026418,0.034485,0.047824,0.075210"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168211,0.175464,0.181974,0.192788,0.210298,0.236870,0.279580", \ "0.169682,0.176928,0.183424,0.194186,0.211589,0.238085,0.280775", \ "0.175879,0.183121,0.189610,0.200339,0.217680,0.244117,0.286774", \ "0.188882,0.196122,0.202607,0.213331,0.230629,0.257058,0.299703", \ "0.207936,0.215176,0.221628,0.232341,0.249690,0.276102,0.318708", \ "0.229350,0.236595,0.243072,0.253728,0.271094,0.297364,0.340078", \ "0.252375,0.259617,0.266104,0.276739,0.293980,0.320337,0.362985"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016135,0.018848,0.021620,0.026742,0.034901,0.048064,0.075324", \ "0.016117,0.018809,0.021541,0.026578,0.034711,0.047963,0.075285", \ "0.016109,0.018792,0.021504,0.026486,0.034586,0.047892,0.075244", \ "0.016111,0.018791,0.021494,0.026452,0.034538,0.047852,0.075230", \ "0.016111,0.018789,0.021489,0.026436,0.034510,0.047835,0.075224", \ "0.016111,0.018783,0.021487,0.026426,0.034495,0.047823,0.075219", \ "0.016100,0.018786,0.021487,0.026418,0.034484,0.047824,0.075209"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236853,0.279564", \ "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286758", \ "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318684", \ "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016133,0.018846,0.021618,0.026740,0.034898,0.048065,0.075323", \ "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ "0.016110,0.018790,0.021502,0.026483,0.034583,0.047880,0.075242", \ "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075225", \ "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236852,0.279571", \ "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286757", \ "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318691", \ "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016133,0.018846,0.021618,0.026740,0.034899,0.048065,0.075321", \ "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ "0.016110,0.018790,0.021502,0.026483,0.034583,0.047889,0.075242", \ "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075227", \ "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236852,0.279572", \ "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286757", \ "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318684", \ "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016133,0.018846,0.021618,0.026740,0.034899,0.048065,0.075342", \ "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ "0.016110,0.018790,0.021502,0.026483,0.034583,0.047889,0.075242", \ "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075225", \ "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168211,0.175464,0.181975,0.192788,0.210298,0.236870,0.279580", \ "0.169682,0.176929,0.183424,0.194186,0.211589,0.238085,0.280775", \ "0.175879,0.183121,0.189611,0.200339,0.217680,0.244117,0.286774", \ "0.188882,0.196123,0.202607,0.213332,0.230629,0.257058,0.299703", \ "0.207936,0.215176,0.221628,0.232341,0.249690,0.276102,0.318704", \ "0.229350,0.236595,0.243072,0.253729,0.271094,0.297364,0.340078", \ "0.252376,0.259618,0.266104,0.276739,0.293980,0.320337,0.362985"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016135,0.018848,0.021620,0.026742,0.034901,0.048068,0.075324", \ "0.016117,0.018809,0.021541,0.026578,0.034711,0.047963,0.075285", \ "0.016109,0.018792,0.021504,0.026486,0.034586,0.047892,0.075244", \ "0.016111,0.018791,0.021494,0.026452,0.034538,0.047852,0.075230", \ "0.016111,0.018789,0.021489,0.026436,0.034510,0.047835,0.075225", \ "0.016111,0.018783,0.021487,0.026426,0.034495,0.047823,0.075219", \ "0.016100,0.018786,0.021487,0.026418,0.034484,0.047824,0.075209"); } } timing () { related_pin : "RN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.168195,0.175448,0.181959,0.192772,0.210281,0.236855,0.279564", \ "0.169667,0.176913,0.183409,0.194170,0.211573,0.238068,0.280758", \ "0.175863,0.183106,0.189595,0.200323,0.217663,0.244101,0.286757", \ "0.188867,0.196107,0.202592,0.213316,0.230613,0.257042,0.299687", \ "0.207922,0.215128,0.221613,0.232326,0.249675,0.276086,0.318691", \ "0.229337,0.236581,0.243058,0.253714,0.271079,0.297348,0.340063", \ "0.252362,0.259604,0.266091,0.276725,0.293966,0.320323,0.362971"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.016133,0.018846,0.021618,0.026740,0.034898,0.048072,0.075323", \ "0.016114,0.018806,0.021539,0.026575,0.034709,0.047960,0.075284", \ "0.016110,0.018790,0.021502,0.026483,0.034583,0.047880,0.075242", \ "0.016109,0.018789,0.021492,0.026450,0.034534,0.047849,0.075228", \ "0.016108,0.018785,0.021487,0.026433,0.034507,0.047840,0.075227", \ "0.016107,0.018783,0.021485,0.026423,0.034492,0.047821,0.075216", \ "0.016098,0.018784,0.021485,0.026416,0.034482,0.047822,0.075207"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.785368,8.603799,8.333330,8.129238,8.027870,8.038626,7.860315", \ "8.759230,8.562085,8.316598,8.111286,7.993685,8.012197,7.982765", \ "8.766644,8.593613,8.342597,8.091763,7.977131,7.983441,7.936344", \ "8.820539,8.637407,8.395945,8.156958,8.058394,8.043873,7.786781", \ "8.941507,8.781821,8.548758,8.304755,8.201811,8.219868,8.109380", \ "9.280465,9.116571,8.835746,8.615229,8.524334,8.516202,8.469299", \ "9.776429,9.534008,9.333689,9.086344,8.954056,8.935412,8.882717"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.920925,6.967888,7.041359,7.354872,7.503505,7.499942,7.593122", \ "6.909812,6.966224,7.041027,7.344560,7.489431,7.466753,7.489762", \ "6.902866,6.952344,7.029777,7.317725,7.487934,7.513809,7.559769", \ "6.971424,7.009641,7.096248,7.391725,7.552247,7.593146,7.635581", \ "7.133061,7.167321,7.256761,7.557156,7.700356,7.715704,7.800913", \ "7.470148,7.489450,7.573350,7.868752,8.014045,8.008872,8.078607", \ "7.902698,7.944733,8.035733,8.343837,8.470147,8.497370,8.389310"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.026882,7.795994,7.521749,7.327714,7.201561,7.016980,6.780810", \ "7.991499,7.744873,7.534266,7.314198,7.186053,7.050807,6.943556", \ "7.973931,7.808376,7.514749,7.305055,7.204597,7.109485,6.854974", \ "7.999311,7.801192,7.507217,7.293560,7.173358,7.076763,7.046671", \ "8.059252,7.821674,7.604479,7.357252,7.216576,7.085513,6.845427", \ "8.391462,8.175863,7.881461,7.592899,7.440308,7.258260,7.192426", \ "8.936763,8.668560,8.390543,8.029446,7.826723,7.582350,7.512638"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.026575,7.792057,7.521387,7.327031,7.201170,7.017523,6.778671", \ "8.005229,7.744195,7.533930,7.315227,7.185580,7.050318,6.942958", \ "7.973731,7.808062,7.514340,7.304699,7.204226,7.109004,6.854384", \ "7.999009,7.800882,7.507222,7.293216,7.173010,7.076290,7.046105", \ "8.058870,7.821297,7.604208,7.356798,7.217533,7.085051,6.844867", \ "8.391220,8.175640,7.882725,7.592595,7.440015,7.332530,7.191925", \ "8.936534,8.668439,8.390332,8.029174,7.826431,7.581980,7.512180"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.026494,7.795062,7.522048,7.327220,7.201115,7.104686,7.070061", \ "7.982315,7.744548,7.533980,7.314178,7.185615,7.050396,6.943055", \ "7.973606,7.808103,7.514400,7.304667,7.204202,7.109009,6.854507", \ "7.999010,7.800840,7.506220,7.293193,7.172994,7.078644,7.046240", \ "8.058874,7.822752,7.603858,7.356864,7.216946,7.085140,6.845012", \ "8.390757,8.175550,7.882720,7.592577,7.440563,7.257936,7.192067", \ "8.936012,8.666741,8.390315,8.029172,7.826519,7.582081,7.512338"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.790849,8.556058,8.280244,8.079779,7.871404,7.787242,7.576228", \ "8.763718,8.507835,8.297273,8.074620,7.914803,7.814265,7.844892", \ "8.736993,8.491505,8.277860,8.065604,7.928876,7.873812,7.618844", \ "8.767621,8.583147,8.288349,8.072772,7.951618,7.860138,7.829311", \ "8.883577,8.646391,8.348749,8.165717,8.030644,7.916074,7.672127", \ "9.285659,9.071088,8.758812,8.488726,8.336621,8.154737,8.090053", \ "9.875765,9.616543,9.368532,9.023847,8.785124,8.575698,8.506817"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.791178,8.556381,8.280598,8.080188,7.964162,7.767800,7.629507", \ "8.767868,8.508146,8.297629,8.075025,7.922156,7.814794,7.706910", \ "8.737121,8.493790,8.278208,8.065975,7.928513,7.874416,7.619457", \ "8.768489,8.582997,8.288672,8.073127,7.951875,7.860747,7.842195", \ "8.883892,8.646717,8.349049,8.166066,8.030991,7.916914,7.672634", \ "9.285954,9.071470,8.759110,8.489036,8.239218,8.155283,8.090597", \ "9.875977,9.616764,9.369909,9.024108,8.785884,8.603896,8.507371"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.791195,8.556402,8.280588,8.080200,7.871900,7.880054,7.623330", \ "8.771247,8.508174,8.297647,8.075041,7.915134,7.814805,7.816565", \ "8.737131,8.493821,8.278227,8.065990,7.928484,7.874347,7.619575", \ "8.768520,8.583501,8.288691,8.073143,7.951946,7.860681,7.829985", \ "8.883909,8.646727,8.349069,8.166080,8.031087,7.916980,7.672732", \ "9.285965,9.071412,8.759101,8.489038,8.337128,8.155233,8.090619", \ "9.875996,9.616778,9.369917,9.024120,8.785476,8.609801,8.507389"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.026203,7.794887,7.521030,7.326831,7.200757,7.050829,7.073070", \ "7.981806,7.744249,7.533579,7.313903,7.185196,7.049865,6.942375", \ "7.973318,7.807770,7.513992,7.304325,7.203797,7.108559,6.853804", \ "7.998698,7.800550,7.505813,7.292835,7.172565,7.078135,7.045558", \ "8.058582,7.821027,7.603868,7.356433,7.216555,7.084606,6.844355", \ "8.390472,8.175335,7.882422,7.592250,7.440287,7.257449,7.191423", \ "8.892224,8.666667,8.390048,8.028876,7.826163,7.581590,7.511524"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.791271,8.556491,8.280675,8.080259,7.962749,7.787201,7.846584", \ "8.771414,8.508248,8.297726,8.075117,7.922251,7.814900,7.845312", \ "8.737370,8.492250,8.278307,8.066075,7.929496,7.874439,7.619633", \ "8.768138,8.583577,8.288778,8.073230,7.952155,7.860756,7.830068", \ "8.883972,8.646797,8.349156,8.166159,8.031062,7.912539,7.672844", \ "9.286010,9.071435,8.759175,8.489120,8.339223,8.155293,8.090727", \ "9.876052,9.616843,9.369988,9.024183,8.785425,8.576204,8.507447"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.174958,8.943845,8.779289,8.497493,8.400017,8.422093,8.300820", \ "9.145647,8.976852,8.705870,8.495581,8.411470,8.401068,8.131751", \ "9.108261,8.921425,8.729608,8.455711,8.348950,8.309062,8.354788", \ "9.108159,8.970197,8.713254,8.488128,8.392526,8.391120,8.328277", \ "9.180252,8.930948,8.745555,8.506448,8.454772,8.447987,8.168178", \ "9.195677,9.029052,8.836025,8.594085,8.492592,8.456434,8.327139", \ "9.316102,9.160675,8.902195,8.671633,8.543759,8.485429,8.471299"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.174577,8.943400,8.780314,8.497011,8.399238,8.426664,8.300109", \ "9.145282,8.976445,8.705630,8.495079,8.398925,8.400525,8.131169", \ "9.107833,8.920970,8.729156,8.455338,8.348121,8.308222,8.354175", \ "9.107743,8.969762,8.713525,8.487669,8.390287,8.390611,8.327685", \ "9.179876,8.933160,8.746284,8.505520,8.454307,8.447911,8.166916", \ "9.195333,9.028680,8.835647,8.593694,8.492070,8.456102,8.326606", \ "9.315801,9.160315,8.901886,8.671220,8.543413,8.485074,8.470805"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.173164,8.943414,8.773822,8.529391,8.404119,8.420722,8.299428", \ "9.144413,8.976088,8.705121,8.495095,8.417378,8.403327,8.411242", \ "9.107681,8.920686,8.728864,8.452991,8.354305,8.308293,8.353504", \ "9.145598,8.969520,8.696878,8.489835,8.416560,8.389758,8.327042", \ "9.179158,8.930360,8.750678,8.507741,8.453983,8.444583,8.166313", \ "9.206088,9.028458,8.835407,8.593408,8.493654,8.452765,8.492279", \ "9.315685,9.160845,8.901672,8.672478,8.542757,8.483623,8.470286"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.144228,8.939727,8.741133,8.526857,8.400913,8.419198,8.293120", \ "9.129864,8.901312,8.703986,8.492983,8.404141,8.389891,8.124135", \ "9.052540,8.919599,8.727462,8.450746,8.342600,8.370480,8.347059", \ "9.106748,8.915843,8.712551,8.485600,8.379197,8.384541,8.320792", \ "9.177267,8.931828,8.741857,8.492126,8.451337,8.329717,8.429415", \ "9.200066,9.027508,8.834091,8.591736,8.493958,8.444949,8.489017", \ "9.321676,9.161045,8.900440,8.676458,8.538932,8.477232,8.464168"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.144705,8.940186,8.741629,8.527389,8.401595,8.419898,8.293276", \ "9.130298,8.901777,8.704484,8.493513,8.394923,8.390554,8.124885", \ "9.053000,8.920066,8.727957,8.451236,8.343461,8.317616,8.347849", \ "9.107221,8.916717,8.712730,8.486098,8.380330,8.385176,8.321516", \ "9.177713,8.932275,8.742301,8.492623,8.451892,8.330378,8.160955", \ "9.200499,9.027912,8.834493,8.592152,8.494399,8.445550,8.489622", \ "9.322007,9.161384,8.900764,8.676816,8.539366,8.477781,8.464770"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.144729,8.940213,8.741649,8.527407,8.401603,8.419875,8.345629", \ "9.130326,8.901802,8.704504,8.493531,8.394821,8.390569,8.124887", \ "9.053025,8.920089,8.727976,8.451239,8.343453,8.317645,8.347849", \ "9.107243,8.916715,8.712740,8.486115,8.380285,8.385186,8.321525", \ "9.177733,8.932298,8.742321,8.492640,8.451903,8.330383,8.430102", \ "9.200497,9.027933,8.834513,8.592171,8.494424,8.445546,8.489644", \ "9.322053,9.161407,8.900784,8.676836,8.539378,8.477785,8.464780"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.172729,8.942965,8.773346,8.529062,8.403516,8.424487,8.298663", \ "9.143983,8.975635,8.704654,8.494601,8.416301,8.402701,8.410599", \ "9.107235,8.920231,8.728397,8.452559,8.355007,8.307709,8.352725", \ "9.145485,8.969062,8.696419,8.489449,8.415413,8.389135,8.326283", \ "9.178723,8.929910,8.750273,8.507238,8.453446,8.443939,8.166279", \ "9.205781,9.028035,8.834975,8.592956,8.493123,8.452214,8.491527", \ "9.315315,9.160453,8.901288,8.672041,8.542297,8.483079,8.469599"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("9.144835,8.940324,8.741756,8.527497,8.401634,8.417635,8.293978", \ "9.130414,8.901916,8.704610,8.493627,8.404689,8.390646,8.125004", \ "9.053134,8.920202,8.728079,8.451359,8.343438,8.371187,8.347925", \ "9.107338,8.917145,8.712939,8.486217,8.380151,8.385275,8.321622", \ "9.177825,8.932398,8.742427,8.492730,8.451979,8.330444,8.161097", \ "9.200547,9.028026,8.834610,8.592270,8.494540,8.445618,8.489773", \ "9.322150,9.161487,8.900880,8.676929,8.539448,8.477831,8.464867"); } rise_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.099437,0.102426,0.105395,0.110850,0.120736,0.139324,0.175947", \ "0.100916,0.103903,0.106867,0.112327,0.122213,0.140798,0.177428", \ "0.106073,0.109062,0.112028,0.117485,0.127372,0.145953,0.182578", \ "0.111992,0.114981,0.117944,0.123403,0.133297,0.151876,0.188515", \ "0.116637,0.119623,0.122587,0.128052,0.137928,0.156508,0.193147", \ "0.119710,0.122695,0.125651,0.131116,0.141003,0.159574,0.196209", \ "0.120813,0.123796,0.126762,0.132215,0.142100,0.160666,0.197308"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.119898,0.123445,0.126621,0.133436,0.149504,0.184599,0.256709", \ "0.121376,0.124923,0.128100,0.134916,0.150985,0.186080,0.258185", \ "0.126375,0.129928,0.133098,0.139913,0.155983,0.191076,0.263185", \ "0.131998,0.135544,0.138717,0.145533,0.161598,0.196695,0.268810", \ "0.136263,0.139806,0.142976,0.149783,0.165855,0.200932,0.273040", \ "0.139112,0.142652,0.145818,0.152644,0.168663,0.203752,0.275869", \ "0.140558,0.144095,0.147292,0.154094,0.170075,0.205151,0.277262"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.005765,0.007593,0.009251,0.012532,0.019461,0.034417,0.065951", \ "0.005764,0.007594,0.009248,0.012529,0.019460,0.034417,0.065959", \ "0.005762,0.007592,0.009250,0.012528,0.019458,0.034417,0.065956", \ "0.005765,0.007591,0.009247,0.012529,0.019457,0.034416,0.065960", \ "0.005766,0.007592,0.009248,0.012529,0.019459,0.034417,0.065964", \ "0.005768,0.007594,0.009247,0.012531,0.019462,0.034408,0.065955", \ "0.005770,0.007595,0.009250,0.012533,0.019462,0.034415,0.065966"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007671,0.011264,0.014240,0.021143,0.037718,0.072199,0.141380", \ "0.007670,0.011265,0.014241,0.021144,0.037718,0.072199,0.141376", \ "0.007678,0.011270,0.014237,0.021143,0.037719,0.072201,0.141379", \ "0.007673,0.011267,0.014237,0.021144,0.037718,0.072202,0.141380", \ "0.007671,0.011267,0.014240,0.021145,0.037719,0.072201,0.141381", \ "0.007677,0.011271,0.014244,0.021146,0.037718,0.072198,0.141379", \ "0.007692,0.011282,0.014253,0.021153,0.037720,0.072200,0.141382"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124717,0.128149,0.131224,0.137996,0.154095,0.189259,0.261460", \ "0.126380,0.129808,0.132887,0.139663,0.155758,0.190921,0.263125", \ "0.132164,0.135593,0.138672,0.145448,0.161548,0.196721,0.268931", \ "0.141668,0.145092,0.148174,0.154947,0.171046,0.206215,0.278422", \ "0.156280,0.159711,0.162785,0.169589,0.185673,0.220823,0.293017", \ "0.176752,0.180122,0.183208,0.189918,0.205926,0.240923,0.313004", \ "0.200983,0.204164,0.207173,0.213654,0.229215,0.264005,0.335975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007633,0.011064,0.013987,0.021007,0.037685,0.072189,0.141365", \ "0.007630,0.011065,0.013991,0.021006,0.037684,0.072191,0.141364", \ "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ "0.007743,0.011190,0.014093,0.021051,0.037693,0.072193,0.141362", \ "0.008127,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124717,0.128150,0.131224,0.137996,0.154096,0.189259,0.261461", \ "0.126381,0.129809,0.132887,0.139664,0.155759,0.190921,0.263125", \ "0.132163,0.135593,0.138674,0.145447,0.161550,0.196721,0.268931", \ "0.141667,0.145092,0.148173,0.154946,0.171048,0.206215,0.278422", \ "0.156281,0.159712,0.162785,0.169610,0.185675,0.220824,0.293018", \ "0.176753,0.180122,0.183207,0.189918,0.205926,0.240923,0.313004", \ "0.200983,0.204164,0.207174,0.213654,0.229215,0.264005,0.335975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007632,0.011064,0.013987,0.021007,0.037685,0.072189,0.141365", \ "0.007629,0.011065,0.013991,0.021005,0.037684,0.072192,0.141364", \ "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ "0.007743,0.011190,0.014093,0.021051,0.037693,0.072193,0.141362", \ "0.008127,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124719,0.128149,0.131223,0.137996,0.154096,0.189261,0.261459", \ "0.126381,0.129808,0.132886,0.139663,0.155759,0.190920,0.263125", \ "0.132164,0.135592,0.138672,0.145448,0.161548,0.196722,0.268931", \ "0.141668,0.145092,0.148174,0.154946,0.171047,0.206214,0.278422", \ "0.156281,0.159712,0.162787,0.169590,0.185674,0.220823,0.293017", \ "0.176752,0.180123,0.183207,0.189918,0.205927,0.240922,0.313004", \ "0.200983,0.204163,0.207173,0.213654,0.229215,0.264006,0.335974"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007634,0.011064,0.013987,0.021007,0.037685,0.072188,0.141361", \ "0.007630,0.011065,0.013991,0.021006,0.037684,0.072191,0.141364", \ "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ "0.007743,0.011190,0.014093,0.021051,0.037693,0.072193,0.141362", \ "0.008127,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124691,0.128119,0.131197,0.137972,0.154071,0.189236,0.261440", \ "0.126355,0.129785,0.132863,0.139636,0.155736,0.190899,0.263100", \ "0.132135,0.135568,0.138645,0.145422,0.161525,0.196699,0.268907", \ "0.141642,0.145072,0.148149,0.154926,0.171026,0.206194,0.278403", \ "0.156215,0.159643,0.162728,0.169502,0.185581,0.220733,0.292920", \ "0.176632,0.180029,0.183096,0.189811,0.205753,0.240764,0.312846", \ "0.200796,0.204087,0.207095,0.213524,0.229160,0.263890,0.335806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072188,0.141363", \ "0.007628,0.011064,0.013990,0.021006,0.037686,0.072192,0.141365", \ "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ "0.007630,0.011064,0.013990,0.021004,0.037686,0.072189,0.141363", \ "0.007637,0.011066,0.013987,0.021003,0.037684,0.072189,0.141363", \ "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ "0.008123,0.011618,0.014451,0.021202,0.037721,0.072199,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124690,0.128119,0.131197,0.137972,0.154072,0.189239,0.261441", \ "0.126354,0.129785,0.132863,0.139636,0.155738,0.190899,0.263101", \ "0.132135,0.135567,0.138645,0.145422,0.161525,0.196697,0.268909", \ "0.141642,0.145072,0.148149,0.154926,0.171026,0.206192,0.278404", \ "0.156215,0.159643,0.162728,0.169502,0.185579,0.220730,0.292921", \ "0.176632,0.180029,0.183096,0.189811,0.205745,0.240763,0.312846", \ "0.200796,0.204087,0.207075,0.213524,0.229158,0.263888,0.335806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072189,0.141369", \ "0.007629,0.011064,0.013990,0.021006,0.037686,0.072192,0.141366", \ "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ "0.007630,0.011064,0.013990,0.021004,0.037686,0.072190,0.141363", \ "0.007637,0.011066,0.013987,0.021003,0.037684,0.072190,0.141370", \ "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ "0.008123,0.011618,0.014451,0.021202,0.037721,0.072200,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124690,0.128119,0.131197,0.137972,0.154071,0.189240,0.261438", \ "0.126354,0.129785,0.132863,0.139636,0.155736,0.190899,0.263104", \ "0.132135,0.135567,0.138645,0.145422,0.161525,0.196699,0.268907", \ "0.141642,0.145072,0.148149,0.154926,0.171026,0.206194,0.278402", \ "0.156215,0.159643,0.162728,0.169502,0.185581,0.220732,0.292919", \ "0.176632,0.180029,0.183096,0.189811,0.205753,0.240764,0.312846", \ "0.200796,0.204087,0.207075,0.213524,0.229160,0.263888,0.335806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072188,0.141359", \ "0.007629,0.011064,0.013989,0.021006,0.037686,0.072192,0.141363", \ "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ "0.007630,0.011064,0.013990,0.021004,0.037686,0.072189,0.141363", \ "0.007637,0.011066,0.013987,0.021003,0.037684,0.072190,0.141370", \ "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ "0.008123,0.011618,0.014451,0.021202,0.037721,0.072199,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124719,0.128149,0.131224,0.137996,0.154096,0.189261,0.261459", \ "0.126381,0.129808,0.132887,0.139663,0.155759,0.190920,0.263124", \ "0.132164,0.135592,0.138674,0.145448,0.161549,0.196721,0.268931", \ "0.141666,0.145094,0.148175,0.154946,0.171047,0.206214,0.278421", \ "0.156281,0.159711,0.162784,0.169610,0.185674,0.220823,0.293018", \ "0.176752,0.180122,0.183207,0.189918,0.205927,0.240922,0.313004", \ "0.200985,0.204163,0.207173,0.213654,0.229215,0.264004,0.335975"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007634,0.011064,0.013987,0.021007,0.037685,0.072188,0.141361", \ "0.007630,0.011065,0.013991,0.021006,0.037684,0.072191,0.141364", \ "0.007629,0.011065,0.013992,0.021007,0.037686,0.072190,0.141368", \ "0.007632,0.011065,0.013991,0.021005,0.037685,0.072191,0.141360", \ "0.007639,0.011067,0.013988,0.021004,0.037685,0.072191,0.141365", \ "0.007743,0.011190,0.014093,0.021051,0.037693,0.072192,0.141362", \ "0.008121,0.011616,0.014451,0.021201,0.037720,0.072201,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.124690,0.128119,0.131197,0.137972,0.154072,0.189236,0.261440", \ "0.126354,0.129785,0.132863,0.139636,0.155738,0.190899,0.263100", \ "0.132135,0.135568,0.138645,0.145422,0.161525,0.196699,0.268907", \ "0.141642,0.145072,0.148149,0.154926,0.171026,0.206194,0.278402", \ "0.156215,0.159643,0.162728,0.169502,0.185579,0.220733,0.292920", \ "0.176632,0.180029,0.183096,0.189811,0.205753,0.240764,0.312846", \ "0.200796,0.204087,0.207075,0.213524,0.229161,0.263890,0.335806"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007634,0.011063,0.013986,0.021005,0.037684,0.072188,0.141363", \ "0.007629,0.011064,0.013990,0.021006,0.037686,0.072192,0.141365", \ "0.007629,0.011064,0.013991,0.021005,0.037687,0.072190,0.141367", \ "0.007630,0.011064,0.013990,0.021004,0.037686,0.072189,0.141363", \ "0.007637,0.011066,0.013987,0.021003,0.037684,0.072189,0.141363", \ "0.007742,0.011190,0.014094,0.021051,0.037694,0.072193,0.141362", \ "0.008123,0.011618,0.014451,0.021202,0.037721,0.072199,0.141363"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201767,0.205331,0.208558,0.215430,0.231531,0.266659,0.338838", \ "0.203140,0.206682,0.209895,0.216770,0.232882,0.268021,0.340217", \ "0.209293,0.212818,0.216021,0.222886,0.239022,0.274156,0.346349", \ "0.222282,0.225803,0.228997,0.235867,0.251987,0.287134,0.359328", \ "0.241329,0.244811,0.248007,0.254868,0.271055,0.306204,0.378350", \ "0.262736,0.266259,0.269446,0.276249,0.292466,0.327484,0.399750", \ "0.285760,0.289279,0.292467,0.299252,0.315351,0.350465,0.422666"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007718,0.011351,0.014344,0.021222,0.037757,0.072219,0.141388", \ "0.007684,0.011300,0.014294,0.021196,0.037752,0.072219,0.141389", \ "0.007662,0.011272,0.014261,0.021180,0.037745,0.072216,0.141390", \ "0.007658,0.011258,0.014251,0.021174,0.037745,0.072216,0.141389", \ "0.007657,0.011252,0.014243,0.021169,0.037746,0.072218,0.141389", \ "0.007651,0.011252,0.014239,0.021168,0.037743,0.072215,0.141390", \ "0.007653,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201767,0.205331,0.208558,0.215430,0.231531,0.266655,0.338842", \ "0.203141,0.206682,0.209895,0.216771,0.232882,0.268021,0.340217", \ "0.209293,0.212818,0.216021,0.222886,0.239022,0.274156,0.346350", \ "0.222283,0.225803,0.228997,0.235867,0.251987,0.287134,0.359329", \ "0.241330,0.244812,0.248007,0.254868,0.271056,0.306204,0.378351", \ "0.262736,0.266259,0.269446,0.276249,0.292466,0.327484,0.399750", \ "0.285761,0.289280,0.292468,0.299252,0.315352,0.350465,0.422667"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007718,0.011351,0.014344,0.021222,0.037757,0.072222,0.141390", \ "0.007684,0.011300,0.014294,0.021197,0.037752,0.072219,0.141389", \ "0.007662,0.011272,0.014261,0.021180,0.037746,0.072216,0.141390", \ "0.007658,0.011258,0.014251,0.021174,0.037745,0.072216,0.141389", \ "0.007657,0.011252,0.014243,0.021169,0.037746,0.072218,0.141389", \ "0.007651,0.011252,0.014239,0.021168,0.037743,0.072215,0.141390", \ "0.007653,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201762,0.205326,0.208552,0.215423,0.231526,0.266654,0.338838", \ "0.203136,0.206677,0.209890,0.216766,0.232877,0.268017,0.340213", \ "0.209288,0.212813,0.216016,0.222882,0.239017,0.274152,0.346346", \ "0.222278,0.225799,0.228992,0.235863,0.251982,0.287131,0.359325", \ "0.241325,0.244844,0.248003,0.254864,0.271052,0.306198,0.378347", \ "0.262731,0.266255,0.269442,0.276245,0.292462,0.327480,0.399749", \ "0.285757,0.289276,0.292464,0.299248,0.315348,0.350462,0.422664"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007718,0.011351,0.014348,0.021221,0.037757,0.072219,0.141389", \ "0.007684,0.011299,0.014294,0.021196,0.037750,0.072219,0.141390", \ "0.007662,0.011272,0.014261,0.021180,0.037746,0.072216,0.141390", \ "0.007658,0.011258,0.014248,0.021173,0.037746,0.072216,0.141389", \ "0.007657,0.011252,0.014244,0.021169,0.037745,0.072212,0.141390", \ "0.007653,0.011252,0.014239,0.021168,0.037743,0.072216,0.141392", \ "0.007652,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266636,0.338826", \ "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378334", \ "0.262716,0.266239,0.269427,0.276231,0.292448,0.327468,0.399738", \ "0.285745,0.289261,0.292449,0.299235,0.315335,0.350450,0.422653"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141389", \ "0.007683,0.011299,0.014296,0.021196,0.037753,0.072219,0.141389", \ "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141388", \ "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266636,0.338830", \ "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378334", \ "0.262716,0.266239,0.269427,0.276231,0.292448,0.327468,0.399738", \ "0.285745,0.289261,0.292449,0.299235,0.315335,0.350449,0.422653"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141390", \ "0.007683,0.011299,0.014296,0.021196,0.037753,0.072219,0.141389", \ "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141388", \ "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266636,0.338829", \ "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378336", \ "0.262716,0.266239,0.269427,0.276231,0.292448,0.327468,0.399738", \ "0.285745,0.289261,0.292449,0.299235,0.315335,0.350449,0.422653"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141393", \ "0.007683,0.011299,0.014296,0.021195,0.037753,0.072219,0.141389", \ "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141389", \ "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201762,0.205326,0.208553,0.215425,0.231526,0.266651,0.338838", \ "0.203136,0.206677,0.209890,0.216766,0.232877,0.268017,0.340214", \ "0.209288,0.212813,0.216016,0.222882,0.239017,0.274152,0.346346", \ "0.222278,0.225799,0.228992,0.235863,0.251982,0.287131,0.359325", \ "0.241325,0.244844,0.248004,0.254864,0.271052,0.306198,0.378347", \ "0.262732,0.266255,0.269442,0.276245,0.292462,0.327480,0.399749", \ "0.285757,0.289276,0.292464,0.299248,0.315348,0.350462,0.422664"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007718,0.011351,0.014348,0.021223,0.037757,0.072222,0.141389", \ "0.007684,0.011299,0.014294,0.021196,0.037750,0.072219,0.141390", \ "0.007662,0.011272,0.014261,0.021180,0.037746,0.072216,0.141390", \ "0.007658,0.011258,0.014248,0.021173,0.037746,0.072216,0.141389", \ "0.007657,0.011252,0.014244,0.021169,0.037745,0.072212,0.141390", \ "0.007653,0.011252,0.014239,0.021168,0.037743,0.072216,0.141392", \ "0.007652,0.011248,0.014237,0.021164,0.037742,0.072213,0.141387"); } } timing () { related_pin : "RN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.201744,0.205309,0.208536,0.215408,0.231511,0.266639,0.338826", \ "0.203119,0.206660,0.209874,0.216750,0.232861,0.268002,0.340201", \ "0.209271,0.212796,0.216000,0.222865,0.239001,0.274136,0.346334", \ "0.222261,0.225782,0.228977,0.235847,0.251967,0.287117,0.359313", \ "0.241309,0.244791,0.247987,0.254850,0.271036,0.306187,0.378341", \ "0.262716,0.266239,0.269427,0.276231,0.292448,0.327467,0.399738", \ "0.285745,0.289261,0.292449,0.299235,0.315335,0.350449,0.422653"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007717,0.011350,0.014344,0.021220,0.037757,0.072222,0.141389", \ "0.007683,0.011299,0.014296,0.021196,0.037753,0.072219,0.141389", \ "0.007667,0.011272,0.014260,0.021180,0.037745,0.072216,0.141390", \ "0.007657,0.011257,0.014251,0.021173,0.037745,0.072216,0.141388", \ "0.007656,0.011251,0.014242,0.021169,0.037746,0.072215,0.141390", \ "0.007651,0.011251,0.014238,0.021168,0.037743,0.072216,0.141392", \ "0.007654,0.011247,0.014236,0.021164,0.037742,0.072213,0.141387"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("6.920952,6.960731,7.034262,7.364637,7.494302,7.555077,7.578615", \ "6.909779,6.951173,7.044557,7.352012,7.488713,7.457981,7.576194", \ "6.902894,6.950866,7.024065,7.344587,7.485576,7.454914,7.551781", \ "6.971451,7.007875,7.094366,7.402335,7.547898,7.593160,7.612909", \ "7.133005,7.166673,7.259460,7.571768,7.700994,7.710873,7.682438", \ "7.454854,7.495538,7.574792,7.872135,8.000843,8.059751,8.086631", \ "7.902675,7.950649,8.035394,8.328146,8.475247,8.438562,8.555984"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.785347,8.617490,8.370445,8.113165,7.997792,7.998732,7.984421", \ "8.738780,8.592241,8.332031,8.115137,7.974878,8.016923,7.894151", \ "8.767832,8.578638,8.340759,8.076379,8.017856,8.004444,7.816758", \ "8.821718,8.632685,8.387228,8.141380,8.028861,8.007336,8.004637", \ "8.944898,8.810686,8.564032,8.300845,8.181401,8.208343,8.179254", \ "9.280434,9.076866,8.829551,8.609373,8.492795,8.503355,8.474357", \ "9.771795,9.528856,9.355152,9.097120,8.948859,8.954057,8.938905"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.030394,7.787510,7.569084,7.329542,7.224959,7.142210,6.998549", \ "7.991376,7.739521,7.525350,7.308737,7.176952,6.990255,6.822587", \ "7.973949,7.803624,7.505774,7.306857,7.175867,7.054519,7.071956", \ "7.999310,7.796401,7.500513,7.286331,7.160677,7.016864,6.927713", \ "8.062820,7.816792,7.595759,7.343481,7.206568,7.025249,7.063166", \ "8.391452,8.170942,7.862106,7.601419,7.458959,7.197728,7.137591", \ "8.936752,8.652229,8.375157,8.047734,7.822111,7.605185,7.468578"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.030088,7.787175,7.568747,7.328909,7.224476,7.141703,6.997948", \ "8.005197,7.739166,7.525014,7.290940,7.176575,6.989766,6.821989", \ "7.973749,7.803308,7.505365,7.306495,7.175494,7.054063,7.071363", \ "7.999008,7.796075,7.500561,7.285987,7.160300,7.016390,6.927143", \ "8.062443,7.816487,7.595489,7.341774,7.205884,7.024788,7.062611", \ "8.391210,8.170719,7.861066,7.601129,7.458624,7.197311,7.137054", \ "8.936523,8.652062,8.374947,8.047457,7.821821,7.604810,7.468108"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.030014,7.787189,7.568781,7.329065,7.224534,7.141672,7.083369", \ "7.982318,7.739244,7.525064,7.314144,7.176562,6.989845,6.822081", \ "7.973623,7.803351,7.505425,7.306476,7.175473,7.054709,7.071480", \ "7.999009,7.796059,7.499413,7.285962,7.160291,7.016486,6.927281", \ "8.062443,7.816406,7.595364,7.343166,7.206022,7.024878,7.062747", \ "8.390756,8.170631,7.861037,7.601127,7.458587,7.197416,7.136935", \ "8.936001,8.651174,8.374929,8.047461,7.821803,7.604781,7.468150"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.794355,8.551097,8.332594,8.071559,7.988406,7.905594,7.760729", \ "8.763787,8.502971,8.294745,8.076567,7.850362,7.753821,7.799780", \ "8.737013,8.567080,8.268879,8.065239,7.895634,7.854126,7.835680", \ "8.767599,8.577881,8.279338,8.064320,7.959958,7.833008,7.710317", \ "8.887114,8.641439,8.418501,8.167663,8.021260,7.851943,7.889670", \ "9.285659,9.064921,8.744372,8.507169,8.207787,8.192993,8.008907", \ "9.879533,9.681029,9.359209,9.011339,8.812914,8.588853,8.451034"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.794685,8.551418,8.332951,8.071969,7.988812,7.906131,7.761780", \ "8.767563,8.503286,8.295092,8.076974,7.857131,7.754342,7.805809", \ "8.737139,8.567284,8.269226,8.065479,7.896065,7.854150,7.836325", \ "8.768467,8.578214,8.279659,8.064692,7.956365,7.835163,7.710883", \ "8.887429,8.641765,8.418812,8.168017,8.021638,7.852433,7.890311", \ "9.285954,9.065215,8.744659,8.507513,8.335315,8.193853,8.009563", \ "9.879745,9.685869,9.360589,9.011600,8.813379,8.603934,8.451583"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.794701,8.551440,8.332971,8.071980,7.988919,7.906081,7.761270", \ "8.771175,8.503312,8.295389,8.076992,7.850687,7.754358,7.586070", \ "8.737150,8.567302,8.269245,8.065491,7.896077,7.854578,7.836438", \ "8.768498,8.578235,8.279678,8.064707,7.955688,7.833785,7.710983", \ "8.887446,8.641774,8.418831,8.168030,8.021703,7.852378,7.890403", \ "9.285964,9.065222,8.744663,8.507484,8.208135,8.193493,8.009463", \ "9.879764,9.682658,9.360598,9.011612,8.813271,8.589297,8.451602"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.029724,7.786867,7.568397,7.328679,7.224111,7.141129,7.086676", \ "7.981813,7.738878,7.524664,7.315329,7.176136,6.989316,6.821401", \ "7.973335,7.803018,7.505018,7.306116,7.175066,7.053528,7.070771", \ "7.998698,7.795661,7.498685,7.285604,7.159864,7.015958,6.926597", \ "8.062151,7.816263,7.594716,7.341408,7.205601,7.024344,7.062069", \ "8.390470,8.170414,7.860739,7.600801,7.458191,7.279721,7.136265", \ "8.892212,8.651013,8.374663,8.047164,7.821441,7.604451,7.467124"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.794777,8.551526,8.333047,8.072037,7.988874,7.906255,7.761495", \ "8.771340,8.503391,8.295365,8.077069,7.857231,7.754455,7.800509", \ "8.737389,8.567517,8.269326,8.065690,7.896166,7.854744,7.836477", \ "8.768116,8.578310,8.279767,8.064778,7.960611,7.833597,7.711073", \ "8.887509,8.641845,8.418910,8.168106,8.021709,7.852535,7.890393", \ "9.286009,9.065283,8.744740,8.507573,8.348185,8.193546,8.009580", \ "9.879820,9.681398,9.360669,9.011676,8.813287,8.589361,8.451665"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.174980,9.008025,8.775795,8.481559,8.470418,8.362187,8.433926", \ "9.145649,8.972194,8.697145,8.483555,8.365945,8.375920,8.379542", \ "9.108266,8.916622,8.720973,8.445202,8.397929,8.294821,8.235192", \ "9.111693,8.965618,8.699696,8.472801,8.433496,8.280791,8.208176", \ "9.180269,8.953691,8.734880,8.544536,8.425460,8.391850,8.416319", \ "9.195672,9.024276,8.827350,8.578944,8.533540,8.532531,8.205687", \ "9.319586,9.147834,8.893337,8.696564,8.533795,8.442846,8.599595"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.174599,9.007576,8.775577,8.545345,8.469821,8.401668,8.179255", \ "9.145284,8.971759,8.696687,8.479778,8.407785,8.375379,8.379301", \ "9.107839,8.916176,8.720520,8.444747,8.397437,8.293980,8.234589", \ "9.111261,8.965178,8.699687,8.472343,8.433007,8.280261,8.207583", \ "9.179893,8.950643,8.734459,8.544100,8.424992,8.390661,8.415637", \ "9.195329,9.023902,8.826972,8.578553,8.533123,8.532054,8.205154", \ "9.319285,9.147459,8.893027,8.696235,8.533451,8.442488,8.599012"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.173185,8.940961,8.747888,8.536691,8.366733,8.360884,8.178519", \ "9.144415,8.971537,8.696185,8.517605,8.370296,8.374654,8.372181", \ "9.107687,8.915918,8.720233,8.444404,8.397031,8.294236,8.233926", \ "9.145532,8.964937,8.687943,8.512762,8.432398,8.279734,8.206946", \ "9.179174,8.941484,8.734313,8.543734,8.424600,8.422023,8.401721", \ "9.206074,9.023709,8.826735,8.578267,8.532760,8.531577,8.215779", \ "9.319169,9.148215,8.892816,8.695966,8.532806,8.441061,8.599780"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.147742,8.937384,8.773558,8.526719,8.364160,8.394119,8.172304", \ "9.129869,8.970362,8.695081,8.491442,8.416398,8.365114,8.374948", \ "9.056198,8.914839,8.718840,8.440879,8.394461,8.354794,8.227511", \ "9.110287,8.963753,8.686926,8.470286,8.429970,8.275702,8.394416", \ "9.177281,8.937280,8.733021,8.541923,8.429561,8.297045,8.418950", \ "9.200059,9.022615,8.825432,8.576604,8.530269,8.441583,8.210705", \ "9.321672,9.148900,8.891595,8.694304,8.529087,8.434681,8.343384"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.148218,8.937851,8.779309,8.527865,8.364768,8.394818,8.172700", \ "9.130303,8.970843,8.695578,8.492203,8.415440,8.365772,8.375739", \ "9.056658,8.915305,8.719334,8.441390,8.395015,8.355266,8.228282", \ "9.110760,8.964233,8.687384,8.470783,8.430549,8.276319,8.395067", \ "9.177727,8.937884,8.733470,8.542851,8.430314,8.297580,8.419536", \ "9.200491,9.023019,8.825833,8.577019,8.530732,8.442184,8.211283", \ "9.322002,9.149239,8.891917,8.694671,8.529520,8.435226,8.343973"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.148242,8.937875,8.778182,8.527800,8.364783,8.394781,8.277790", \ "9.130331,8.970865,8.695598,8.492289,8.415172,8.365787,8.375743", \ "9.056683,8.915328,8.719352,8.441408,8.395030,8.355295,8.228294", \ "9.110782,8.964253,8.687405,8.470801,8.430560,8.276331,8.395080", \ "9.177747,8.937906,8.733490,8.542874,8.430340,8.297577,8.408990", \ "9.200490,9.023040,8.825853,8.577037,8.530747,8.442182,8.211306", \ "9.322048,9.149263,8.891938,8.694687,8.529531,8.435230,8.343984"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.172751,8.940519,8.746523,8.480649,8.366178,8.399431,8.177754", \ "9.143986,8.971084,8.695718,8.517152,8.370511,8.374031,8.371524", \ "9.107241,8.915465,8.719766,8.443914,8.396493,8.293668,8.233147", \ "9.145419,8.964480,8.687486,8.512435,8.431855,8.279108,8.206188", \ "9.178739,8.941073,8.733859,8.543254,8.424064,8.421585,8.401039", \ "9.205766,9.023286,8.826304,8.577816,8.532262,8.530975,8.215051", \ "9.318799,9.147818,8.892432,8.695554,8.532345,8.440517,8.599067"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "RN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("9.148348,8.937990,8.779407,8.528048,8.364860,8.394872,8.173161", \ "9.130419,8.970974,8.695704,8.492083,8.416738,8.365869,8.375837", \ "9.056792,8.915442,8.719456,8.441511,8.395132,8.355501,8.228376", \ "9.110877,8.964355,8.687518,8.470903,8.430640,8.276433,8.395210", \ "9.177839,8.937955,8.733594,8.542722,8.430306,8.297514,8.419875", \ "9.200540,9.023133,8.825951,8.577138,8.530846,8.442253,8.211455", \ "9.322146,9.149343,8.892034,8.694769,8.529603,8.435281,8.344083"); } fall_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFS_X1 Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X1 *******************************************************************************************/ cell (SDFFS_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; } area : 6.650000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 107.724855; leakage_power () { when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; value : 83.200480; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; value : 99.291225; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & Q & !QN"; value : 98.663895; } leakage_power () { when : "!CK & !D & !SE & SI & !SN & Q & !QN"; value : 93.726149; } leakage_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; value : 109.816894; } leakage_power () { when : "!CK & !D & !SE & SI & SN & Q & !QN"; value : 109.189564; } leakage_power () { when : "!CK & !D & SE & !SI & !SN & Q & !QN"; value : 87.268808; } leakage_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; value : 103.359553; } leakage_power () { when : "!CK & !D & SE & !SI & SN & Q & !QN"; value : 102.732223; } leakage_power () { when : "!CK & !D & SE & SI & !SN & Q & !QN"; value : 96.970082; } leakage_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; value : 116.203538; } leakage_power () { when : "!CK & !D & SE & SI & SN & Q & !QN"; value : 110.440209; } leakage_power () { when : "!CK & D & !SE & !SI & !SN & Q & !QN"; value : 97.097341; } leakage_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; value : 116.330797; } leakage_power () { when : "!CK & D & !SE & !SI & SN & Q & !QN"; value : 110.567468; } leakage_power () { when : "!CK & D & !SE & SI & !SN & Q & !QN"; value : 102.486054; } leakage_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; value : 121.719510; } leakage_power () { when : "!CK & D & !SE & SI & SN & Q & !QN"; value : 115.956181; } leakage_power () { when : "!CK & D & SE & !SI & !SN & Q & !QN"; value : 101.215202; } leakage_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; value : 117.305837; } leakage_power () { when : "!CK & D & SE & !SI & SN & Q & !QN"; value : 116.678617; } leakage_power () { when : "!CK & D & SE & SI & !SN & Q & !QN"; value : 104.076511; } leakage_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; value : 123.309967; } leakage_power () { when : "!CK & D & SE & SI & SN & Q & !QN"; value : 117.546748; } leakage_power () { when : "CK & !D & !SE & !SI & !SN & Q & !QN"; value : 93.875023; } leakage_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; value : 94.814819; } leakage_power () { when : "CK & !D & !SE & !SI & SN & Q & !QN"; value : 107.859719; } leakage_power () { when : "CK & !D & !SE & SI & !SN & Q & !QN"; value : 104.400362; } leakage_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; value : 105.340158; } leakage_power () { when : "CK & !D & !SE & SI & SN & Q & !QN"; value : 118.385058; } leakage_power () { when : "CK & !D & SE & !SI & !SN & Q & !QN"; value : 97.943131; } leakage_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; value : 98.882817; } leakage_power () { when : "CK & !D & SE & !SI & SN & Q & !QN"; value : 111.927827; } leakage_power () { when : "CK & !D & SE & SI & !SN & Q & !QN"; value : 101.094015; } leakage_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; value : 107.168050; } leakage_power () { when : "CK & !D & SE & SI & SN & Q & !QN"; value : 115.080251; } leakage_power () { when : "CK & D & !SE & !SI & !SN & Q & !QN"; value : 101.221384; } leakage_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; value : 107.295419; } leakage_power () { when : "CK & D & !SE & !SI & SN & Q & !QN"; value : 115.207620; } leakage_power () { when : "CK & D & !SE & SI & !SN & Q & !QN"; value : 106.610207; } leakage_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; value : 112.684242; } leakage_power () { when : "CK & D & !SE & SI & SN & Q & !QN"; value : 120.596443; } leakage_power () { when : "CK & D & SE & !SI & !SN & Q & !QN"; value : 111.888975; } leakage_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; value : 112.828771; } leakage_power () { when : "CK & D & SE & !SI & SN & Q & !QN"; value : 125.873671; } leakage_power () { when : "CK & D & SE & SI & !SN & Q & !QN"; value : 108.200653; } leakage_power () { when : "CK & D & SE & SI & SN & !Q & QN"; value : 114.274699; } leakage_power () { when : "CK & D & SE & SI & SN & Q & !QN"; value : 122.186889; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.149948; fall_capacitance : 1.098754; rise_capacitance : 1.149948; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.023109,-0.009854,-0.005062", \ "-0.030215,-0.016419,-0.009884", \ "0.115202,0.129284,0.135589"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.011324,-0.002673,-0.004727", \ "-0.012273,-0.004993,-0.010483", \ "0.063724,0.069932,0.055961"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.074911,0.069138,0.084164", \ "0.092551,0.086823,0.101399", \ "0.135282,0.129080,0.143076"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.065245,0.050986,0.044992", \ "0.075897,0.061759,0.055344", \ "0.083798,0.069721,0.063439"); } } internal_power () { when : "!CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.001027,6.978288,6.948384,6.959771,7.104673,7.460729,8.034620"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.983992,4.947688,4.909185,4.918651,5.057046,5.389003,5.935939"); } } internal_power () { when : "!CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.115322,7.092838,7.063020,7.074214,7.218573,7.575514,8.140967"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.157611,5.120705,5.083276,5.093358,5.232117,5.561490,6.109008"); } } internal_power () { when : "!CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.078230,7.055704,7.025976,7.037057,7.182002,7.537652,8.110655"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.002724,4.966878,4.927771,4.938116,5.078406,5.407058,5.954690"); } } internal_power () { when : "!CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.000602,6.977864,6.947946,6.959302,7.104158,7.459957,8.033954"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.987465,4.951154,4.912574,4.922124,5.060098,5.397530,5.939887"); } } internal_power () { when : "!CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.114893,7.087852,7.062580,7.073748,7.218041,7.574931,8.140511"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.161091,5.124309,5.086764,5.096830,5.235625,5.564996,6.112620"); } } internal_power () { when : "!CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.077797,7.055275,7.025535,7.036590,7.181506,7.537061,8.109988"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.006195,4.970346,4.931243,4.941588,5.080040,5.410643,5.958221"); } } internal_power () { when : "!CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716966,0.693181,0.681578,0.678010,0.675953,0.674954,0.673921"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604300,-0.610126,-0.615550,-0.619110,-0.622282,-0.623974,-0.625969"); } } internal_power () { when : "!CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716546,0.692756,0.681161,0.677591,0.675085,0.674559,0.672953"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605611,-0.611432,-0.616845,-0.620404,-0.623138,-0.625265,-0.627257"); } } internal_power () { when : "!CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716950,0.693160,0.681565,0.677995,0.675488,0.674961,0.673354"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604766,-0.610586,-0.615999,-0.619557,-0.622289,-0.624414,-0.626402"); } } internal_power () { when : "!CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650574,0.657673,0.659794,0.659227,0.658876,0.658269,0.658369"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424074,-0.489914,-0.546501,-0.568136,-0.580274,-0.587532,-0.593137"); } } internal_power () { when : "!CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650999,0.658232,0.660370,0.659374,0.659468,0.658857,0.658966"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422520,-0.488345,-0.545174,-0.566746,-0.578766,-0.586397,-0.592100"); } } internal_power () { when : "!CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650474,0.657571,0.659696,0.659129,0.658384,0.658182,0.657785"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424561,-0.490399,-0.546979,-0.568612,-0.580355,-0.588003,-0.593604"); } } internal_power () { when : "CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.315463,3.293072,3.265439,3.280494,3.427765,3.775209,4.338326"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.525975,1.490175,1.453044,1.468532,1.609702,1.940223,2.483262"); } } internal_power () { when : "CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.379556,3.356731,3.326772,3.340645,3.485310,3.831513,4.389548"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.556719,1.520363,1.483250,1.499205,1.642323,1.974773,2.520204"); } } internal_power () { when : "CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.314351,3.291486,3.263537,3.278668,3.425928,3.773355,4.336463"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.594917,1.559701,1.522827,1.536360,1.677313,2.008451,2.551981"); } } internal_power () { when : "CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.313165,3.291221,3.263118,3.278144,3.425291,3.772732,4.335712"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526023,1.490210,1.453033,1.468668,1.609652,1.940533,2.483415"); } } internal_power () { when : "CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.379117,3.356474,3.326339,3.340186,3.484800,3.830937,4.388862"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.560190,1.523821,1.486703,1.502663,1.645763,1.978236,2.523821"); } } internal_power () { when : "CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.313886,3.291000,3.263108,3.278211,3.425339,3.772786,4.335674"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.598388,1.563149,1.526296,1.539818,1.680698,2.011912,2.555711"); } } internal_power () { when : "CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717113,0.692689,0.681168,0.677505,0.675461,0.674459,0.673225"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605896,-0.611637,-0.617097,-0.620764,-0.623956,-0.625653,-0.628087"); } } internal_power () { when : "CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716546,0.692768,0.681159,0.677593,0.675521,0.674528,0.673491"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605373,-0.611199,-0.616636,-0.620201,-0.623342,-0.625067,-0.627063"); } } internal_power () { when : "CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716219,0.692631,0.681037,0.677466,0.675431,0.674442,0.673147"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608606,-0.611946,-0.617357,-0.620918,-0.624123,-0.625775,-0.628358"); } } internal_power () { when : "CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650425,0.657576,0.659662,0.659076,0.658716,0.658109,0.657989"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424722,-0.490576,-0.547259,-0.568976,-0.580947,-0.588554,-0.594347"); } } internal_power () { when : "CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650514,0.657793,0.660219,0.659633,0.659230,0.658657,0.658547"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423262,-0.489133,-0.545979,-0.567496,-0.579345,-0.586933,-0.592621"); } } internal_power () { when : "CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650444,0.657490,0.659612,0.659046,0.658696,0.658092,0.657965"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424951,-0.490799,-0.547392,-0.569020,-0.581154,-0.588404,-0.594494"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.002657; fall_capacitance : 1.894106; rise_capacitance : 2.002657; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.018506,-0.010308,-0.013038", \ "-0.036480,-0.028541,-0.031204", \ "0.103679,0.109508,0.095334"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.014346,-0.002225,-0.002353", \ "-0.019768,-0.007065,-0.009071", \ "0.065876,0.079554,0.068190"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.077139,0.063067,0.074044", \ "0.096933,0.082918,0.091905", \ "0.133129,0.119457,0.130845"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.085679,0.079635,0.094283", \ "0.093230,0.087529,0.101874", \ "0.095322,0.089499,0.103699"); } } internal_power () { when : "!CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.567721,2.530666,2.575916,2.774706,3.145204,3.741242,4.599012"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.039605,0.008483,0.009703,0.161443,0.535134,1.155370,2.046546"); } } internal_power () { when : "!CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.567275,2.530237,2.575497,2.774285,3.144018,3.740845,4.597606"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.038255,0.007182,0.008411,0.160148,0.530627,1.154084,2.045224"); } } internal_power () { when : "!CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.567705,2.530642,2.575901,2.774691,3.144423,3.741247,4.598008"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.039148,0.008020,0.009252,0.160991,0.531472,1.154931,2.046074"); } } internal_power () { when : "!CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.010792,7.937826,7.982826,8.318820,9.002138,10.106260,11.700940"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.483676,4.444009,4.426652,4.654632,5.292086,6.415712,8.041142"); } } internal_power () { when : "!CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.122823,8.050047,8.094778,8.430949,9.114080,10.213310,11.807120"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.657348,4.618403,4.601127,4.828340,5.463271,6.584653,8.214503"); } } internal_power () { when : "!CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.088686,8.015174,8.060369,8.396976,9.078827,10.183230,11.777000"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.502777,4.464125,4.445668,4.672759,5.309373,6.430387,8.059045"); } } internal_power () { when : "!CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.462975,7.432780,7.525287,7.804067,8.278786,8.981173,9.936078"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.381085,6.354186,6.291376,6.390594,6.739752,7.371523,8.299842"); } } internal_power () { when : "!CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.433975,7.401508,7.492639,7.771506,8.243118,8.942752,9.894970"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.327218,6.311315,6.286943,6.413902,6.776536,7.415416,8.340336"); } } internal_power () { when : "!CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.478059,7.445302,7.538761,7.817648,8.291354,8.994589,9.949480"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.475696,6.449395,6.386825,6.483751,6.835115,7.465103,8.392739"); } } internal_power () { when : "!CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.411982,2.376328,2.425769,2.629855,3.004055,3.605180,4.465557"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.139001,0.112413,0.116207,0.258073,0.622735,1.243849,2.132862"); } } internal_power () { when : "!CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.413091,2.379685,2.429220,2.633311,3.007602,3.608154,4.468736"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.167748,0.141102,0.144610,0.286309,0.650651,1.272133,2.160711"); } } internal_power () { when : "!CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.411881,2.376226,2.425671,2.629757,3.003566,3.605093,4.464977"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.138513,0.111928,0.115728,0.257596,0.622653,1.243376,2.132397"); } } internal_power () { when : "CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.567478,2.530112,2.575840,2.774838,3.144748,3.741100,4.597489"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.052512,0.022049,0.022802,0.173330,0.547884,1.168159,2.058919"); } } internal_power () { when : "CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.567612,2.530479,2.575759,2.774564,3.144733,3.741088,4.598360"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.039272,0.008048,0.009230,0.160901,0.531041,1.154995,2.046167"); } } internal_power () { when : "CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.567227,2.530075,2.575362,2.774169,3.144363,3.740735,4.597739"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.037820,0.006622,0.007861,0.158760,0.529569,1.153594,2.044203"); } } internal_power () { when : "CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.322182,4.247010,4.295501,4.638502,5.318633,6.411618,7.985018"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.986579,0.947159,0.935431,1.169122,1.807628,2.925195,4.539723"); } } internal_power () { when : "CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.384854,4.312581,4.361241,4.702654,5.379144,6.467951,8.039566"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.056535,1.016932,1.005538,1.241238,1.879113,2.991953,4.604477"); } } internal_power () { when : "CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.319059,4.246446,4.295075,4.638528,5.318647,6.411503,7.984594"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.094756,1.054609,1.041955,1.275134,1.913194,3.023038,4.634060"); } } internal_power () { when : "CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.021185,3.988687,4.079786,4.358490,4.832480,5.532967,6.485067"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.522028,2.506124,2.487631,2.613520,2.979635,3.618633,4.537048"); } } internal_power () { when : "CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.987736,3.955485,4.046278,4.325219,4.799142,5.500230,6.452337"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.580883,2.565544,2.547373,2.674495,3.041988,3.674583,4.600198"); } } internal_power () { when : "CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.015235,3.982802,4.074315,4.354102,4.827606,5.531394,6.486174"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.660943,2.634308,2.571394,2.669479,3.021853,3.651798,4.576247"); } } internal_power () { when : "CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.412466,2.379317,2.428814,2.632655,3.006981,3.606964,4.467453"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047737,-0.074617,-0.070459,0.072183,0.438570,1.060541,1.948235"); } } internal_power () { when : "CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.412818,2.379895,2.429126,2.632892,3.006988,3.607991,4.467929"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.167185,0.140549,0.144040,0.285673,0.650588,1.271744,2.160654"); } } internal_power () { when : "CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.411746,2.376433,2.425814,2.629514,3.003906,3.603915,4.464974"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.138209,0.111374,0.115276,0.257394,0.621871,1.243115,2.131599"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.899169; fall_capacitance : 0.863892; rise_capacitance : 0.899169; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.026346,-0.013584,-0.008538", \ "-0.029769,-0.016007,-0.010810", \ "0.107519,0.121588,0.128388"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.011805,-0.003440,-0.005845", \ "-0.011947,-0.005101,-0.010931", \ "0.051925,0.058389,0.044925"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.083412,0.077726,0.092083", \ "0.101038,0.095291,0.109469", \ "0.147083,0.140625,0.154114"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.067858,0.053847,0.047631", \ "0.079968,0.065638,0.059615", \ "0.091479,0.077415,0.070638"); } } internal_power () { when : "!CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542865,0.526095,0.519036,0.515520,0.513550,0.512615,0.511432"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465643,-0.469472,-0.471924,-0.473261,-0.474784,-0.475121,-0.475726"); } } internal_power () { when : "!CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542444,0.525670,0.518618,0.515102,0.512669,0.512220,0.510447"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466947,-0.470771,-0.473215,-0.474550,-0.475620,-0.476407,-0.477010"); } } internal_power () { when : "!CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542846,0.526072,0.519022,0.515505,0.513072,0.512622,0.510848"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466109,-0.469931,-0.472375,-0.473708,-0.474777,-0.475561,-0.476160"); } } internal_power () { when : "!CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.968072,6.952503,6.931439,6.936242,7.036550,7.284290,7.704770"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.517574,4.491531,4.465648,4.470439,4.559788,4.790451,5.181521"); } } internal_power () { when : "!CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.074412,7.060286,7.037375,7.043721,7.148235,7.390917,7.811428"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.690628,4.664709,4.639822,4.642810,4.733803,4.963003,5.354531"); } } internal_power () { when : "!CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.044181,7.030427,7.007338,7.013408,7.111189,7.361303,7.780992"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.536804,4.510809,4.484482,4.489136,4.579077,4.808426,5.200149"); } } internal_power () { when : "!CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481265,0.488924,0.490623,0.490206,0.489924,0.489701,0.489834"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321661,-0.370626,-0.410967,-0.426297,-0.435315,-0.440474,-0.444473"); } } internal_power () { when : "!CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481984,0.489525,0.491437,0.490921,0.490655,0.490428,0.490270"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320202,-0.368655,-0.408896,-0.424331,-0.433219,-0.438198,-0.442840"); } } internal_power () { when : "!CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481163,0.488821,0.490524,0.490108,0.489365,0.489614,0.489165"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322149,-0.371111,-0.411445,-0.426774,-0.435329,-0.440945,-0.444940"); } } internal_power () { when : "!CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.967462,6.951878,6.930794,6.935560,7.035784,7.283436,7.703609"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.521125,4.495181,4.469206,4.473501,4.563213,4.794319,5.185081"); } } internal_power () { when : "!CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.073866,7.059641,7.036739,7.043041,7.147483,7.390480,7.810466"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.695477,4.668452,4.644082,4.646255,4.737363,4.966403,5.358203"); } } internal_power () { when : "!CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.043569,7.029802,7.006681,7.012717,7.110429,7.360460,7.779840"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.540390,4.514276,4.488558,4.492417,4.582663,4.811993,5.204058"); } } internal_power () { when : "CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542307,0.525468,0.518475,0.515047,0.513004,0.512065,0.510606"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467062,-0.470927,-0.473349,-0.474733,-0.476206,-0.476652,-0.477911"); } } internal_power () { when : "CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542718,0.525959,0.518891,0.515380,0.513395,0.512463,0.511275"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466017,-0.469885,-0.472319,-0.473662,-0.475156,-0.475524,-0.476131"); } } internal_power () { when : "CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542317,0.525545,0.518494,0.514977,0.513030,0.512103,0.510650"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467468,-0.471316,-0.473732,-0.475070,-0.476626,-0.476922,-0.478133"); } } internal_power () { when : "CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.278429,3.264038,3.242457,3.250186,3.348990,3.590276,3.987801"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.131962,1.105878,1.081767,1.089539,1.181676,1.409953,1.796015"); } } internal_power () { when : "CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.333781,3.321066,3.298564,3.307311,3.403887,3.642586,4.039989"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.094427,1.068440,1.044439,1.053269,1.146870,1.376277,1.763597"); } } internal_power () { when : "CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.274958,3.260904,3.239299,3.246971,3.345877,3.587159,3.984673"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.132278,1.105558,1.081841,1.089616,1.181357,1.409672,1.795693"); } } internal_power () { when : "CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482424,0.489979,0.491875,0.491354,0.491053,0.490822,0.490686"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.319455,-0.367934,-0.408178,-0.423609,-0.432425,-0.437465,-0.442021"); } } internal_power () { when : "CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481730,0.489292,0.491182,0.490668,0.489941,0.490129,0.489731"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321124,-0.369606,-0.409861,-0.425293,-0.433664,-0.439151,-0.443151"); } } internal_power () { when : "CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481086,0.488752,0.490444,0.490025,0.489745,0.489522,0.489391"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322550,-0.371532,-0.411856,-0.427180,-0.436191,-0.441345,-0.445913"); } } internal_power () { when : "CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.274741,3.259718,3.238692,3.246334,3.345088,3.585881,3.983705"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.063765,1.038147,1.013724,1.022354,1.114704,1.342601,1.727461"); } } internal_power () { when : "CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.333164,3.320477,3.296915,3.306629,3.402869,3.641750,4.039060"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.097998,1.072004,1.047942,1.056838,1.150471,1.379867,1.767147"); } } internal_power () { when : "CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.274397,3.260360,3.238669,3.246286,3.345125,3.586307,3.983717"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.135855,1.109115,1.085407,1.093187,1.184923,1.413257,1.799254"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.338417; fall_capacitance : 1.317773; rise_capacitance : 1.338417; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.054032,-0.069039,-0.078413", \ "-0.051587,-0.066350,-0.075081", \ "-0.014175,-0.032467,-0.042604"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.178580,0.188743,0.197902", \ "0.233293,0.243489,0.252440", \ "0.425190,0.435614,0.444616"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.148601,0.177395,0.303383"); } } internal_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.692965,0.672117,0.663618,0.660113,0.657953,0.656355,0.655075"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.503102,-0.511329,-0.523615,-0.531182,-0.535344,-0.536576,-0.538506"); } } internal_power () { when : "!CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.692975,0.672122,0.663628,0.660121,0.657970,0.656373,0.655096"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.503079,-0.511302,-0.523583,-0.531150,-0.535328,-0.536543,-0.538473"); } } internal_power () { when : "!CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.692954,0.672107,0.663606,0.660102,0.657935,0.656338,0.655053"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.503124,-0.511354,-0.523647,-0.531214,-0.535359,-0.536608,-0.538538"); } } internal_power () { when : "!CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.681262,0.664018,0.657553,0.654431,0.652416,0.650769,0.649272"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.612026,-0.613756,-0.616133,-0.616777,-0.617355,-0.616708,-0.617069"); } } internal_power () { when : "!CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.681299,0.664040,0.657590,0.654462,0.652480,0.650835,0.649352"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611939,-0.613654,-0.616009,-0.616654,-0.617297,-0.616585,-0.616946"); } } internal_power () { when : "!CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.681293,0.664036,0.657584,0.654457,0.652471,0.650825,0.649340"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611952,-0.613670,-0.616028,-0.616672,-0.617305,-0.616603,-0.616964"); } } internal_power () { when : "!CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.692966,0.672114,0.663619,0.660112,0.657958,0.656360,0.655081"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.503093,-0.511319,-0.523604,-0.531171,-0.535339,-0.536565,-0.538495"); } } internal_power () { when : "!CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.681254,0.664013,0.657546,0.654425,0.652403,0.650756,0.649255"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.612044,-0.613777,-0.616158,-0.616802,-0.617367,-0.616733,-0.617094"); } } internal_power () { when : "CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.707280,0.678051,0.666316,0.661281,0.657687,0.656548,0.653824"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610025,-0.613208,-0.612670,-0.615779,-0.617046,-0.616906,-0.617706"); } } internal_power () { when : "CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706752,0.677520,0.665789,0.660753,0.657169,0.656031,0.653304"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611210,-0.614391,-0.613847,-0.616958,-0.618240,-0.618088,-0.618909"); } } internal_power () { when : "CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.707432,0.678152,0.666384,0.661455,0.657866,0.656731,0.654022"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.609343,-0.612645,-0.612081,-0.615314,-0.616422,-0.616213,-0.617041"); } } internal_power () { when : "CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706646,0.677393,0.661791,0.660638,0.657006,0.655867,0.653185"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611669,-0.614843,-0.614343,-0.617442,-0.618624,-0.618561,-0.619265"); } } internal_power () { when : "CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.707783,0.678517,0.666896,0.661783,0.658188,0.657051,0.654345"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.608247,-0.611565,-0.610970,-0.614190,-0.615308,-0.615089,-0.615937"); } } internal_power () { when : "CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.707234,0.677970,0.662379,0.661219,0.657614,0.656472,0.653766"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.610056,-0.613218,-0.612698,-0.615796,-0.617036,-0.616918,-0.617694"); } } internal_power () { when : "CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706750,0.677519,0.665787,0.660753,0.657163,0.656025,0.653302"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611190,-0.614373,-0.613834,-0.616944,-0.618217,-0.618076,-0.618883"); } } internal_power () { when : "CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.706638,0.677386,0.661784,0.660631,0.656992,0.655853,0.653175"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.611635,-0.614870,-0.614375,-0.617634,-0.618645,-0.618589,-0.619217"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.979064; fall_capacitance : 0.893468; rise_capacitance : 0.979064; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.063747,0.074164,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.061610,0.061875,0.198733"); } } internal_power () { when : "!D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.000795,6.969827,6.979106,7.164655,7.550071,8.162884,9.047553"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.686770,15.666600,15.643260,15.751010,16.083530,16.706410,17.666330"); } } internal_power () { when : "!D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.000181,3.972428,3.986302,4.155165,4.523093,5.097234,5.935841"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.420814,3.401726,3.390544,3.509824,3.837759,4.434003,5.327908"); } } internal_power () { when : "!D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.126891,7.090968,7.099635,7.284690,7.670252,8.281655,9.165282"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.000799,6.969833,6.979120,7.164667,7.550092,8.162901,9.047573"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.686790,15.666630,15.643290,15.751040,16.083540,16.706440,17.666360"); } } internal_power () { when : "!D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.000186,3.972434,3.986304,4.155172,4.523092,5.097251,5.935840"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.420836,3.401748,3.390581,3.509856,3.837788,4.434032,5.327936"); } } internal_power () { when : "!D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.126912,7.090997,7.099669,7.284725,7.670278,8.281690,9.165296"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.000448,6.969507,6.978772,7.164331,7.549763,8.162762,9.047503"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.686760,15.666580,15.643230,15.750990,16.083520,16.706380,17.666310"); } } internal_power () { when : "!D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.999997,3.972197,3.986144,4.155011,4.522927,5.097186,5.935830"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.420906,3.401810,3.390585,3.509897,3.837820,4.433980,5.327915"); } } internal_power () { when : "!D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.126535,7.090589,7.099289,7.284352,7.669880,8.281560,9.165247"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.140438,4.109317,4.120932,4.298722,4.663587,5.247136,6.091760"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.279600,3.258865,3.245165,3.363171,3.697808,4.302378,5.200550"); } } internal_power () { when : "!D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.470261,7.433201,7.442992,7.619197,7.983299,8.561718,9.404298"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.139950,4.108531,4.120675,4.298078,4.662616,5.246253,6.091928"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.285337,3.262449,3.248542,3.367175,3.702884,4.303692,5.203470"); } } internal_power () { when : "D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.140480,4.109336,4.120966,4.298748,4.663649,5.247204,6.091833"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.279675,3.258965,3.245290,3.363297,3.697864,4.302493,5.200671"); } } internal_power () { when : "D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.404630,7.369221,7.380501,7.557561,7.918813,8.495258,9.339397"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.139992,4.108552,4.120652,4.298453,4.662611,5.246318,6.091954"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.285422,3.262602,3.248623,3.367300,3.703005,4.303863,5.203591"); } } internal_power () { when : "D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.140463,4.109329,4.120958,4.298743,4.663638,5.247194,6.091820"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.279668,3.258951,3.244884,3.363278,3.697855,4.302476,5.200654"); } } internal_power () { when : "D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.471938,7.437007,7.444931,7.622600,7.985432,8.563844,9.406835"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.139975,4.108546,4.120644,4.298197,4.662611,5.246308,6.091955"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.285417,3.262588,3.248702,3.367282,3.702986,4.303845,5.203572"); } } internal_power () { when : "D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.000469,6.969478,6.978798,7.164351,7.549797,8.162787,9.047528"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("15.686790,15.666620,15.643270,15.751030,16.083540,16.706420,17.666350"); } } internal_power () { when : "D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.999990,3.972190,3.986137,4.155000,4.522925,5.097209,5.935830"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.420932,3.401843,3.390626,3.509932,3.837860,4.434029,5.327966"); } } internal_power () { when : "D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.126565,7.090622,7.099354,7.284361,7.669885,8.281607,9.165265"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.140426,4.109311,4.120925,4.298715,4.663573,5.247121,6.091743"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.279583,3.258842,3.245139,3.363146,3.697795,4.302353,5.200525"); } } internal_power () { when : "D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.470510,7.435561,7.443242,7.620948,7.983543,8.561951,9.404531"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.139938,4.108524,4.120667,4.298169,4.662614,5.246239,6.091928"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.285321,3.262430,3.248517,3.367150,3.702859,4.303667,5.203445"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.119600; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.061256,0.066209,0.071264,0.079610,0.093201,0.115773,0.154742", \ "0.062738,0.067691,0.072748,0.081095,0.094687,0.117262,0.156228", \ "0.067793,0.072742,0.077799,0.086152,0.099748,0.122325,0.161305", \ "0.073390,0.078336,0.083393,0.091748,0.105353,0.127937,0.166922", \ "0.077525,0.082471,0.087528,0.095886,0.109497,0.132093,0.171081", \ "0.080219,0.085167,0.090220,0.098561,0.112154,0.134758,0.173747", \ "0.081242,0.086196,0.091254,0.099615,0.113208,0.135817,0.174843"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.063156,0.068352,0.073918,0.083947,0.102730,0.139149,0.211411", \ "0.064635,0.069832,0.075396,0.085429,0.104207,0.140629,0.212884", \ "0.069782,0.074979,0.080545,0.090578,0.109360,0.145776,0.218034", \ "0.075676,0.080877,0.086444,0.096480,0.115263,0.151684,0.223945", \ "0.080287,0.085484,0.091050,0.101080,0.119839,0.156259,0.228531", \ "0.083311,0.088501,0.094077,0.104105,0.122889,0.159300,0.231556", \ "0.084340,0.089542,0.095109,0.105146,0.123914,0.160325,0.232581"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.010123,0.012145,0.014417,0.018547,0.026198,0.040461,0.069093", \ "0.010123,0.012144,0.014420,0.018544,0.026198,0.040461,0.069098", \ "0.010135,0.012158,0.014432,0.018559,0.026207,0.040467,0.069104", \ "0.010147,0.012172,0.014442,0.018570,0.026217,0.040476,0.069115", \ "0.010175,0.012201,0.014474,0.018604,0.026248,0.040495,0.069119", \ "0.010213,0.012240,0.014515,0.018640,0.026274,0.040514,0.069111", \ "0.010343,0.012361,0.014626,0.018740,0.026354,0.040566,0.069157"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.007545,0.010791,0.014693,0.022625,0.039026,0.072714,0.141121", \ "0.007545,0.010789,0.014693,0.022624,0.039026,0.072714,0.141124", \ "0.007545,0.010789,0.014692,0.022622,0.039025,0.072716,0.141122", \ "0.007548,0.010790,0.014692,0.022623,0.039025,0.072718,0.141120", \ "0.007550,0.010792,0.014693,0.022624,0.039028,0.072718,0.141121", \ "0.007560,0.010800,0.014702,0.022629,0.039029,0.072716,0.141122", \ "0.007573,0.010814,0.014711,0.022637,0.039034,0.072718,0.141120"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088633,0.094498,0.100850,0.112057,0.131868,0.168696,0.240854", \ "0.090207,0.096066,0.102420,0.113627,0.133436,0.170269,0.242427", \ "0.096510,0.102373,0.108726,0.119941,0.139747,0.176581,0.248734", \ "0.108927,0.114745,0.121044,0.132182,0.151919,0.188708,0.260841", \ "0.124683,0.130331,0.136428,0.147271,0.166759,0.203379,0.275416", \ "0.141506,0.147062,0.152991,0.163517,0.182652,0.219024,0.290899", \ "0.159558,0.165079,0.170901,0.181114,0.199847,0.235916,0.307615"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011041,0.014745,0.018906,0.026694,0.042166,0.074492,0.142111", \ "0.011040,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088632,0.094498,0.100850,0.112057,0.131864,0.168695,0.240854", \ "0.090206,0.096066,0.102420,0.113627,0.133436,0.170269,0.242427", \ "0.096510,0.102373,0.108726,0.119941,0.139746,0.176581,0.248734", \ "0.108926,0.114744,0.121044,0.132182,0.151918,0.188708,0.260840", \ "0.124683,0.130331,0.136428,0.147271,0.166759,0.203379,0.275417", \ "0.141506,0.147062,0.152992,0.163517,0.182652,0.219024,0.290899", \ "0.159558,0.165079,0.170901,0.181114,0.199847,0.235916,0.307615"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011041,0.014745,0.018906,0.026694,0.042167,0.074496,0.142111", \ "0.011041,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088633,0.094498,0.100850,0.112057,0.131870,0.168696,0.240854", \ "0.090207,0.096066,0.102420,0.113627,0.133436,0.170269,0.242427", \ "0.096510,0.102373,0.108726,0.119941,0.139746,0.176581,0.248734", \ "0.108927,0.114745,0.121044,0.132182,0.151918,0.188708,0.260840", \ "0.124683,0.130331,0.136428,0.147271,0.166759,0.203379,0.275416", \ "0.141506,0.147062,0.152992,0.163517,0.182652,0.219024,0.290899", \ "0.159558,0.165079,0.170901,0.181114,0.199847,0.235916,0.307615"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011042,0.014745,0.018906,0.026694,0.042166,0.074492,0.142111", \ "0.011040,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168726,0.240911", \ "0.090208,0.096073,0.102425,0.113640,0.133457,0.170300,0.242482", \ "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074524,0.142153", \ "0.011044,0.014744,0.018915,0.026699,0.042179,0.074515,0.142155", \ "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142157", \ "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168726,0.240911", \ "0.090208,0.096073,0.102425,0.113640,0.133457,0.170300,0.242482", \ "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074524,0.142153", \ "0.011044,0.014742,0.018915,0.026699,0.042179,0.074515,0.142155", \ "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142157", \ "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168729,0.240911", \ "0.090208,0.096073,0.102425,0.113640,0.133457,0.170299,0.242482", \ "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074525,0.142153", \ "0.011044,0.014742,0.018915,0.026699,0.042179,0.074515,0.142155", \ "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142157", \ "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088632,0.094498,0.100850,0.112057,0.131870,0.168693,0.240854", \ "0.090205,0.096065,0.102420,0.113627,0.133436,0.170268,0.242427", \ "0.096509,0.102373,0.108726,0.119941,0.139746,0.176580,0.248734", \ "0.108926,0.114744,0.121044,0.132182,0.151918,0.188708,0.260840", \ "0.124682,0.130331,0.136427,0.147271,0.166759,0.203379,0.275416", \ "0.141506,0.147062,0.152992,0.163518,0.182652,0.219024,0.290899", \ "0.159557,0.165078,0.170901,0.181114,0.199847,0.235916,0.307615"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011041,0.014745,0.018906,0.026694,0.042166,0.074498,0.142111", \ "0.011041,0.014743,0.018905,0.026693,0.042166,0.074492,0.142116", \ "0.011042,0.014745,0.018908,0.026694,0.042165,0.074494,0.142113", \ "0.011044,0.014746,0.018908,0.026697,0.042168,0.074491,0.142119", \ "0.011062,0.014762,0.018925,0.026710,0.042169,0.074491,0.142122", \ "0.011106,0.014797,0.018956,0.026733,0.042196,0.074502,0.142114", \ "0.011158,0.014860,0.019026,0.026801,0.042224,0.074513,0.142127"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.088639,0.094505,0.100858,0.112071,0.131888,0.168734,0.240911", \ "0.090208,0.096073,0.102425,0.113640,0.133457,0.170300,0.242482", \ "0.096516,0.102385,0.108738,0.119951,0.139768,0.176615,0.248791", \ "0.108933,0.114749,0.121050,0.132190,0.151937,0.188736,0.260890", \ "0.124683,0.130330,0.136430,0.147277,0.166765,0.203399,0.275459", \ "0.141504,0.147064,0.152995,0.163509,0.182660,0.219038,0.290941", \ "0.159560,0.165076,0.170903,0.181121,0.199843,0.235938,0.307651"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.011042,0.014747,0.018912,0.026700,0.042179,0.074511,0.142153", \ "0.011044,0.014742,0.018915,0.026699,0.042179,0.074515,0.142155", \ "0.011043,0.014748,0.018912,0.026702,0.042178,0.074520,0.142156", \ "0.011045,0.014748,0.018912,0.026704,0.042180,0.074515,0.142154", \ "0.011063,0.014763,0.018929,0.026717,0.042181,0.074515,0.142159", \ "0.011109,0.014800,0.018959,0.026736,0.042209,0.074527,0.142153", \ "0.011159,0.014863,0.019029,0.026808,0.042240,0.074536,0.142166"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275472,0.347585", \ "0.200571,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ "0.216385,0.222030,0.227854,0.237997,0.256588,0.292872,0.364991", \ "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ "0.281148,0.286818,0.292633,0.302724,0.321283,0.357525,0.429558"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009125,0.012214,0.015807,0.023186,0.039091,0.072801,0.141211", \ "0.009130,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ "0.009130,0.012218,0.015808,0.023186,0.039095,0.072806,0.141207", \ "0.009172,0.012258,0.015847,0.023209,0.039100,0.072812,0.141216"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275471,0.347586", \ "0.200570,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ "0.216385,0.222030,0.227854,0.237997,0.256588,0.292872,0.364991", \ "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ "0.281147,0.286818,0.292631,0.302724,0.321283,0.357510,0.429549"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009125,0.012214,0.015807,0.023186,0.039091,0.072802,0.141212", \ "0.009129,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ "0.009130,0.012218,0.015808,0.023186,0.039095,0.072804,0.141207", \ "0.009172,0.012258,0.015846,0.023209,0.039100,0.072803,0.141210"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275472,0.347585", \ "0.200561,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ "0.216385,0.222029,0.227854,0.237997,0.256588,0.292872,0.364991", \ "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ "0.281147,0.286818,0.292631,0.302724,0.321283,0.357510,0.429549"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009125,0.012214,0.015807,0.023186,0.039092,0.072801,0.141210", \ "0.009127,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ "0.009130,0.012218,0.015808,0.023186,0.039095,0.072806,0.141207", \ "0.009172,0.012258,0.015846,0.023209,0.039100,0.072803,0.141210"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199068,0.204715,0.210563,0.220660,0.239270,0.275520,0.347631", \ "0.200617,0.206270,0.212091,0.222217,0.240806,0.277071,0.349189", \ "0.206621,0.212272,0.218092,0.228223,0.246800,0.283086,0.355205", \ "0.216438,0.222083,0.227907,0.238049,0.256637,0.292915,0.365029", \ "0.231199,0.236838,0.242657,0.252769,0.271355,0.307619,0.379729", \ "0.252621,0.258269,0.264098,0.274208,0.292695,0.328955,0.401032", \ "0.281198,0.286869,0.292690,0.302774,0.321331,0.357557,0.429586"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009128,0.012216,0.015808,0.023188,0.039090,0.072801,0.141212", \ "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ "0.009125,0.012214,0.015808,0.023187,0.039093,0.072817,0.141199", \ "0.009129,0.012216,0.015810,0.023188,0.039095,0.072823,0.141210", \ "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ "0.009132,0.012219,0.015809,0.023187,0.039090,0.072804,0.141207", \ "0.009176,0.012259,0.015848,0.023210,0.039101,0.072813,0.141209"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199072,0.204720,0.210567,0.220665,0.239285,0.275522,0.347627", \ "0.200621,0.206275,0.212095,0.222221,0.240810,0.277074,0.349192", \ "0.206626,0.212277,0.218097,0.228228,0.246803,0.283090,0.355207", \ "0.216442,0.222087,0.227912,0.238053,0.256641,0.292918,0.365031", \ "0.231203,0.236842,0.242661,0.252773,0.271358,0.307622,0.379732", \ "0.252625,0.258273,0.264101,0.274211,0.292698,0.328958,0.401034", \ "0.281202,0.286873,0.292694,0.302777,0.321334,0.357560,0.429588"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009129,0.012216,0.015808,0.023188,0.039091,0.072801,0.141210", \ "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ "0.009125,0.012214,0.015808,0.023187,0.039093,0.072817,0.141199", \ "0.009129,0.012216,0.015807,0.023188,0.039095,0.072823,0.141210", \ "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ "0.009132,0.012219,0.015809,0.023188,0.039089,0.072804,0.141207", \ "0.009174,0.012259,0.015848,0.023210,0.039101,0.072813,0.141209"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199072,0.204719,0.210567,0.220664,0.239285,0.275522,0.347633", \ "0.200621,0.206275,0.212095,0.222220,0.240809,0.277074,0.349192", \ "0.206625,0.212276,0.218096,0.228227,0.246803,0.283089,0.355207", \ "0.216442,0.222087,0.227911,0.238053,0.256641,0.292918,0.365031", \ "0.231202,0.236841,0.242661,0.252773,0.271358,0.307622,0.379731", \ "0.252624,0.258272,0.264101,0.274211,0.292698,0.328958,0.401034", \ "0.281202,0.286872,0.292691,0.302777,0.321334,0.357559,0.429588"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009129,0.012216,0.015808,0.023188,0.039091,0.072801,0.141212", \ "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ "0.009125,0.012214,0.015808,0.023187,0.039093,0.072817,0.141199", \ "0.009129,0.012216,0.015807,0.023188,0.039095,0.072823,0.141210", \ "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ "0.009132,0.012219,0.015809,0.023188,0.039089,0.072804,0.141207", \ "0.009174,0.012259,0.015847,0.023210,0.039101,0.072813,0.141209"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199011,0.204659,0.210507,0.220604,0.239229,0.275473,0.347586", \ "0.200561,0.206214,0.212035,0.222160,0.240753,0.277023,0.349150", \ "0.206566,0.212218,0.218038,0.228170,0.246750,0.283037,0.355166", \ "0.216385,0.222029,0.227854,0.237997,0.256588,0.292872,0.364991", \ "0.231147,0.236787,0.242606,0.252719,0.271306,0.307575,0.379693", \ "0.252572,0.258219,0.264049,0.274159,0.292649,0.328912,0.400995", \ "0.281148,0.286818,0.292633,0.302724,0.321283,0.357510,0.429549"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009125,0.012214,0.015807,0.023186,0.039092,0.072801,0.141214", \ "0.009127,0.012216,0.015805,0.023186,0.039094,0.072820,0.141212", \ "0.009125,0.012212,0.015805,0.023187,0.039096,0.072819,0.141198", \ "0.009127,0.012214,0.015809,0.023186,0.039095,0.072823,0.141210", \ "0.009122,0.012211,0.015803,0.023183,0.039085,0.072821,0.141200", \ "0.009130,0.012218,0.015808,0.023186,0.039095,0.072804,0.141207", \ "0.009172,0.012258,0.015847,0.023209,0.039100,0.072803,0.141210"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.199066,0.204714,0.210561,0.220659,0.239281,0.275519,0.347624", \ "0.200617,0.206269,0.212089,0.222215,0.240805,0.277070,0.349189", \ "0.206620,0.212271,0.218091,0.228222,0.246799,0.283085,0.355204", \ "0.216437,0.222082,0.227905,0.238048,0.256636,0.292914,0.365028", \ "0.231198,0.236837,0.242656,0.252768,0.271353,0.307618,0.379729", \ "0.252620,0.258268,0.264097,0.274207,0.292694,0.328955,0.401031", \ "0.281197,0.286868,0.292689,0.302773,0.321330,0.357556,0.429586"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("0.009128,0.012216,0.015808,0.023188,0.039093,0.072801,0.141210", \ "0.009130,0.012218,0.015806,0.023188,0.039094,0.072821,0.141213", \ "0.009125,0.012214,0.015807,0.023187,0.039093,0.072817,0.141199", \ "0.009129,0.012216,0.015810,0.023188,0.039095,0.072823,0.141210", \ "0.009122,0.012212,0.015804,0.023183,0.039085,0.072821,0.141201", \ "0.009132,0.012219,0.015809,0.023187,0.039090,0.072805,0.141207", \ "0.009176,0.012259,0.015848,0.023210,0.039101,0.072813,0.141209"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.089984,5.112794,5.113264,5.123980,5.148437,5.147092,5.041284", \ "5.069804,5.102138,5.088838,5.113205,5.136443,5.141113,5.153144", \ "5.076394,5.078465,5.092990,5.103633,5.127315,5.148510,5.058858", \ "5.135965,5.145492,5.150863,5.161048,5.185984,5.203278,5.210536", \ "5.301736,5.305369,5.309205,5.322164,5.349632,5.366581,5.358376", \ "5.609825,5.627776,5.621897,5.625343,5.633416,5.660221,5.602918", \ "6.094094,6.086874,6.095936,6.099570,6.123105,6.129890,6.108713"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("4.600795,4.687896,4.759812,4.879031,4.998501,5.036755,5.004438", \ "4.588331,4.677131,4.743657,4.881645,4.988203,5.026842,4.950232", \ "4.581198,4.671881,4.739696,4.876941,4.986781,5.015810,5.031942", \ "4.641884,4.731201,4.800452,4.934045,5.046349,5.071246,5.105695", \ "4.813931,4.894945,4.968885,5.099652,5.212938,5.233778,5.184333", \ "5.132849,5.214704,5.274442,5.408420,5.505487,5.462268,5.572493", \ "5.573915,5.661573,5.723038,5.871105,5.978081,5.965942,5.996068"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.781738,3.798007,3.822055,3.879060,3.870716,3.762773,3.814755", \ "3.759469,3.783274,3.820495,3.868152,3.887509,3.883110,3.890936", \ "3.768616,3.769794,3.794219,3.844104,3.845190,3.838387,3.833104", \ "3.858639,3.861512,3.873115,3.907570,3.939497,3.933454,3.899316", \ "4.124709,4.076134,4.083974,4.092051,4.069345,4.059951,4.090400", \ "4.480244,4.404880,4.362217,4.366811,4.314429,4.300138,4.231635", \ "4.931630,4.819310,4.765106,4.714226,4.701805,4.571548,4.626799"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.781649,3.797913,3.822176,3.878939,3.847842,3.762608,3.814538", \ "3.759355,3.783181,3.820389,3.868032,3.887007,3.882913,3.890725", \ "3.768525,3.769696,3.794113,3.843985,3.845051,3.838216,3.832894", \ "3.858548,3.861413,3.873010,3.907453,3.939358,3.933286,3.899114", \ "4.124622,4.076050,4.083874,4.091943,4.069212,4.059790,4.090192", \ "4.480169,4.404799,4.362123,4.366712,4.314311,4.299994,4.231450", \ "4.931575,4.819251,4.765039,4.714153,4.701762,4.571419,4.626632"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.781945,3.798216,3.822583,3.879313,3.857384,3.763148,3.815212", \ "3.759665,3.783484,3.820719,3.868403,3.834221,3.883465,3.891396", \ "3.768814,3.770000,3.794442,3.844356,3.845491,3.838755,3.833557", \ "3.858833,3.861721,3.873334,3.907815,3.939789,3.933816,3.899765", \ "4.124893,4.076334,4.084182,4.092285,4.069620,4.060290,4.090823", \ "4.480400,4.405048,4.362388,4.367011,4.314673,4.300450,4.232028", \ "4.931744,4.819436,4.765241,4.714388,4.702057,4.571810,4.627145"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.711327,3.716965,3.744175,3.812681,3.822449,3.835075,3.744564", \ "3.691072,3.694680,3.751873,3.799318,3.813979,3.791267,3.822231", \ "3.700642,3.712444,3.725915,3.775760,3.776616,3.770114,3.765205", \ "3.816592,3.794449,3.805586,3.839974,3.871499,3.866171,3.832391", \ "4.058066,3.996989,4.017081,4.026471,4.001967,3.993089,4.023944", \ "4.414546,4.338321,4.295303,4.299748,4.247739,4.233838,4.165527", \ "4.865928,4.753931,4.699548,4.641195,4.638255,4.505457,4.561404"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.711409,3.717033,3.744254,3.812945,3.822566,3.835262,3.744716", \ "3.691154,3.694733,3.751960,3.799411,3.814113,3.791360,3.822456", \ "3.700725,3.712514,3.725994,3.775863,3.776730,3.770254,3.765423", \ "3.816666,3.794530,3.805657,3.840053,3.871601,3.866337,3.832559", \ "4.058136,3.997065,4.017157,4.026554,4.002057,3.993207,4.024088", \ "4.414606,4.338392,4.295363,4.299833,4.247833,4.233996,4.165736", \ "4.865975,4.753986,4.699590,4.641256,4.638331,4.505534,4.561551"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.711752,3.717386,3.744640,3.813247,3.823057,3.835782,3.745470", \ "3.691497,3.695086,3.752350,3.799843,3.814639,3.791955,3.823237", \ "3.701068,3.712870,3.726379,3.776300,3.777238,3.770864,3.766183", \ "3.817004,3.794893,3.806034,3.840471,3.872092,3.866960,3.833285", \ "4.058457,3.997411,4.017521,4.026955,4.002527,3.993773,4.024792", \ "4.414885,4.338695,4.295678,4.300194,4.248255,4.234546,4.166427", \ "4.866188,4.754220,4.699840,4.641532,4.638680,4.505982,4.562149"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.781678,3.797959,3.823131,3.878968,3.856566,3.861161,3.814611", \ "3.759379,3.783229,3.820419,3.868062,3.833817,3.882985,3.890792", \ "3.768549,3.769725,3.794144,3.844012,3.845093,3.838274,3.832961", \ "3.858574,3.861441,3.873042,3.907482,3.939402,3.933338,3.899185", \ "4.124645,4.076121,4.083902,4.091962,4.069253,4.059844,4.090267", \ "4.480187,4.404818,4.362146,4.366725,4.314344,4.300035,4.231505", \ "4.931584,4.819262,4.765054,4.713869,4.701783,4.571460,4.626685"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("3.711665,3.717314,3.744557,3.813137,3.822965,3.835569,3.745298", \ "3.691412,3.695012,3.752258,3.799746,3.814497,3.791819,3.822998", \ "3.700982,3.712797,3.726296,3.776193,3.777118,3.770728,3.765976", \ "3.816926,3.794808,3.805959,3.840388,3.871986,3.866785,3.833114", \ "4.058385,3.997330,4.017440,4.026867,4.002427,3.993653,4.024640", \ "4.414822,4.338620,4.295614,4.300103,4.248156,4.234379,4.166199", \ "4.866138,4.754160,4.699787,4.641473,4.638600,4.505901,4.561995"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.710570,5.819376,5.895508,5.977796,6.055053,6.130187,6.009513", \ "5.683387,5.791880,5.883649,5.966532,6.038585,6.048310,6.085344", \ "5.682138,5.775707,5.871741,5.966841,6.029671,6.071979,6.036977", \ "5.813755,5.894067,5.939044,6.032417,6.068119,6.109778,6.016989", \ "6.056494,6.101750,6.152275,6.206162,6.276016,6.248581,6.219318", \ "6.472781,6.476836,6.476600,6.521156,6.528317,6.478476,6.543101", \ "7.070448,7.045308,7.003997,7.024539,7.039548,7.048012,7.005471"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.710402,5.819204,5.895328,5.977610,6.054836,6.130194,6.009352", \ "5.683109,5.791709,5.883407,5.966346,6.038438,6.048089,6.085103", \ "5.681974,5.775537,5.871567,5.966637,6.029473,6.071761,6.036738", \ "5.813597,5.893904,5.938881,6.032240,6.067927,6.109567,6.016750", \ "6.056347,6.101609,6.152113,6.206033,6.275845,6.248379,6.219087", \ "6.472649,6.476699,6.476451,6.521005,6.528153,6.477994,6.542892", \ "7.070335,7.045191,7.034427,7.024408,7.039403,7.048463,7.000124"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.710933,5.819745,5.895897,5.978203,6.050525,6.130673,6.009889", \ "5.683791,5.792247,5.883818,5.966934,6.039052,6.048788,6.085872", \ "5.682495,5.776066,5.872119,5.967190,6.030097,6.072449,6.037499", \ "5.814096,5.894419,5.939414,6.032795,6.068526,6.110237,6.017496", \ "6.056811,6.102081,6.152610,6.206550,6.276406,6.249011,6.219803", \ "6.473061,6.477126,6.476894,6.521475,6.528666,6.478876,6.543558", \ "7.070681,7.045551,7.034803,7.024809,7.039850,7.048986,7.000735"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.709466,5.818332,5.896733,5.983150,6.016272,6.130992,6.012014", \ "5.682273,5.790753,5.884519,5.966155,6.045064,6.049054,6.087737", \ "5.683329,5.776975,5.872043,5.968345,6.029515,6.114134,6.039335", \ "5.812742,5.893084,5.938056,6.031774,6.067919,6.110350,6.019374", \ "6.057885,6.102526,6.153407,6.205850,6.274021,6.249419,6.221672", \ "6.471768,6.475907,6.478533,6.521928,6.528163,6.478865,6.545337", \ "7.069432,7.044357,7.003008,7.023901,7.043317,7.049257,7.002474"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.709499,5.818363,5.896941,5.983963,6.059256,6.131437,6.011882", \ "5.682289,5.790776,5.884717,5.966583,6.045672,6.049231,6.088047", \ "5.683535,5.777200,5.872262,5.968773,6.029632,6.111734,6.039627", \ "5.812765,5.893125,5.957046,6.031834,6.068002,6.110567,6.019600", \ "6.058298,6.102672,6.153594,6.205837,6.274009,6.249555,6.221868", \ "6.471774,6.475934,6.478753,6.522215,6.528259,6.479022,6.545606", \ "7.069357,7.044367,7.003003,7.023934,7.042644,7.049371,7.002662"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.710129,5.818995,5.897576,5.984814,6.052056,6.132247,6.013125", \ "5.682909,5.791406,5.885391,5.967186,6.046359,6.050021,6.088936", \ "5.684141,5.777803,5.872914,5.969425,6.030343,6.112707,6.040502", \ "5.813368,5.893746,5.957540,6.032484,6.068676,6.111329,6.020429", \ "6.058867,6.103255,6.154160,6.206433,6.274663,6.250260,6.222663", \ "6.472274,6.476451,6.479260,6.522751,6.528854,6.479704,6.546391", \ "7.069787,7.044812,7.034865,7.024411,7.043216,7.049966,7.003367"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.710439,5.819245,5.895380,5.977662,6.047939,6.130258,6.065035", \ "5.683299,5.791749,5.883308,5.966397,6.038496,6.048162,6.085179", \ "5.682011,5.775575,5.871611,5.966664,6.029533,6.071833,6.036814", \ "5.813632,5.893941,5.938937,6.032291,6.067987,6.109635,6.016832", \ "6.056377,6.101643,6.152153,6.206080,6.275898,6.248447,6.219167", \ "6.472674,6.476725,6.476483,6.521043,6.528199,6.478050,6.542951", \ "7.070352,7.045210,7.003899,7.024439,7.039442,7.048515,7.000184"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.878740,3.757470,7.514950,15.029900,30.059800,60.119600"); values ("5.710109,5.818978,5.897355,5.983667,6.017202,6.131778,6.012625", \ "5.682920,5.791398,5.885063,5.966705,6.045656,6.049831,6.088606", \ "5.683911,5.777547,5.872646,5.968956,6.030241,6.115710,6.040191", \ "5.813347,5.893706,5.938680,6.032417,6.068597,6.111096,6.020185", \ "6.058320,6.103097,6.153956,6.206487,6.274722,6.250117,6.222451", \ "6.472289,6.476436,6.479012,6.522420,6.528759,6.481295,6.546114", \ "7.069875,7.044811,7.003471,7.024386,7.044075,7.049851,7.003177"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.084709,0.088080,0.091523,0.097447,0.107761,0.126640,0.163353", \ "0.086193,0.089563,0.093002,0.098924,0.109236,0.128117,0.164829", \ "0.091339,0.094708,0.098153,0.104076,0.114387,0.133267,0.169977", \ "0.097236,0.100607,0.104050,0.109975,0.120288,0.139169,0.175886", \ "0.101842,0.105210,0.108654,0.114577,0.124865,0.143746,0.180466", \ "0.104874,0.108231,0.111682,0.117600,0.127912,0.146787,0.183497", \ "0.105908,0.109270,0.112714,0.118640,0.128940,0.147810,0.184524"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.097996,0.102640,0.107238,0.115692,0.132969,0.168633,0.240921", \ "0.099480,0.104122,0.108723,0.117178,0.134453,0.170118,0.242404", \ "0.104548,0.109189,0.113786,0.122239,0.139514,0.175175,0.247467", \ "0.110152,0.114788,0.119386,0.127836,0.145112,0.180777,0.253069", \ "0.114306,0.118944,0.123539,0.131990,0.149260,0.184921,0.257197", \ "0.117012,0.121649,0.126243,0.134672,0.151915,0.187565,0.259861", \ "0.118105,0.122733,0.127320,0.135757,0.152966,0.188593,0.260892"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005511,0.007182,0.009020,0.012515,0.019573,0.034464,0.065836", \ "0.005510,0.007182,0.009018,0.012517,0.019574,0.034464,0.065836", \ "0.005508,0.007181,0.009017,0.012517,0.019571,0.034464,0.065839", \ "0.005509,0.007182,0.009018,0.012515,0.019574,0.034458,0.065824", \ "0.005511,0.007182,0.009021,0.012516,0.019566,0.034461,0.065835", \ "0.005510,0.007182,0.009023,0.012517,0.019574,0.034451,0.065824", \ "0.005514,0.007185,0.009019,0.012517,0.019568,0.034463,0.065840"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.007394,0.010514,0.014170,0.021727,0.038095,0.072305,0.141343", \ "0.007394,0.010511,0.014172,0.021728,0.038095,0.072305,0.141348", \ "0.007394,0.010513,0.014169,0.021729,0.038094,0.072305,0.141347", \ "0.007396,0.010510,0.014169,0.021730,0.038094,0.072305,0.141342", \ "0.007402,0.010520,0.014180,0.021732,0.038099,0.072304,0.141344", \ "0.007408,0.010522,0.014180,0.021735,0.038094,0.072304,0.141346", \ "0.007418,0.010530,0.014187,0.021741,0.038102,0.072305,0.141343"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026299,0.030177,0.033995,0.040309,0.051022,0.070338,0.107393", \ "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005179,0.006884,0.008818,0.012490,0.019780,0.034803,0.065909", \ "0.005179,0.006888,0.008818,0.012487,0.019777,0.034807,0.065906", \ "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065916", \ "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ "0.011999,0.013681,0.015519,0.018840,0.025012,0.037467,0.066321"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026299,0.030177,0.033995,0.040309,0.051020,0.070337,0.107393", \ "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005179,0.006884,0.008818,0.012490,0.019777,0.034807,0.065909", \ "0.005179,0.006888,0.008818,0.012488,0.019777,0.034807,0.065906", \ "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065916", \ "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ "0.011999,0.013681,0.015519,0.018840,0.025012,0.037467,0.066321"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026299,0.030177,0.033995,0.040309,0.051021,0.070337,0.107393", \ "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005179,0.006884,0.008818,0.012490,0.019780,0.034807,0.065909", \ "0.005179,0.006888,0.008818,0.012488,0.019777,0.034807,0.065906", \ "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065916", \ "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ "0.011999,0.013682,0.015519,0.018840,0.025012,0.037467,0.066321"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070336,0.107392", \ "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034805,0.065904", \ "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ "0.005184,0.006901,0.008834,0.012501,0.019782,0.034805,0.065914", \ "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066322"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070335,0.107392", \ "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034807,0.065904", \ "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ "0.005184,0.006901,0.008834,0.012501,0.019782,0.034804,0.065914", \ "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066321"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070336,0.107392", \ "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034805,0.065904", \ "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ "0.005184,0.006901,0.008834,0.012501,0.019782,0.034805,0.065914", \ "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066322"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026299,0.030177,0.033995,0.040309,0.051021,0.070336,0.107393", \ "0.027868,0.031748,0.035565,0.041881,0.052595,0.071916,0.108974", \ "0.034240,0.038095,0.041905,0.048225,0.058945,0.078276,0.115336", \ "0.046209,0.050221,0.054119,0.060508,0.071277,0.090611,0.127650", \ "0.059201,0.063739,0.068133,0.075177,0.086582,0.106226,0.143165", \ "0.072825,0.077829,0.082698,0.090454,0.102681,0.122934,0.159903", \ "0.087567,0.093001,0.098301,0.106731,0.119867,0.140852,0.177788"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005179,0.006884,0.008818,0.012490,0.019781,0.034804,0.065909", \ "0.005179,0.006888,0.008818,0.012488,0.019777,0.034807,0.065906", \ "0.005184,0.006901,0.008836,0.012500,0.019783,0.034803,0.065917", \ "0.006094,0.007629,0.009385,0.012855,0.019968,0.034859,0.065914", \ "0.007980,0.009545,0.011279,0.014571,0.021212,0.035341,0.065928", \ "0.009936,0.011566,0.013350,0.016619,0.022970,0.036320,0.066120", \ "0.011999,0.013681,0.015519,0.018840,0.025012,0.037467,0.066321"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026293,0.030175,0.033989,0.040304,0.051017,0.070335,0.107392", \ "0.027865,0.031744,0.035558,0.041874,0.052590,0.071909,0.108967", \ "0.034234,0.038095,0.041902,0.048218,0.058944,0.078274,0.115334", \ "0.046208,0.050216,0.054115,0.060504,0.071273,0.090606,0.127643", \ "0.059194,0.063733,0.068127,0.075169,0.086569,0.106217,0.143156", \ "0.072816,0.077825,0.082695,0.090443,0.102675,0.122928,0.159893", \ "0.087566,0.092995,0.098299,0.106730,0.119855,0.140850,0.177782"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005177,0.006885,0.008816,0.012490,0.019776,0.034807,0.065904", \ "0.005178,0.006886,0.008820,0.012489,0.019776,0.034808,0.065904", \ "0.005184,0.006901,0.008834,0.012501,0.019782,0.034804,0.065914", \ "0.006092,0.007629,0.009385,0.012850,0.019966,0.034859,0.065916", \ "0.007977,0.009545,0.011283,0.014575,0.021213,0.035341,0.065917", \ "0.009936,0.011567,0.013350,0.016619,0.022969,0.036322,0.066120", \ "0.011999,0.013680,0.015519,0.018841,0.025013,0.037467,0.066322"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069849,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140190,0.177524"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034489,0.065936", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067008"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034492,0.065936", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067010"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069849,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034489,0.065919", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067010"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019512,0.034489,0.065918", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034492,0.065936", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034492,0.065918", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069849,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034489,0.065934", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066038", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018580,0.024658,0.037579,0.067010"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.026306,0.030149,0.033929,0.040174,0.050756,0.069848,0.106713", \ "0.027877,0.031718,0.035499,0.041747,0.052328,0.071425,0.108286", \ "0.034242,0.038067,0.041837,0.048089,0.058674,0.077777,0.114647", \ "0.046213,0.050187,0.054049,0.060373,0.071002,0.090111,0.126968", \ "0.059192,0.063676,0.068019,0.074976,0.086216,0.105651,0.142482", \ "0.072792,0.077727,0.082535,0.090184,0.102211,0.122259,0.159317", \ "0.087443,0.092817,0.098072,0.106418,0.119349,0.140195,0.177520"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.005121,0.006812,0.008722,0.012336,0.019513,0.034488,0.065936", \ "0.005121,0.006814,0.008721,0.012335,0.019512,0.034494,0.065921", \ "0.005128,0.006827,0.008737,0.012346,0.019515,0.034492,0.065933", \ "0.006018,0.007540,0.009278,0.012700,0.019705,0.034555,0.065946", \ "0.007857,0.009407,0.011129,0.014367,0.020909,0.035097,0.066037", \ "0.009764,0.011386,0.013153,0.016359,0.022596,0.036192,0.066461", \ "0.011788,0.013474,0.015314,0.018581,0.024658,0.037579,0.067010"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.600784,4.686965,4.755602,4.893813,5.002893,5.025278,5.051832", \ "4.588354,4.677673,4.750912,4.880717,4.989347,5.004524,4.994657", \ "4.581165,4.670891,4.738889,4.876750,4.976073,5.004714,4.942757", \ "4.645639,4.732880,4.804745,4.936063,5.039164,5.037525,5.103298", \ "4.812184,4.894660,4.966489,5.094991,5.202241,5.201230,5.263533", \ "5.132996,5.215516,5.271296,5.411175,5.501913,5.540083,5.526631", \ "5.573918,5.663618,5.740502,5.877142,5.966472,5.999724,5.972599"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.094815,5.110854,5.107804,5.120462,5.129384,5.164519,5.121339", \ "5.069774,5.099064,5.083159,5.113526,5.123699,5.157348,5.166338", \ "5.076389,5.077900,5.091647,5.102828,5.127514,5.146054,5.138220", \ "5.129238,5.142496,5.149662,5.151678,5.180444,5.198397,5.138318", \ "5.303214,5.302477,5.312896,5.320111,5.343604,5.356588,5.350071", \ "5.614732,5.624391,5.616310,5.626097,5.643715,5.644305,5.681937", \ "6.094216,6.083259,6.104008,6.106000,6.120734,6.089624,6.018780"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.759750,3.799110,3.840421,3.868503,3.891449,3.861913,3.893680", \ "3.762260,3.783421,3.815570,3.866845,3.881062,3.780124,3.801875", \ "3.746714,3.780646,3.819815,3.833389,3.837943,3.793692,3.795479", \ "3.861690,3.858948,3.867702,3.896793,3.917437,3.889585,3.809478", \ "4.127642,4.070162,4.078779,4.067439,4.052211,4.015667,4.001506", \ "4.483363,4.401699,4.356433,4.356249,4.331301,4.255986,4.310408", \ "4.908563,4.815931,4.759313,4.709652,4.678153,4.525729,4.537372"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.759661,3.799006,3.840314,3.868382,3.891086,3.861665,3.893463", \ "3.762144,3.783330,3.815464,3.866486,3.880918,3.779948,3.801661", \ "3.746623,3.780539,3.819709,3.833270,3.837201,3.793518,3.795374", \ "3.861599,3.858850,3.867597,3.896675,3.917298,3.889420,3.809274", \ "4.127555,4.070065,4.078680,4.067322,4.052077,4.015505,4.001303", \ "4.483288,4.401619,4.356339,4.356150,4.331115,4.255840,4.310222", \ "4.908507,4.815866,4.759246,4.709577,4.678119,4.525599,4.537204"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.759956,3.799278,3.840645,3.868756,3.891602,3.862206,3.894139", \ "3.762457,3.783633,3.815794,3.866516,3.881367,3.780496,3.802337", \ "3.746910,3.780848,3.820040,3.833641,3.837455,3.794059,3.796017", \ "3.861884,3.859157,3.867921,3.897037,3.917729,3.889952,3.809930", \ "4.127826,4.070356,4.078988,4.067664,4.052486,4.016007,4.001940", \ "4.483519,4.401868,4.356604,4.356450,4.331479,4.256299,4.310803", \ "4.908676,4.816051,4.759447,4.709815,4.678415,4.525993,4.537723"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.689269,3.728648,3.769846,3.797715,3.820369,3.791067,3.823662", \ "3.693827,3.717361,3.747067,3.788920,3.812157,3.726144,3.733109", \ "3.678726,3.712274,3.751540,3.791666,3.753646,3.725371,3.674778", \ "3.794654,3.803437,3.800275,3.829163,3.849305,3.822256,3.840104", \ "4.061009,3.994031,4.011946,4.025336,3.988100,3.948919,3.935091", \ "4.417652,4.335120,4.289510,4.289263,4.224609,4.189800,4.244639", \ "4.868843,4.750585,4.693737,4.637705,4.612454,4.459626,4.471992"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.689343,3.728717,3.769929,3.797812,3.820484,3.791382,3.823837", \ "3.693909,3.717430,3.747153,3.789011,3.812289,3.726260,3.733319", \ "3.678801,3.712345,3.751626,3.791771,3.753756,3.725502,3.674971", \ "3.794720,3.803519,3.800344,3.829240,3.849403,3.822412,3.840200", \ "4.061078,3.994108,4.012020,4.025420,3.988193,3.949028,3.935218", \ "4.417711,4.335190,4.289569,4.289346,4.224698,4.189948,4.244865", \ "4.868890,4.750639,4.693784,4.638303,4.612525,4.459695,4.472122"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.689681,3.729072,3.770321,3.798248,3.820997,3.791977,3.824591", \ "3.694252,3.717786,3.747542,3.789442,3.812812,3.726659,3.734095", \ "3.679140,3.712701,3.752016,3.792211,3.754263,3.726109,3.675734", \ "3.795053,3.803885,3.800721,3.829657,3.849893,3.823029,3.840928", \ "4.061400,3.994453,4.012383,4.025823,3.988656,3.949592,3.935919", \ "4.417991,4.335493,4.289884,4.289706,4.225120,4.190497,4.245563", \ "4.869102,4.750874,4.694032,4.638613,4.612876,4.460143,4.472719"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.759691,3.799081,3.840342,3.868411,3.891139,3.861718,3.893535", \ "3.762168,3.783381,3.815494,3.866540,3.880960,3.780008,3.801730", \ "3.746648,3.780536,3.819740,3.833298,3.836956,3.793577,3.796056", \ "3.861625,3.858878,3.867628,3.896705,3.917342,3.889474,3.809348", \ "4.127578,4.070105,4.078708,4.067348,4.052119,4.015560,4.001382", \ "4.483306,4.401638,4.356362,4.356166,4.331144,4.255883,4.310277", \ "4.908517,4.815877,4.759261,4.709074,4.678141,4.525641,4.537249"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("3.689601,3.728999,3.770231,3.798147,3.820873,3.791844,3.824403", \ "3.694164,3.717690,3.747452,3.789347,3.812673,3.726735,3.733874", \ "3.679062,3.712629,3.751926,3.792095,3.754147,3.725983,3.675549", \ "3.794984,3.803796,3.800648,3.829577,3.849792,3.822867,3.840768", \ "4.061327,3.994373,4.012304,4.025734,3.988561,3.949481,3.935785", \ "4.417927,4.335419,4.289820,4.289618,4.225026,4.190340,4.245317", \ "4.869052,4.750815,4.693981,4.637985,4.612791,4.460069,4.472582"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.714776,5.816985,5.900595,5.983888,6.066780,6.086341,6.089088", \ "5.687732,5.789385,5.885167,5.960013,6.056880,6.002726,5.995520", \ "5.682125,5.777825,5.874311,5.960788,6.006668,6.027621,6.115656", \ "5.817947,5.891478,5.954049,6.021691,6.117736,6.064071,6.096226", \ "6.061081,6.109992,6.158998,6.204996,6.264314,6.215104,6.298362", \ "6.477157,6.473622,6.495067,6.509937,6.504696,6.593095,6.452504", \ "7.074802,7.041858,7.033820,7.012967,7.016612,7.003218,6.908247"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.714608,5.816812,5.900384,5.983920,6.066578,6.086371,6.088931", \ "5.687542,5.789214,5.884989,5.955445,6.056677,6.002505,5.995277", \ "5.681961,5.777655,5.874138,5.960474,6.006469,6.027403,6.115418", \ "5.817789,5.891315,5.953878,6.021514,6.117543,6.063860,6.095989", \ "6.060933,6.109860,6.158840,6.204763,6.264134,6.214911,6.298133", \ "6.477024,6.473485,6.494924,6.509786,6.504531,6.592912,6.452293", \ "7.074690,7.041741,7.033697,7.012836,7.016467,7.003597,6.908519"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.715139,5.817354,5.901047,5.984503,6.067156,6.086827,6.088919", \ "5.688237,5.789752,5.885546,5.956035,6.057315,6.003206,5.996052", \ "5.682481,5.778185,5.874701,5.961060,6.007094,6.028093,6.116179", \ "5.818288,5.891830,5.954409,6.022071,6.118144,6.064531,6.096735", \ "6.061398,6.110329,6.159336,6.205306,6.264698,6.215567,6.298848", \ "6.477436,6.473911,6.495369,6.510256,6.505045,6.593497,6.452964", \ "7.075036,7.042101,7.034074,7.013238,7.016915,7.004123,6.909139"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.713707,5.815925,5.902253,5.988832,6.066581,6.087023,6.090723", \ "5.686742,5.788240,5.884234,5.964047,6.056539,6.003482,5.997943", \ "5.683294,5.779460,5.875426,5.970819,6.006415,6.027531,6.118041", \ "5.816969,5.890478,5.955070,6.021033,6.117615,6.064813,6.098599", \ "6.059980,6.110255,6.160007,6.216460,6.264179,6.213493,6.300697", \ "6.476177,6.472660,6.494270,6.509311,6.504383,6.585432,6.454724", \ "7.073828,7.040868,7.032977,7.016497,7.039985,7.004425,6.910844"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.713742,5.815953,5.902524,5.989704,6.066723,6.087557,6.091604", \ "5.686769,5.788261,5.884291,5.964735,6.056679,6.003647,5.998214", \ "5.683498,5.779679,5.875636,5.949433,6.006526,6.027703,6.118198", \ "5.816995,5.890517,5.955251,6.021089,6.117713,6.064987,6.099736", \ "6.059990,6.110386,6.160181,6.216522,6.264271,6.213885,6.300909", \ "6.476185,6.472683,6.494301,6.509366,6.504461,6.585096,6.454978", \ "7.073752,7.040875,7.032990,7.016408,7.039219,7.004525,6.911013"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.714372,5.816585,5.903081,5.990492,6.067381,6.088365,6.091859", \ "5.687397,5.788891,5.884942,5.965061,6.057409,6.004434,5.999101", \ "5.684103,5.780285,5.876290,5.949954,6.007236,6.028478,6.119082", \ "5.817598,5.891139,5.955865,6.021738,6.118393,6.065749,6.100575", \ "6.060556,6.110970,6.160748,6.217123,6.264916,6.214600,6.301711", \ "6.476685,6.473200,6.494824,6.509920,6.505054,6.585819,6.455761", \ "7.074182,7.041320,7.033443,7.016892,7.039798,7.005119,6.911717"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.714645,5.816853,5.900529,5.983918,6.066557,6.086157,6.089061", \ "5.687745,5.789254,5.885030,5.959570,6.056737,6.002579,5.995358", \ "5.681998,5.777693,5.874191,5.960512,6.006530,6.027476,6.115491", \ "5.817824,5.891352,5.953922,6.021564,6.117603,6.063928,6.096069", \ "6.060964,6.109895,6.158878,6.204809,6.264187,6.214984,6.298210", \ "6.477049,6.473511,6.494957,6.509824,6.504578,6.592966,6.452355", \ "7.074707,7.041760,7.033722,7.012867,7.016506,7.003651,6.908583"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("5.714348,5.816572,5.902859,5.989246,6.067141,6.087854,6.092195", \ "5.687386,5.788886,5.884897,5.964579,6.057270,6.004260,5.998817", \ "5.683876,5.780034,5.876033,5.971097,6.007140,6.028292,6.118904", \ "5.817573,5.891101,5.955650,6.021676,6.118297,6.065564,6.099421", \ "6.060547,6.110816,6.160555,6.217069,6.264835,6.214167,6.301486", \ "6.476697,6.473190,6.494810,6.509871,6.504978,6.586273,6.455500", \ "7.074270,7.041324,7.033440,7.017016,7.040773,7.005019,6.911546"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFFS_X2 Cell Description : Pos.edge D-Flip-Flop with active high scan, and active low set, and drive strength X2 *******************************************************************************************/ cell (SDFFS_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; preset : "!SN"; } area : 7.182000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 140.592991; leakage_power () { when : "!CK & !D & !SE & !SI & !SN & Q & !QN"; value : 113.679500; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & !Q & QN"; value : 146.874970; } leakage_power () { when : "!CK & !D & !SE & !SI & SN & Q & !QN"; value : 119.221300; } leakage_power () { when : "!CK & !D & !SE & SI & !SN & Q & !QN"; value : 124.205378; } leakage_power () { when : "!CK & !D & !SE & SI & SN & !Q & QN"; value : 157.400848; } leakage_power () { when : "!CK & !D & !SE & SI & SN & Q & !QN"; value : 129.747178; } leakage_power () { when : "!CK & !D & SE & !SI & !SN & Q & !QN"; value : 117.748598; } leakage_power () { when : "!CK & !D & SE & !SI & SN & !Q & QN"; value : 150.944068; } leakage_power () { when : "!CK & !D & SE & !SI & SN & Q & !QN"; value : 123.289298; } leakage_power () { when : "!CK & !D & SE & SI & !SN & Q & !QN"; value : 127.448101; } leakage_power () { when : "!CK & !D & SE & SI & SN & !Q & QN"; value : 163.785171; } leakage_power () { when : "!CK & !D & SE & SI & SN & Q & !QN"; value : 130.996272; } leakage_power () { when : "!CK & D & !SE & !SI & !SN & Q & !QN"; value : 127.575811; } leakage_power () { when : "!CK & D & !SE & !SI & SN & !Q & QN"; value : 163.911781; } leakage_power () { when : "!CK & D & !SE & !SI & SN & Q & !QN"; value : 131.123322; } leakage_power () { when : "!CK & D & !SE & SI & !SN & Q & !QN"; value : 132.963633; } leakage_power () { when : "!CK & D & !SE & SI & SN & !Q & QN"; value : 169.300703; } leakage_power () { when : "!CK & D & !SE & SI & SN & Q & !QN"; value : 136.512244; } leakage_power () { when : "!CK & D & SE & !SI & !SN & Q & !QN"; value : 131.695102; } leakage_power () { when : "!CK & D & SE & !SI & SN & !Q & QN"; value : 164.889472; } leakage_power () { when : "!CK & D & SE & !SI & SN & Q & !QN"; value : 137.235802; } leakage_power () { when : "!CK & D & SE & SI & !SN & Q & !QN"; value : 134.554541; } leakage_power () { when : "!CK & D & SE & SI & SN & !Q & QN"; value : 170.890951; } leakage_power () { when : "!CK & D & SE & SI & SN & Q & !QN"; value : 138.102712; } leakage_power () { when : "CK & !D & !SE & !SI & !SN & Q & !QN"; value : 124.348983; } leakage_power () { when : "CK & !D & !SE & !SI & SN & !Q & QN"; value : 142.378863; } leakage_power () { when : "CK & !D & !SE & !SI & SN & Q & !QN"; value : 128.411294; } leakage_power () { when : "CK & !D & !SE & SI & !SN & Q & !QN"; value : 134.874861; } leakage_power () { when : "CK & !D & !SE & SI & SN & !Q & QN"; value : 152.904741; } leakage_power () { when : "CK & !D & !SE & SI & SN & Q & !QN"; value : 138.937172; } leakage_power () { when : "CK & !D & SE & !SI & !SN & Q & !QN"; value : 128.418081; } leakage_power () { when : "CK & !D & SE & !SI & SN & !Q & QN"; value : 146.447961; } leakage_power () { when : "CK & !D & SE & !SI & SN & Q & !QN"; value : 132.479292; } leakage_power () { when : "CK & !D & SE & SI & !SN & Q & !QN"; value : 131.568514; } leakage_power () { when : "CK & !D & SE & SI & SN & !Q & QN"; value : 154.732655; } leakage_power () { when : "CK & !D & SE & SI & SN & Q & !QN"; value : 135.632255; } leakage_power () { when : "CK & D & !SE & !SI & !SN & Q & !QN"; value : 131.695894; } leakage_power () { when : "CK & D & !SE & !SI & SN & !Q & QN"; value : 154.859474; } leakage_power () { when : "CK & D & !SE & !SI & SN & Q & !QN"; value : 135.759305; } leakage_power () { when : "CK & D & !SE & SI & !SN & Q & !QN"; value : 137.084816; } leakage_power () { when : "CK & D & !SE & SI & SN & !Q & QN"; value : 160.248396; } leakage_power () { when : "CK & D & !SE & SI & SN & Q & !QN"; value : 141.148227; } leakage_power () { when : "CK & D & SE & !SI & !SN & Q & !QN"; value : 142.363485; } leakage_power () { when : "CK & D & SE & !SI & SN & !Q & QN"; value : 160.393365; } leakage_power () { when : "CK & D & SE & !SI & SN & Q & !QN"; value : 146.425796; } leakage_power () { when : "CK & D & SE & SI & !SN & Q & !QN"; value : 138.675163; } leakage_power () { when : "CK & D & SE & SI & SN & !Q & QN"; value : 161.839304; } leakage_power () { when : "CK & D & SE & SI & SN & Q & !QN"; value : 142.738904; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.119363; fall_capacitance : 1.063787; rise_capacitance : 1.119363; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.025096,-0.012980,-0.008195", \ "-0.034662,-0.021497,-0.016466", \ "0.108487,0.122387,0.128523"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.012041,-0.004188,-0.006916", \ "-0.013143,-0.006563,-0.013214", \ "0.062431,0.067688,0.052954"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE & SN"; sdf_cond : "NEG_SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.076025,0.071312,0.087198", \ "0.093865,0.088832,0.104618", \ "0.136583,0.131332,0.146091"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.072100,0.058276,0.052413", \ "0.082595,0.068751,0.062943", \ "0.090521,0.076626,0.070513"); } } internal_power () { when : "!CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.668612,7.645444,7.615774,7.627503,7.772373,8.125195,8.699078"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.158697,5.123182,5.083964,5.093474,5.230265,5.563304,6.107816"); } } internal_power () { when : "!CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.776652,7.753519,7.724850,7.735050,7.880150,8.232960,8.809483"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.382603,5.347703,5.308461,5.318614,5.454931,5.786788,6.332179"); } } internal_power () { when : "!CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.745999,7.723073,7.693423,7.704663,7.848185,8.203458,8.775558"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.176736,5.140015,5.101299,5.111396,5.250798,5.581736,6.125692"); } } internal_power () { when : "!CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.668182,7.645257,7.615358,7.627038,7.771853,8.124612,8.698067"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.162175,5.126624,5.086179,5.096303,5.233749,5.566604,6.111444"); } } internal_power () { when : "!CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.777567,7.753088,7.724405,7.734581,7.879638,8.232376,8.809624"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.387498,5.351058,5.311902,5.321810,5.458314,5.790108,6.335421"); } } internal_power () { when : "!CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.745572,7.722644,7.692955,7.704190,7.847632,8.202956,8.774855"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.180218,5.143488,5.105444,5.114960,5.254328,5.584349,6.129195"); } } internal_power () { when : "!CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717578,0.695890,0.681675,0.678113,0.676075,0.675100,0.674110"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604175,-0.609859,-0.615252,-0.618853,-0.622143,-0.623706,-0.625726"); } } internal_power () { when : "!CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717199,0.690664,0.681300,0.677732,0.675061,0.674770,0.672956"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605368,-0.611035,-0.616400,-0.620000,-0.622691,-0.624850,-0.626867"); } } internal_power () { when : "!CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717605,0.691082,0.681704,0.678141,0.675500,0.675138,0.673387"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604002,-0.609683,-0.615072,-0.618675,-0.621371,-0.623534,-0.625558"); } } internal_power () { when : "!CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649818,0.657840,0.659890,0.659298,0.659106,0.658417,0.658587"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423807,-0.489615,-0.546165,-0.567805,-0.580110,-0.587201,-0.592824"); } } internal_power () { when : "!CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651260,0.658249,0.660491,0.659264,0.659745,0.659053,0.659246"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422188,-0.487948,-0.544865,-0.566470,-0.578576,-0.586201,-0.591798"); } } internal_power () { when : "!CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649775,0.657796,0.659847,0.659255,0.658516,0.658376,0.657860"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423633,-0.489441,-0.545990,-0.567632,-0.579388,-0.587036,-0.592665"); } } internal_power () { when : "CK & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.328264,3.305562,3.278012,3.292084,3.440275,3.787346,4.350215"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526746,1.490732,1.453302,1.468568,1.609267,1.939452,2.482040"); } } internal_power () { when : "CK & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.390160,3.367765,3.339230,3.352878,3.497551,3.843442,4.401206"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.556458,1.520288,1.483030,1.498903,1.641356,1.973338,2.518379"); } } internal_power () { when : "CK & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.326632,3.303955,3.276197,3.290242,3.438473,3.785523,4.348288"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.596010,1.561306,1.522612,1.538178,1.677371,2.008459,2.551188"); } } internal_power () { when : "CK & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.325972,3.303259,3.275694,3.289734,3.437870,3.784874,4.347637"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.526798,1.490775,1.453304,1.468577,1.609298,1.939455,2.482007"); } } internal_power () { when : "CK & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.389940,3.367596,3.339063,3.352695,3.497319,3.843145,4.400776"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.560643,1.524444,1.487161,1.503119,1.645537,1.977476,2.522241"); } } internal_power () { when : "CK & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.326213,3.303289,3.275790,3.289782,3.437966,3.784963,4.347743"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.599465,1.564743,1.526101,1.541679,1.680870,2.011687,2.554679"); } } internal_power () { when : "CK & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716881,0.690992,0.681618,0.678041,0.676054,0.675037,0.673760"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.607305,-0.610644,-0.616091,-0.619695,-0.623089,-0.624650,-0.627302"); } } internal_power () { when : "CK & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717204,0.690676,0.681300,0.677738,0.675716,0.674744,0.673763"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605099,-0.610788,-0.616180,-0.619784,-0.623093,-0.624640,-0.626662"); } } internal_power () { when : "CK & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717109,0.690580,0.681205,0.677642,0.675621,0.674650,0.673307"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605255,-0.610945,-0.616336,-0.619940,-0.623254,-0.624799,-0.627600"); } } internal_power () { when : "CK & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649785,0.657521,0.659732,0.659144,0.658943,0.658256,0.658100"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424479,-0.490262,-0.546833,-0.568487,-0.580786,-0.588197,-0.594229"); } } internal_power () { when : "CK & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650928,0.657627,0.660327,0.659732,0.659499,0.658869,0.658701"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422993,-0.488814,-0.545605,-0.567161,-0.579133,-0.586725,-0.592487"); } } internal_power () { when : "CK & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.649750,0.657714,0.659763,0.659171,0.658973,0.658285,0.658135"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424022,-0.489846,-0.546409,-0.568044,-0.580337,-0.587441,-0.593741"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.912194; fall_capacitance : 1.784183; rise_capacitance : 1.912194; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.019079,-0.011580,-0.015092", \ "-0.035822,-0.029611,-0.033340", \ "0.102709,0.107811,0.092428"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.017408,-0.005801,-0.004598", \ "-0.023856,-0.011371,-0.011587", \ "0.059273,0.073039,0.064692"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.083788,0.069637,0.077604", \ "0.103260,0.089526,0.095228", \ "0.139800,0.126034,0.134385"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.086782,0.081651,0.097217", \ "0.094575,0.089201,0.105109", \ "0.096300,0.091204,0.106612"); } } internal_power () { when : "!CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.531959,2.494559,2.541374,2.741106,3.113617,3.711533,4.571267"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.039417,0.008164,0.010007,0.161846,0.538469,1.164350,2.053498"); } } internal_power () { when : "!CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.531471,2.494162,2.540997,2.740740,3.112254,3.711199,4.570136"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.037856,0.006987,0.008856,0.160694,0.534197,1.163200,2.052355"); } } internal_power () { when : "!CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.531976,2.494584,2.541404,2.741173,3.112698,3.711571,4.570569"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.039596,0.008336,0.010196,0.162816,0.535520,1.164519,2.053666"); } } internal_power () { when : "!CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.643513,8.569064,8.615861,8.953304,9.634614,10.741350,12.336650"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.657880,4.618528,4.600210,4.828746,5.466701,6.587933,8.217762"); } } internal_power () { when : "!CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.756876,8.682111,8.727759,9.062270,9.747279,10.853920,12.444200"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.881677,4.843102,4.824739,5.054245,5.692065,6.811193,8.440830"); } } internal_power () { when : "!CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("8.721044,8.646597,8.693543,9.030850,9.712058,10.818680,12.413800"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.675554,4.637308,4.618827,4.846703,5.483576,6.605326,8.233511"); } } internal_power () { when : "!CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.597455,7.566242,7.660001,7.940534,8.416321,9.121144,10.081580"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.049131,7.021962,6.959821,7.059644,7.409683,8.042580,8.972508"); } } internal_power () { when : "!CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.565126,7.533070,7.625241,7.904838,8.378538,9.081093,10.037580"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.989172,6.972906,6.954456,7.083618,7.449029,8.087072,9.014579"); } } internal_power () { when : "!CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.608488,7.576984,7.670647,7.951997,8.428078,9.134868,10.092320"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.143485,7.113286,7.053484,7.153748,7.504657,8.136193,9.067173"); } } internal_power () { when : "!CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.372897,2.340237,2.390685,2.596308,2.972367,3.575189,4.438960"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.139210,0.111866,0.115668,0.258916,0.625897,1.249677,2.140529"); } } internal_power () { when : "!CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.378421,2.343829,2.394301,2.599778,2.975925,3.579116,4.442087"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.167763,0.140807,0.144569,0.287284,0.654013,1.278133,2.168202"); } } internal_power () { when : "!CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.374848,2.340192,2.390643,2.596265,2.971780,3.575148,4.438237"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.139382,0.112040,0.115843,0.259088,0.626612,1.249841,2.140689"); } } internal_power () { when : "CK & !D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.532175,2.494477,2.541429,2.741034,3.113293,3.711395,4.570750"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.052696,0.019900,0.022478,0.175071,0.551481,1.177108,2.064101"); } } internal_power () { when : "CK & !D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.531381,2.494166,2.540969,2.740740,3.112969,3.711175,4.570884"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.038536,0.007259,0.008464,0.160944,0.533820,1.163362,2.052654"); } } internal_power () { when : "CK & !D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.530958,2.494078,2.540876,2.740672,3.112862,3.711079,4.570425"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.038381,0.007125,0.008316,0.161585,0.533686,1.163203,2.051737"); } } internal_power () { when : "CK & !D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.297706,4.223552,4.273827,4.617477,5.300000,6.394496,7.970640"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.986384,0.946507,0.934993,1.170036,1.811703,2.927856,4.544547"); } } internal_power () { when : "CK & !D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.363267,4.288070,4.339248,4.680925,5.359467,6.450635,8.024673"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.056757,1.016894,1.004686,1.242188,1.881421,2.995909,4.609583"); } } internal_power () { when : "CK & !D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.296930,4.222893,4.273367,4.617610,5.299614,6.394114,7.969754"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.093880,1.055539,1.042187,1.276729,1.916077,3.027638,4.638838"); } } internal_power () { when : "CK & D & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.981152,3.949403,4.041729,4.321177,4.796451,5.499661,6.454702"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.532628,2.516070,2.498021,2.625645,2.996558,3.636078,4.556003"); } } internal_power () { when : "CK & D & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.947718,3.915482,4.007826,4.287675,4.762728,5.466970,6.421912"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.591781,2.575217,2.557303,2.686336,3.057263,3.691245,4.618579"); } } internal_power () { when : "CK & D & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.972999,3.941117,4.034114,4.316680,4.792034,5.497631,6.455133"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.672895,2.645541,2.582813,2.682930,3.037386,3.669644,4.595009"); } } internal_power () { when : "CK & D & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.375835,2.343146,2.393759,2.599218,2.975336,3.577788,4.440674"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047647,-0.074987,-0.070754,0.072940,0.441821,1.066542,1.955293"); } } internal_power () { when : "CK & D & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.378265,2.343753,2.394570,2.599445,2.975152,3.578556,4.441338"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.167572,0.140279,0.144002,0.286669,0.654164,1.277842,2.167772"); } } internal_power () { when : "CK & D & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.372871,2.340101,2.390831,2.596124,2.972291,3.574748,4.438276"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.138890,0.111668,0.115477,0.258747,0.625803,1.249635,2.139125"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.912904; fall_capacitance : 0.877114; rise_capacitance : 0.912904; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.028983,-0.016740,-0.012176", \ "-0.035025,-0.021453,-0.016377", \ "0.100989,0.114866,0.121182"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.012906,-0.004734,-0.008125", \ "-0.012850,-0.006329,-0.013934", \ "0.050293,0.056104,0.041632"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE & SN"; sdf_cond : "SE_AND_SN === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.084989,0.079650,0.094940", \ "0.102382,0.097302,0.112951", \ "0.148723,0.142918,0.157414"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.074489,0.060748,0.055048", \ "0.086736,0.072624,0.066863", \ "0.098020,0.084148,0.077855"); } } internal_power () { when : "!CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542972,0.526127,0.519118,0.515588,0.513693,0.512605,0.511625"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465505,-0.469396,-0.471673,-0.473062,-0.474696,-0.474878,-0.475472"); } } internal_power () { when : "!CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542589,0.525735,0.518743,0.515206,0.512665,0.512275,0.510455"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466698,-0.470573,-0.472817,-0.474209,-0.475230,-0.476022,-0.476613"); } } internal_power () { when : "!CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542997,0.526150,0.519146,0.515615,0.513104,0.512643,0.510886"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465332,-0.469220,-0.471490,-0.472884,-0.473910,-0.474706,-0.475303"); } } internal_power () { when : "!CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.633693,7.623710,7.601008,7.606619,7.706007,7.952374,8.368717"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.691276,4.666078,4.640415,4.643755,4.734187,4.965142,5.353733"); } } internal_power () { when : "!CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.741246,7.730714,7.708977,7.714281,7.812740,8.064738,8.474958"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.917374,4.891172,4.865087,4.869812,4.957961,5.188388,5.577750"); } } internal_power () { when : "!CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.713930,7.701012,7.678481,7.683699,7.782243,8.029428,8.444995"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.709365,4.683696,4.658694,4.661529,4.752721,4.982774,5.371387"); } } internal_power () { when : "!CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481248,0.488926,0.490692,0.490290,0.490086,0.489873,0.490006"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321384,-0.370309,-0.410683,-0.425975,-0.435168,-0.440159,-0.444156"); } } internal_power () { when : "!CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481986,0.489630,0.491545,0.491023,0.490866,0.490650,0.490381"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.319559,-0.368165,-0.408517,-0.423952,-0.433024,-0.437782,-0.442680"); } } internal_power () { when : "!CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481200,0.488879,0.490648,0.490246,0.489428,0.489831,0.489194"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321211,-0.370135,-0.410508,-0.425802,-0.434378,-0.439994,-0.443996"); } } internal_power () { when : "!CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.633076,7.623261,7.600357,7.605917,7.705245,7.950894,8.367605"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.694856,4.669862,4.643991,4.647512,4.737270,4.968782,5.357247"); } } internal_power () { when : "!CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.740632,7.730496,7.708329,7.713586,7.811982,8.063856,8.473993"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.920850,4.894663,4.868890,4.873138,4.961246,5.191908,5.580790"); } } internal_power () { when : "!CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.713314,7.700490,7.677834,7.682980,7.781501,8.028611,8.444118"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.712906,4.687309,4.662270,4.666360,4.754788,4.986516,5.374890"); } } internal_power () { when : "CK & !D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542408,0.525531,0.518575,0.515123,0.513160,0.512066,0.510708"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466990,-0.470568,-0.473035,-0.474430,-0.476067,-0.476347,-0.477913"); } } internal_power () { when : "CK & !D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542592,0.525747,0.518742,0.515212,0.513331,0.512248,0.511277"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466446,-0.470342,-0.472596,-0.473992,-0.475647,-0.475812,-0.476408"); } } internal_power () { when : "CK & !D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542496,0.525655,0.518649,0.515117,0.513237,0.512154,0.510814"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466604,-0.470498,-0.472754,-0.474149,-0.475808,-0.475972,-0.477363"); } } internal_power () { when : "CK & !D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.291981,3.277433,3.255315,3.262979,3.361540,3.602896,4.000776"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.132791,1.106824,1.082762,1.090576,1.182265,1.410405,1.795732"); } } internal_power () { when : "CK & !D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.346351,3.332148,3.309868,3.319700,3.416384,3.655132,4.052459"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.094525,1.068609,1.044815,1.053556,1.146795,1.375907,1.763009"); } } internal_power () { when : "CK & !D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.287144,3.273896,3.251837,3.259500,3.358035,3.599428,3.997278"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.133926,1.107781,1.082445,1.090183,1.181939,1.409982,1.795380"); } } internal_power () { when : "CK & D & !SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482817,0.490482,0.492365,0.491844,0.491628,0.491408,0.491179"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.318222,-0.366861,-0.407235,-0.422664,-0.431614,-0.436478,-0.441224"); } } internal_power () { when : "CK & D & !SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481884,0.489550,0.491439,0.490919,0.490092,0.490504,0.489855"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320196,-0.368830,-0.409195,-0.424626,-0.432976,-0.438448,-0.442439"); } } internal_power () { when : "CK & D & !SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481130,0.488816,0.490569,0.490163,0.489953,0.489739,0.489516"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321618,-0.370561,-0.410924,-0.426212,-0.435389,-0.440398,-0.445156"); } } internal_power () { when : "CK & D & SE & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.286831,3.273233,3.251089,3.258702,3.357203,3.598489,3.995984"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.063591,1.039432,1.015498,1.022586,1.114446,1.342147,1.726608"); } } internal_power () { when : "CK & D & SE & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.346681,3.331864,3.310701,3.319297,3.415651,3.654554,4.051768"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.098807,1.072876,1.049229,1.057771,1.150991,1.380284,1.767130"); } } internal_power () { when : "CK & D & SE & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.285640,3.273310,3.251199,3.258815,3.357303,3.598580,3.996320"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.136353,1.111399,1.086023,1.093840,1.185546,1.413602,1.798915"); } } } pin (SN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.224456; fall_capacitance : 2.224456; rise_capacitance : 2.195969; timing () { related_pin : "CK"; timing_type : recovery_rising; rise_constraint(Recovery_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.064411,-0.080088,-0.091551", \ "-0.069696,-0.083632,-0.092062", \ "-0.032942,-0.050706,-0.059901"); } } timing () { related_pin : "CK"; timing_type : removal_rising; rise_constraint(Removal_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.171864,0.180763,0.189769", \ "0.226540,0.235466,0.244579", \ "0.418934,0.427752,0.436608"); } } timing () { related_pin : "SN"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.180650,0.199515,0.318468"); } } internal_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.262215,1.268487,1.270578,1.271772,1.271559,1.271495,1.270768"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.763982,-0.771130,-0.785536,-0.793785,-0.797302,-0.798536,-0.798236"); } } internal_power () { when : "!CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.262220,1.268493,1.270588,1.271780,1.271575,1.271513,1.270789"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.763959,-0.771104,-0.785504,-0.793753,-0.797287,-0.798504,-0.798203"); } } internal_power () { when : "!CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.262198,1.268475,1.270563,1.271760,1.271540,1.271477,1.270746"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.764003,-0.771155,-0.785567,-0.793818,-0.797318,-0.798569,-0.798268"); } } internal_power () { when : "!CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.253080,1.262583,1.266314,1.267637,1.267315,1.267082,1.266533"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.876146,-0.879466,-0.878183,-0.880539,-0.880720,-0.878365,-0.876955"); } } internal_power () { when : "!CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.253119,1.262605,1.266350,1.267667,1.267379,1.267148,1.266613"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.876059,-0.879365,-0.878060,-0.880416,-0.880661,-0.878242,-0.876832"); } } internal_power () { when : "!CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.253110,1.262601,1.266344,1.267662,1.267370,1.267138,1.266601"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.876072,-0.879380,-0.878078,-0.880434,-0.880670,-0.878261,-0.876850"); } } internal_power () { when : "!CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.262208,1.268482,1.270576,1.271771,1.271562,1.271500,1.270774"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.763972,-0.771120,-0.785524,-0.793774,-0.797297,-0.798525,-0.798225"); } } internal_power () { when : "!CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.253071,1.262578,1.266306,1.267630,1.267302,1.267069,1.266516"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.876164,-0.879487,-0.878208,-0.880564,-0.880732,-0.878390,-0.876980"); } } internal_power () { when : "CK & !D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.270032,1.269122,1.268964,1.272128,1.272550,1.271401,1.270794"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.869263,-0.872255,-0.875485,-0.879114,-0.875704,-0.878258,-0.878024"); } } internal_power () { when : "CK & !D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.269500,1.268590,1.268436,1.271600,1.272031,1.270884,1.270274"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.870447,-0.873437,-0.876661,-0.880293,-0.876898,-0.879438,-0.879226"); } } internal_power () { when : "CK & !D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.269691,1.272223,1.269150,1.272246,1.272734,1.271594,1.270993"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.854257,-0.858046,-0.860712,-0.864175,-0.860368,-0.863831,-0.863825"); } } internal_power () { when : "CK & !D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.269384,1.268493,1.268218,1.271485,1.271862,1.270712,1.270154"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.870885,-0.873920,-0.877156,-0.880778,-0.877282,-0.879917,-0.879581"); } } internal_power () { when : "CK & D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.270025,1.272553,1.269381,1.272566,1.273049,1.271901,1.271313"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.853155,-0.856938,-0.859607,-0.863061,-0.859259,-0.862724,-0.862724"); } } internal_power () { when : "CK & D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.269972,1.269069,1.268805,1.272066,1.272470,1.271317,1.270734"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.869273,-0.872295,-0.875511,-0.879133,-0.875695,-0.878274,-0.878010"); } } internal_power () { when : "CK & D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.269493,1.268587,1.268431,1.271598,1.272024,1.270877,1.270271"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.870432,-0.873423,-0.876652,-0.880284,-0.876879,-0.879431,-0.879204"); } } internal_power () { when : "CK & D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.269375,1.268481,1.268210,1.271478,1.271849,1.270699,1.270145"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.870946,-0.874333,-0.877197,-0.880807,-0.877300,-0.879913,-0.879590"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.961605; fall_capacitance : 0.873316; rise_capacitance : 0.961605; timing () { related_pin : "CK"; timing_type : min_pulse_width; when : "SN"; sdf_cond : "SN === 1'b1"; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.069241,0.077851,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.092439,0.091369,0.198733"); } } internal_power () { when : "!D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.509289,7.476823,7.488472,7.675463,8.060947,8.672013,9.561544"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.004770,20.954460,20.682750,20.598360,20.920760,21.653740,22.821310"); } } internal_power () { when : "!D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.860116,3.830977,3.841755,4.017383,4.378292,4.957110,5.803276"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.387605,3.366681,3.356953,3.478253,3.812643,4.416110,5.317463"); } } internal_power () { when : "!D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.628847,7.592478,7.602802,7.791473,8.175564,8.788555,9.675033"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.509344,7.476813,7.488469,7.675457,8.060947,8.672029,9.561563"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.005090,20.954490,20.682790,20.598390,20.920770,21.653780,22.821340"); } } internal_power () { when : "!D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.860125,3.830991,3.841780,4.017404,4.378310,4.957144,5.803292"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.387646,3.366737,3.357002,3.478313,3.812691,4.416159,5.317512"); } } internal_power () { when : "!D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.628851,7.592487,7.602822,7.791500,8.175592,8.788589,9.675046"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.508453,7.476614,7.488149,7.675136,8.060680,8.671897,9.561495"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.004410,20.954510,20.682760,20.598340,20.920720,21.653680,22.821250"); } } internal_power () { when : "!D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.859947,3.830802,3.841586,4.017248,4.378138,4.957071,5.803270"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.387705,3.366805,3.357045,3.478359,3.812717,4.416103,5.317492"); } } internal_power () { when : "!D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.628555,7.592204,7.602536,7.791228,8.175394,8.788455,9.674993"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990536,3.959715,3.972493,4.152905,4.519629,5.106161,5.960439"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.247075,3.225840,3.212698,3.334342,3.674639,4.282807,5.191443"); } } internal_power () { when : "!D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.542607,7.507802,7.519739,7.695749,8.058818,8.641083,9.492886"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990126,3.958533,3.972102,4.152121,4.518417,5.105436,5.957863"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.255798,3.233032,3.220681,3.341138,3.679842,4.287508,5.195049"); } } internal_power () { when : "D & !SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990577,3.959734,3.972527,4.152932,4.519690,5.106228,5.960505"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.247154,3.225811,3.212827,3.334470,3.674689,4.282924,5.191566"); } } internal_power () { when : "D & !SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.543328,7.508520,7.520742,7.696801,8.060392,8.642485,9.494346"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990176,3.958556,3.972135,4.152394,4.518415,5.105601,5.957862"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.255867,3.233138,3.220806,3.341263,3.679967,4.287625,5.195171"); } } internal_power () { when : "D & !SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990560,3.959728,3.972520,4.152927,4.519679,5.106218,5.960494"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.247152,3.225797,3.212809,3.334451,3.674681,4.282904,5.191546"); } } internal_power () { when : "D & !SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.544253,7.509452,7.521250,7.697743,8.061155,8.643478,9.495319"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990153,3.958548,3.972129,4.152389,4.518414,5.105591,5.957863"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.255878,3.233124,3.220787,3.341245,3.679949,4.287607,5.195153"); } } internal_power () { when : "D & SE & !SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.508958,7.476631,7.488163,7.675131,8.060682,8.671922,9.561523"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("21.005740,20.954560,20.682810,20.598380,20.920740,21.653730,22.821290"); } } internal_power () { when : "D & SE & !SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.859970,3.830824,3.841613,4.017271,4.378153,4.957112,5.803287"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.387751,3.366864,3.357103,3.478413,3.812775,4.416171,5.317548"); } } internal_power () { when : "D & SE & !SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.628581,7.592233,7.602575,7.791270,8.175408,8.788502,9.675009"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990524,3.959709,3.972485,4.152899,4.519615,5.106147,5.960422"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.247059,3.225820,3.212672,3.334317,3.674628,4.282782,5.191418"); } } internal_power () { when : "D & SE & SI & SN & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.542705,7.508037,7.519443,7.695967,8.059240,8.641310,9.493140"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & SN & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.990114,3.958527,3.972093,4.152114,4.518416,5.105422,5.957863"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.255782,3.233011,3.220656,3.341113,3.679817,4.287482,5.195024"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.084973,0.091996,0.098286,0.108634,0.125175,0.151077,0.193174", \ "0.086457,0.093479,0.099780,0.110127,0.126663,0.152569,0.194650", \ "0.091506,0.098523,0.104822,0.115170,0.131709,0.157618,0.199699", \ "0.096888,0.103911,0.110204,0.120553,0.137102,0.163006,0.205095", \ "0.100763,0.107792,0.114084,0.124449,0.140968,0.166885,0.208976", \ "0.103124,0.110147,0.116446,0.126797,0.143323,0.169239,0.211350", \ "0.103920,0.110951,0.117243,0.127637,0.144152,0.170067,0.212178"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.072099,0.079176,0.085652,0.096850,0.116748,0.153794,0.226346", \ "0.073573,0.080648,0.087126,0.098320,0.118222,0.155270,0.227819", \ "0.078660,0.085735,0.092212,0.103411,0.123303,0.160352,0.232898", \ "0.084341,0.091413,0.097888,0.109092,0.128989,0.166042,0.238592", \ "0.088751,0.095827,0.102303,0.113501,0.133394,0.170443,0.242993", \ "0.091609,0.098682,0.105160,0.116370,0.136258,0.173292,0.245853", \ "0.092494,0.099570,0.106050,0.117253,0.137138,0.174177,0.246729"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.015581,0.018101,0.020675,0.025277,0.033288,0.047016,0.074500", \ "0.015583,0.018102,0.020675,0.025278,0.033289,0.047012,0.074496", \ "0.015587,0.018113,0.020683,0.025287,0.033295,0.047015,0.074499", \ "0.015597,0.018121,0.020691,0.025293,0.033302,0.047021,0.074505", \ "0.015626,0.018147,0.020720,0.025312,0.033315,0.047032,0.074510", \ "0.015637,0.018159,0.020729,0.025332,0.033339,0.047049,0.074516", \ "0.015701,0.018221,0.020789,0.025387,0.033384,0.047079,0.074512"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.008340,0.012480,0.016638,0.024599,0.040516,0.073418,0.141582", \ "0.008336,0.012479,0.016639,0.024601,0.040517,0.073418,0.141582", \ "0.008335,0.012479,0.016635,0.024601,0.040515,0.073417,0.141586", \ "0.008338,0.012475,0.016637,0.024600,0.040516,0.073419,0.141580", \ "0.008337,0.012472,0.016635,0.024604,0.040520,0.073419,0.141584", \ "0.008344,0.012483,0.016644,0.024605,0.040521,0.073417,0.141588", \ "0.008354,0.012495,0.016652,0.024612,0.040523,0.073422,0.141583"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108308,0.116123,0.123730,0.137030,0.159622,0.198886,0.272305", \ "0.109846,0.117662,0.125270,0.138570,0.161163,0.200429,0.273847", \ "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ "0.173942,0.181396,0.188396,0.200567,0.221920,0.260249,0.333104"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014785,0.018930,0.023540,0.031955,0.047544,0.078738,0.144519", \ "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108308,0.116123,0.123730,0.137030,0.159622,0.198886,0.272308", \ "0.109846,0.117662,0.125270,0.138570,0.161163,0.200429,0.273847", \ "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ "0.173942,0.181396,0.188396,0.200567,0.221920,0.260249,0.333104"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014785,0.018930,0.023540,0.031955,0.047547,0.078738,0.144519", \ "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108308,0.116123,0.123730,0.137030,0.159621,0.198886,0.272305", \ "0.109846,0.117663,0.125270,0.138570,0.161163,0.200429,0.273847", \ "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ "0.173942,0.181397,0.188396,0.200567,0.221920,0.260249,0.333104"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014785,0.018930,0.023540,0.031955,0.047544,0.078738,0.144519", \ "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108323,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ "0.014784,0.018932,0.023542,0.031963,0.047559,0.078759,0.144547", \ "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ "0.014787,0.018933,0.023542,0.031961,0.047561,0.078765,0.144549", \ "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108324,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ "0.014784,0.018932,0.023542,0.031963,0.047559,0.078759,0.144547", \ "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ "0.014787,0.018933,0.023542,0.031961,0.047561,0.078765,0.144549", \ "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108323,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ "0.014784,0.018932,0.023542,0.031963,0.047559,0.078759,0.144547", \ "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ "0.014787,0.018933,0.023542,0.031961,0.047561,0.078765,0.144549", \ "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108308,0.116123,0.123730,0.137030,0.159622,0.198886,0.272305", \ "0.109846,0.117662,0.125270,0.138570,0.161163,0.200429,0.273847", \ "0.116142,0.123963,0.131569,0.144863,0.167451,0.206714,0.280130", \ "0.128104,0.135790,0.143274,0.156429,0.178893,0.218075,0.291450", \ "0.142296,0.149777,0.157015,0.169831,0.192011,0.231004,0.304267", \ "0.157443,0.164857,0.171925,0.184405,0.206206,0.244920,0.317989", \ "0.173942,0.181396,0.188396,0.200567,0.221920,0.260249,0.333104"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014785,0.018930,0.023540,0.031955,0.047544,0.078738,0.144519", \ "0.014784,0.018929,0.023539,0.031957,0.047547,0.078737,0.144506", \ "0.014786,0.018930,0.023538,0.031956,0.047545,0.078741,0.144513", \ "0.014784,0.018930,0.023539,0.031955,0.047550,0.078743,0.144507", \ "0.014789,0.018934,0.023544,0.031958,0.047551,0.078726,0.144521", \ "0.014798,0.018947,0.023559,0.031984,0.047571,0.078735,0.144523", \ "0.014842,0.018976,0.023581,0.031997,0.047580,0.078737,0.144521"); } } timing () { related_pin : "SN"; timing_type : preset; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.108323,0.116140,0.123748,0.137051,0.159648,0.198923,0.272363", \ "0.109863,0.117679,0.125287,0.138591,0.161190,0.200466,0.273906", \ "0.116159,0.123980,0.131588,0.144885,0.167478,0.206751,0.280188", \ "0.128115,0.135802,0.143288,0.156445,0.178914,0.218107,0.291505", \ "0.142302,0.149784,0.157022,0.169845,0.192031,0.231027,0.304313", \ "0.157445,0.164861,0.171929,0.184411,0.206219,0.244940,0.318028", \ "0.173944,0.181392,0.188390,0.200573,0.221930,0.260275,0.333148"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.014787,0.018934,0.023542,0.031962,0.047556,0.078761,0.144551", \ "0.014784,0.018932,0.023542,0.031963,0.047559,0.078758,0.144547", \ "0.014788,0.018932,0.023541,0.031961,0.047556,0.078764,0.144547", \ "0.014786,0.018933,0.023542,0.031961,0.047561,0.078765,0.144548", \ "0.014791,0.018935,0.023547,0.031964,0.047560,0.078764,0.144561", \ "0.014800,0.018950,0.023563,0.031989,0.047583,0.078775,0.144561", \ "0.014842,0.018978,0.023587,0.032004,0.047590,0.078752,0.144560"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225027,0.232392,0.239053,0.250310,0.269921,0.306697,0.379006", \ "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ "0.232666,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ "0.278696,0.286046,0.292693,0.303935,0.323487,0.360246,0.432528", \ "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009837,0.013747,0.017676,0.025143,0.040520,0.073411,0.141660", \ "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ "0.009835,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ "0.009838,0.013751,0.017677,0.025147,0.040520,0.073407,0.141675", \ "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225027,0.232392,0.239053,0.250310,0.269924,0.306697,0.379006", \ "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ "0.232661,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ "0.278696,0.286046,0.292693,0.303935,0.323487,0.360246,0.432528", \ "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009837,0.013747,0.017676,0.025143,0.040527,0.073411,0.141659", \ "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ "0.009839,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ "0.009838,0.013751,0.017677,0.025147,0.040520,0.073407,0.141675", \ "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225027,0.232392,0.239053,0.250310,0.269921,0.306697,0.379005", \ "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ "0.232660,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ "0.278696,0.286045,0.292693,0.303935,0.323487,0.360246,0.432528", \ "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009837,0.013747,0.017676,0.025143,0.040520,0.073411,0.141660", \ "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ "0.009839,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ "0.009838,0.013751,0.017677,0.025147,0.040520,0.073406,0.141675", \ "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225091,0.232455,0.239116,0.250372,0.269980,0.306751,0.379051", \ "0.226630,0.233991,0.240649,0.251903,0.271513,0.308279,0.380578", \ "0.232729,0.240090,0.246753,0.258010,0.277622,0.314396,0.386698", \ "0.242749,0.250114,0.256770,0.268032,0.287645,0.324425,0.396724", \ "0.257505,0.264873,0.271525,0.282769,0.302363,0.339119,0.411412", \ "0.278752,0.286101,0.292749,0.303990,0.323539,0.360294,0.432572", \ "0.307357,0.314712,0.321366,0.332598,0.352164,0.388860,0.461160"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009839,0.013749,0.017678,0.025145,0.040521,0.073413,0.141673", \ "0.009837,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ "0.009837,0.013745,0.017677,0.025144,0.040521,0.073416,0.141667", \ "0.009840,0.013751,0.017676,0.025144,0.040518,0.073404,0.141656", \ "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ "0.009840,0.013753,0.017678,0.025148,0.040520,0.073409,0.141679", \ "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225096,0.232460,0.239121,0.250377,0.269985,0.306755,0.379054", \ "0.226635,0.233996,0.240654,0.251908,0.271517,0.308283,0.380580", \ "0.232734,0.240095,0.246757,0.258015,0.277627,0.314399,0.386701", \ "0.242753,0.250118,0.256775,0.268036,0.287649,0.324429,0.396727", \ "0.257509,0.264877,0.271529,0.282773,0.302367,0.339123,0.411415", \ "0.278756,0.286106,0.292753,0.303993,0.323543,0.360297,0.432574", \ "0.307360,0.314716,0.321370,0.332602,0.352168,0.388863,0.461163"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009839,0.013749,0.017678,0.025146,0.040524,0.073413,0.141658", \ "0.009837,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ "0.009837,0.013745,0.017677,0.025144,0.040521,0.073417,0.141667", \ "0.009840,0.013751,0.017676,0.025144,0.040518,0.073404,0.141656", \ "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ "0.009840,0.013751,0.017678,0.025148,0.040520,0.073409,0.141679", \ "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225096,0.232460,0.239121,0.250376,0.269985,0.306755,0.379054", \ "0.226635,0.233996,0.240653,0.251907,0.271517,0.308283,0.380580", \ "0.232730,0.240095,0.246757,0.258014,0.277626,0.314399,0.386701", \ "0.242753,0.250118,0.256774,0.268036,0.287649,0.324429,0.396727", \ "0.257509,0.264877,0.271529,0.282773,0.302367,0.339122,0.411415", \ "0.278756,0.286105,0.292753,0.303993,0.323543,0.360297,0.432574", \ "0.307360,0.314716,0.321369,0.332602,0.352167,0.388862,0.461163"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009839,0.013749,0.017678,0.025146,0.040525,0.073413,0.141673", \ "0.009837,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ "0.009841,0.013745,0.017677,0.025144,0.040521,0.073417,0.141667", \ "0.009840,0.013751,0.017676,0.025144,0.040518,0.073404,0.141656", \ "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ "0.009840,0.013753,0.017678,0.025148,0.040520,0.073409,0.141679", \ "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225027,0.232392,0.239053,0.250310,0.269920,0.306697,0.379005", \ "0.226567,0.233928,0.240586,0.251841,0.271454,0.308225,0.380532", \ "0.232661,0.240028,0.246694,0.257949,0.277564,0.314343,0.386654", \ "0.242681,0.250054,0.256711,0.267972,0.287588,0.324374,0.396681", \ "0.257451,0.264815,0.271467,0.282713,0.302309,0.339070,0.411370", \ "0.278696,0.286046,0.292693,0.303935,0.323487,0.360246,0.432528", \ "0.307301,0.314656,0.321311,0.332540,0.352112,0.388811,0.461119"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009837,0.013747,0.017676,0.025143,0.040520,0.073411,0.141657", \ "0.009834,0.013749,0.017675,0.025142,0.040520,0.073423,0.141662", \ "0.009839,0.013742,0.017677,0.025143,0.040521,0.073415,0.141668", \ "0.009833,0.013748,0.017675,0.025142,0.040515,0.073401,0.141657", \ "0.009838,0.013743,0.017676,0.025142,0.040518,0.073421,0.141665", \ "0.009838,0.013751,0.017677,0.025147,0.040520,0.073407,0.141675", \ "0.009858,0.013764,0.017692,0.025159,0.040522,0.073416,0.141683"); } } timing () { related_pin : "SN"; timing_type : preset; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : negative_unate; cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.225090,0.232453,0.239115,0.250371,0.269977,0.306750,0.379051", \ "0.226629,0.233990,0.240648,0.251902,0.271511,0.308278,0.380577", \ "0.232724,0.240089,0.246751,0.258009,0.277621,0.314395,0.386697", \ "0.242747,0.250112,0.256769,0.268031,0.287644,0.324424,0.396723", \ "0.257504,0.264872,0.271524,0.282768,0.302362,0.339118,0.411411", \ "0.278751,0.286100,0.292748,0.303988,0.323538,0.360293,0.432571", \ "0.307356,0.314711,0.321365,0.332597,0.352163,0.388859,0.461160"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.009839,0.013749,0.017677,0.025145,0.040525,0.073413,0.141659", \ "0.009836,0.013751,0.017677,0.025143,0.040522,0.073424,0.141663", \ "0.009840,0.013745,0.017677,0.025144,0.040521,0.073416,0.141667", \ "0.009840,0.013750,0.017676,0.025144,0.040518,0.073404,0.141656", \ "0.009839,0.013745,0.017678,0.025144,0.040518,0.073421,0.141664", \ "0.009840,0.013751,0.017678,0.025148,0.040520,0.073409,0.141679", \ "0.009859,0.013766,0.017694,0.025161,0.040522,0.073418,0.141683"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.520903,8.336620,8.134300,7.903874,7.787994,7.792400,7.737209", \ "8.491912,8.322740,8.128504,7.894490,7.779006,7.783275,7.710758", \ "8.516018,8.329499,8.116749,7.857478,7.754341,7.747702,7.725483", \ "8.524815,8.384295,8.119831,7.945362,7.814327,7.786777,7.595792", \ "8.728457,8.538229,8.327789,8.096700,7.977711,7.965824,7.892818", \ "9.016856,8.861823,8.633736,8.396429,8.219327,8.256595,8.157729", \ "9.534266,9.342004,9.132942,8.888037,8.720608,8.711657,8.510677"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("7.313152,7.375533,7.461481,7.700822,7.909516,7.882810,8.006232", \ "7.299358,7.356054,7.438471,7.683545,7.913860,7.846212,8.000286", \ "7.294540,7.359891,7.437423,7.677395,7.906368,7.958747,7.991896", \ "7.341554,7.421517,7.506884,7.747095,7.970394,7.925060,8.045957", \ "7.528361,7.568280,7.665461,7.916136,8.126538,8.201298,8.221482", \ "7.839996,7.906664,8.001481,8.226014,8.445144,8.400124,8.409444", \ "8.285384,8.369975,8.449279,8.700221,8.903402,8.821237,8.849387"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.904431,6.714203,6.698635,6.710734,6.638064,6.528949,6.545310", \ "6.878826,6.665671,6.658531,6.635929,6.629742,6.376589,6.358005", \ "6.850750,6.661829,6.611894,6.625095,6.555807,6.451901,6.569803", \ "7.140200,6.840218,6.772748,6.771116,6.768620,6.526557,6.437432", \ "7.670334,7.284242,7.166127,7.110401,7.107712,6.787676,6.962355", \ "8.432037,7.979281,7.811867,7.690395,7.602218,7.356209,7.403780", \ "9.411522,8.892858,8.684491,8.514587,8.345427,8.093213,8.060182"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.904325,6.714089,6.698260,6.710600,6.638195,6.528763,6.544894", \ "6.878720,6.665559,6.658410,6.635796,6.629590,6.376407,6.357789", \ "6.850738,6.661717,6.611775,6.624964,6.555656,6.451725,6.569591", \ "7.140112,6.840108,6.772642,6.770987,6.768473,6.526381,6.437226", \ "7.670237,7.284139,7.166017,7.110281,7.107574,6.787512,6.962159", \ "8.431953,7.979192,7.811773,7.690290,7.602094,7.356057,7.403596", \ "9.411459,8.892791,8.684419,8.514504,8.345325,8.093083,8.060021"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.904654,6.714433,6.699736,6.710996,6.638457,6.529347,6.545761", \ "6.879047,6.665901,6.658788,6.636187,6.630045,6.376956,6.358458", \ "6.850970,6.662058,6.612130,6.625354,6.556111,6.452236,6.570251", \ "7.140431,6.840440,6.772951,6.771366,6.768913,6.526911,6.437871", \ "7.670534,7.284448,7.166339,7.110631,7.107990,6.788014,6.962772", \ "8.432204,7.979454,7.812046,7.690591,7.602454,7.356508,7.404164", \ "9.411642,8.892983,8.684621,8.514734,8.345615,8.093466,8.060523"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.811758,6.620651,6.602258,6.616306,6.542904,6.432976,6.447399", \ "6.787462,6.573894,6.527011,6.543359,6.536496,6.282325,6.262598", \ "6.775007,6.571057,6.531831,6.533452,6.463392,6.358713,6.475257", \ "7.050382,6.750148,6.683369,6.680116,6.676963,6.433947,6.614696", \ "7.581180,7.195109,7.076797,7.020154,6.941987,6.695738,6.869669", \ "8.344707,7.891261,7.723741,7.601371,7.512162,7.265085,7.312098", \ "9.326014,8.807518,8.590835,8.428269,8.255209,8.003709,7.970299"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.811844,6.620740,6.601852,6.616412,6.543041,6.433128,6.447538", \ "6.787551,6.573983,6.527093,6.543452,6.536602,6.282433,6.262767", \ "6.775092,6.571147,6.531912,6.533555,6.463524,6.358900,6.475446", \ "7.050458,6.750225,6.683434,6.680210,6.677071,6.434057,6.614926", \ "7.581254,7.195185,7.076867,7.020230,6.942073,6.695875,6.869880", \ "8.344766,7.891325,7.723802,7.601431,7.512234,7.265162,7.312271", \ "9.326057,8.807563,8.590876,8.428323,8.255269,8.003778,7.970487"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.812252,6.621178,6.602757,6.616927,6.543635,6.433819,6.448335", \ "6.787961,6.574419,6.527549,6.543957,6.537177,6.283099,6.263576", \ "6.775499,6.571582,6.532381,6.534064,6.464112,6.359603,6.476255", \ "7.050854,6.750645,6.683881,6.680703,6.677631,6.434705,6.615751", \ "7.581628,7.195583,7.077284,7.020691,6.942594,6.696508,6.870653", \ "8.345091,7.891675,7.724169,7.601833,7.512698,7.265719,7.312985", \ "9.326306,8.807834,8.591166,8.428654,8.255662,8.004266,7.971145"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.904342,6.714100,6.699204,6.710604,6.637920,6.528818,6.545158", \ "6.878736,6.665570,6.658434,6.635802,6.629603,6.376440,6.357837", \ "6.850661,6.661728,6.611783,6.624968,6.555665,6.451719,6.569635", \ "7.140113,6.840119,6.772625,6.770991,6.768483,6.526412,6.437255", \ "7.670250,7.284147,7.166022,7.110282,7.107587,6.787533,6.962192", \ "8.431960,7.979194,7.811771,7.690287,7.602097,7.356078,7.403626", \ "9.411457,8.892783,8.684406,8.514489,8.345317,8.093093,8.060037"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.812160,6.621082,6.602713,6.616813,6.543490,6.433656,6.448186", \ "6.787864,6.574323,6.527461,6.543857,6.537062,6.282981,6.263395", \ "6.775407,6.571485,6.532293,6.533954,6.463971,6.359405,6.476053", \ "7.050781,6.750563,6.683810,6.680602,6.677514,6.434586,6.615500", \ "7.581548,7.195501,7.077208,7.020606,6.942500,6.696362,6.870428", \ "8.345025,7.891603,7.724101,7.601767,7.512620,7.265635,7.312799", \ "9.326259,8.807784,8.591120,8.428594,8.255595,8.004189,7.970943"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.516788,8.608874,8.718941,8.848001,8.903249,8.934616,8.863503", \ "8.479837,8.580906,8.663861,8.767861,8.885704,8.784374,8.675344", \ "8.461886,8.504284,8.671731,8.770446,8.830932,8.867925,8.560367", \ "8.745459,8.743435,8.813669,8.877978,8.854513,9.032877,8.851569", \ "9.278825,9.192342,9.208376,9.272191,9.299330,9.180621,9.252636", \ "10.074920,9.903162,9.825575,9.852996,9.847976,9.849432,9.551169", \ "11.194730,10.939680,10.827760,10.784360,10.655760,10.634220,10.390000"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.516609,8.608693,8.718755,8.847805,8.903398,8.934333,8.863269", \ "8.479660,8.580724,8.663676,8.767667,8.885493,8.784150,8.675099", \ "8.475782,8.504106,8.671548,8.770255,8.830730,8.867674,8.560124", \ "8.745291,8.743262,8.813763,8.877796,8.854317,9.032664,8.851338", \ "9.278667,9.192181,9.208211,9.272019,9.299144,9.180418,9.252414", \ "10.074780,9.903017,9.825426,9.852838,9.847806,9.849243,9.550956", \ "11.194610,10.939560,10.827630,10.784220,10.655610,10.634050,10.389810"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.517172,8.609264,8.719331,8.848404,8.903898,8.956154,8.863639", \ "8.480220,8.581292,8.664249,8.768260,8.886111,8.784841,8.675860", \ "8.476339,8.504665,8.672113,8.770841,8.831353,8.868410,8.560877", \ "8.745819,8.743791,8.814231,8.878356,8.854911,9.033326,8.852055", \ "9.279159,9.192675,9.208714,9.272540,9.299703,9.181047,9.253112", \ "10.075220,9.895723,9.825873,9.853305,9.848311,9.850767,9.551614", \ "11.194970,10.939930,10.828010,10.784620,10.656050,10.634560,10.390410"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.518368,8.606152,8.716042,8.845310,8.901121,8.933687,8.863100", \ "8.481396,8.582618,8.660899,8.765081,8.881677,8.894189,8.676002", \ "8.462135,8.501350,8.669141,8.767789,8.828772,8.867010,8.561036", \ "8.754223,8.743971,8.794630,8.875341,8.996512,9.031121,8.852361", \ "9.277081,9.194035,9.205828,9.269836,9.297437,9.179601,9.253478", \ "10.074940,9.897062,9.823017,9.850700,9.846164,9.848721,9.551315", \ "11.212400,10.937220,10.825170,10.781880,10.653760,10.633190,10.390650"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.518603,8.606062,8.715934,8.845244,8.924437,8.933703,8.863977", \ "8.481631,8.582866,8.660763,8.764993,8.882760,8.890843,8.676146", \ "8.462348,8.501239,8.669049,8.767721,8.828767,8.867104,8.561162", \ "8.754181,8.744149,8.794518,8.875257,8.996492,9.031179,8.852557", \ "9.277355,9.194251,9.205736,9.269768,9.297407,9.179654,9.253662", \ "10.075100,9.867450,9.822913,9.850619,9.846123,9.848822,9.551453", \ "11.212340,10.937100,10.825050,10.781800,10.653690,10.633180,10.390800"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.519274,8.606773,8.716658,8.846000,8.922861,8.934518,8.864118", \ "8.482300,8.583553,8.661485,8.765758,8.883448,8.891693,8.677059", \ "8.475627,8.501941,8.669761,8.768462,8.829561,8.867992,8.562063", \ "8.754833,8.744797,8.795201,8.875983,8.997254,9.031993,8.853461", \ "9.277944,9.194861,9.206376,9.270434,9.298121,9.180445,9.254529", \ "10.075640,9.897801,9.823505,9.851232,9.846762,9.849527,9.552258", \ "11.212820,10.937610,10.825560,10.782340,10.654270,10.633840,10.391560"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.516638,8.608717,8.718774,8.847823,8.903075,8.934395,8.863080", \ "8.479688,8.580745,8.663695,8.767685,8.885493,8.784196,8.675156", \ "8.475805,8.504129,8.671567,8.770271,8.830755,8.867766,8.560182", \ "8.745319,8.743279,8.813665,8.877809,8.854341,9.032705,8.851383", \ "9.278691,9.192195,9.208223,9.272029,9.299166,9.180451,9.252456", \ "10.074800,9.903025,9.825432,9.852844,9.847822,9.849277,9.550997", \ "11.194620,10.939560,10.827630,10.784220,10.655610,10.634070,10.389840"); } fall_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.519005,8.606899,8.716805,8.846117,8.901986,8.934465,8.865155", \ "8.482032,8.583271,8.661661,8.765879,8.882170,8.896154,8.676924", \ "8.475291,8.502092,8.669890,8.768582,8.829590,8.867829,8.561947", \ "8.754898,8.744597,8.795362,8.876086,8.997285,9.031941,8.853274", \ "9.277627,9.194618,9.206518,9.270548,9.298166,9.180399,9.254351", \ "10.075450,9.868157,9.823633,9.851336,9.846837,9.849513,9.552134", \ "11.212900,10.937750,10.825710,10.782450,10.654360,10.633860,10.391420"); } fall_power(scalar) {values ("0.0"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.850000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.099880,0.103037,0.106008,0.111478,0.121434,0.140055,0.176618", \ "0.101353,0.104505,0.107481,0.112946,0.122906,0.141531,0.178095", \ "0.106437,0.109595,0.112568,0.118037,0.127989,0.146617,0.183172", \ "0.112118,0.115271,0.118243,0.123718,0.133671,0.152302,0.188865", \ "0.116527,0.119683,0.122659,0.128129,0.138081,0.156712,0.193276", \ "0.119393,0.122542,0.125515,0.130993,0.140940,0.159556,0.196132", \ "0.120284,0.123432,0.126404,0.131877,0.141822,0.160439,0.197002"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.128782,0.132959,0.136343,0.143342,0.159395,0.194234,0.266043", \ "0.130268,0.134442,0.137836,0.144837,0.160884,0.195726,0.267523", \ "0.135325,0.139491,0.142883,0.149882,0.165924,0.200767,0.272567", \ "0.140714,0.144884,0.148272,0.155268,0.171322,0.206156,0.277953", \ "0.144619,0.148789,0.152179,0.159183,0.175200,0.210045,0.281837", \ "0.146991,0.151157,0.154541,0.161532,0.177548,0.212378,0.284181", \ "0.147811,0.151976,0.155345,0.162396,0.178385,0.213195,0.284993"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.005708,0.007592,0.009342,0.012684,0.019606,0.034455,0.065801", \ "0.005708,0.007591,0.009343,0.012686,0.019608,0.034455,0.065806", \ "0.005710,0.007592,0.009338,0.012684,0.019611,0.034454,0.065795", \ "0.005709,0.007593,0.009340,0.012685,0.019607,0.034455,0.065791", \ "0.005708,0.007592,0.009338,0.012684,0.019605,0.034455,0.065804", \ "0.005708,0.007594,0.009342,0.012686,0.019612,0.034439,0.065809", \ "0.005710,0.007593,0.009343,0.012686,0.019611,0.034455,0.065810"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.007013,0.010772,0.014509,0.021922,0.038130,0.072273,0.141209", \ "0.007011,0.010766,0.014508,0.021920,0.038130,0.072271,0.141210", \ "0.007014,0.010772,0.014511,0.021923,0.038130,0.072274,0.141207", \ "0.007015,0.010770,0.014511,0.021922,0.038129,0.072272,0.141210", \ "0.007015,0.010770,0.014510,0.021922,0.038129,0.072272,0.141210", \ "0.007016,0.010775,0.014512,0.021924,0.038131,0.072273,0.141211", \ "0.007023,0.010782,0.014517,0.021928,0.038131,0.072275,0.141207"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022033,0.026151,0.029717,0.035679,0.045967,0.064887,0.101776", \ "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004413,0.006295,0.008195,0.011827,0.019128,0.034335,0.065837", \ "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022033,0.026151,0.029717,0.035679,0.045968,0.064887,0.101776", \ "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004413,0.006295,0.008195,0.011827,0.019123,0.034335,0.065840", \ "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022033,0.026151,0.029717,0.035679,0.045967,0.064887,0.101776", \ "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004413,0.006295,0.008195,0.011827,0.019128,0.034335,0.065837", \ "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & !D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ "0.023569,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ "0.023569,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & !SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ "0.023570,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & !SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022033,0.026151,0.029717,0.035679,0.045967,0.064887,0.101776", \ "0.023570,0.027686,0.031254,0.037218,0.047509,0.066429,0.103321", \ "0.029878,0.033957,0.037516,0.043485,0.053786,0.072715,0.109613", \ "0.040589,0.045000,0.048774,0.054957,0.065368,0.084311,0.121177", \ "0.051619,0.056597,0.060843,0.067669,0.078762,0.098115,0.134941", \ "0.063176,0.068677,0.073416,0.080981,0.092919,0.112864,0.149869", \ "0.075498,0.081517,0.086746,0.095109,0.108140,0.129063,0.166355"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004413,0.006295,0.008195,0.011827,0.019128,0.034335,0.065837", \ "0.004414,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006333,0.008225,0.011848,0.019132,0.034340,0.065837", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034427,0.065834", \ "0.007563,0.009298,0.011006,0.014218,0.020783,0.035077,0.065905", \ "0.009564,0.011384,0.013158,0.016354,0.022581,0.036158,0.066304", \ "0.011829,0.013738,0.015618,0.018930,0.025020,0.037822,0.066809"); } } timing () { related_pin : "SN"; timing_type : clear; when : "!CK & D & SE & SI"; sdf_cond : "(CK == 1'b0) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022031,0.026150,0.029718,0.035679,0.045966,0.064885,0.101776", \ "0.023569,0.027686,0.031254,0.037217,0.047509,0.066428,0.103320", \ "0.029878,0.033958,0.037516,0.043484,0.053786,0.072714,0.109612", \ "0.040588,0.044999,0.048774,0.054956,0.065368,0.084310,0.121176", \ "0.051619,0.056596,0.060840,0.067670,0.078761,0.098109,0.134940", \ "0.063173,0.068675,0.073414,0.080979,0.092918,0.112863,0.149868", \ "0.075498,0.081507,0.086733,0.095110,0.108139,0.129070,0.166356"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004414,0.006294,0.008197,0.011827,0.019124,0.034336,0.065831", \ "0.004415,0.006296,0.008195,0.011831,0.019126,0.034336,0.065826", \ "0.004439,0.006332,0.008225,0.011848,0.019132,0.034340,0.065828", \ "0.005732,0.007425,0.009142,0.012471,0.019439,0.034426,0.065832", \ "0.007562,0.009299,0.011006,0.014217,0.020783,0.035077,0.065906", \ "0.009565,0.011385,0.013158,0.016355,0.022592,0.036160,0.066303", \ "0.011828,0.013743,0.015617,0.018930,0.025020,0.037822,0.066814"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.018996,0.034124,0.065619", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004453,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045873,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.018998,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004453,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & !D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b0) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045871,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.019000,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004453,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & !SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b0) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045871,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.019000,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & !SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } timing () { related_pin : "SN"; timing_type : clear; when : "CK & D & SE & SI"; sdf_cond : "(CK == 1'b1) && (D == 1'b1) && (SE == 1'b1) && (SI == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.022041,0.026158,0.029715,0.035646,0.045872,0.064662,0.101346", \ "0.023579,0.027693,0.031251,0.037183,0.047410,0.066202,0.102880", \ "0.029887,0.033966,0.037513,0.043452,0.053690,0.072488,0.109176", \ "0.040615,0.045017,0.048778,0.054923,0.065266,0.084084,0.120731", \ "0.051664,0.056631,0.060856,0.067640,0.078634,0.097836,0.134467", \ "0.063250,0.068727,0.073440,0.080943,0.092753,0.112518,0.149355", \ "0.075605,0.081578,0.086763,0.095061,0.107934,0.128601,0.165783"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("0.004429,0.006289,0.008172,0.011767,0.018997,0.034124,0.065618", \ "0.004429,0.006291,0.008174,0.011768,0.018997,0.034130,0.065603", \ "0.004454,0.006324,0.008202,0.011788,0.019005,0.034130,0.065613", \ "0.005747,0.007415,0.009107,0.012402,0.019306,0.034215,0.065615", \ "0.007596,0.009283,0.010960,0.014122,0.020614,0.034847,0.065712", \ "0.009595,0.011350,0.013084,0.016215,0.022342,0.035902,0.066184", \ "0.011845,0.013676,0.015502,0.018736,0.024700,0.037502,0.066838"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("7.313155,7.375142,7.462183,7.689757,7.926341,7.874411,7.995101", \ "7.301546,7.348906,7.448318,7.678353,7.914922,7.976200,7.997849", \ "7.294194,7.359525,7.434410,7.690133,7.909676,7.963839,8.022202", \ "7.341758,7.421135,7.505334,7.742816,7.971917,7.895111,7.877699", \ "7.528426,7.567999,7.665930,7.918259,8.136584,8.200011,8.218391", \ "7.840097,7.904960,8.001489,8.230141,8.439676,8.383384,8.560989", \ "8.285382,8.355166,8.438816,8.697051,8.913127,8.966983,9.017934"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.522396,8.334507,8.130650,7.884974,7.773021,7.762972,7.741773", \ "8.492389,8.325183,8.088483,7.875848,7.807388,7.753866,7.749336", \ "8.516483,8.330949,8.115432,7.886194,7.739396,7.715575,7.604951", \ "8.524816,8.384621,8.172456,7.947280,7.760986,7.757045,7.614912", \ "8.728921,8.540564,8.342426,8.090170,7.913099,7.942930,7.833038", \ "9.018330,8.859521,8.640038,8.389977,8.321974,8.251568,8.245904", \ "9.534730,9.344967,9.129091,8.893554,8.707131,8.737166,8.449837"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.904413,6.712483,6.666712,6.705083,6.622985,6.498717,6.485831", \ "6.879538,6.664303,6.669234,6.693984,6.614835,6.632306,6.297377", \ "6.851482,6.659976,6.608268,6.619370,6.678903,6.421496,6.510819", \ "7.140923,6.838276,6.799118,6.765352,6.753935,6.495782,6.713525", \ "7.671052,7.282190,7.162335,7.104608,7.107322,7.043184,6.994392", \ "8.432788,7.977841,7.808937,7.684642,7.587350,7.325559,7.344129", \ "9.412292,8.890310,8.673213,8.508771,8.468149,8.062287,7.999736"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.904307,6.712369,6.666592,6.704949,6.623152,6.498530,6.561867", \ "6.879431,6.664191,6.669113,6.693851,6.614682,6.632125,6.297160", \ "6.851397,6.659863,6.608148,6.619238,6.678751,6.421320,6.510607", \ "7.140818,6.838166,6.799004,6.765223,6.753787,6.495606,6.713322", \ "7.670954,7.282084,7.162226,7.104488,7.107184,7.043021,6.994207", \ "8.432704,7.977752,7.808843,7.684537,7.587226,7.325407,7.343945", \ "9.412230,8.890243,8.673141,8.508688,8.468048,8.062156,7.999574"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.904636,6.712713,6.666950,6.705345,6.623382,6.499115,6.486282", \ "6.879759,6.664525,6.669473,6.694244,6.615138,6.632677,6.297831", \ "6.851723,6.660205,6.608504,6.619628,6.679210,6.421831,6.511269", \ "7.141138,6.838497,6.799339,6.765602,6.754228,6.496137,6.713965", \ "7.671252,7.282394,7.162547,7.104838,7.107600,7.043525,6.994818", \ "8.432954,7.978014,7.809117,7.684837,7.587586,7.325859,7.344514", \ "9.412413,8.890436,8.673343,8.508918,8.468339,8.062540,8.000078"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.811734,6.618683,6.572792,6.610554,6.527808,6.402750,6.387848", \ "6.788165,6.578713,6.577239,6.601486,6.521574,6.538317,6.201916", \ "6.775009,6.569197,6.517090,6.527663,6.586630,6.328308,6.416164", \ "7.051098,6.748206,6.709394,6.674372,6.662261,6.403142,6.619772", \ "7.581911,7.192958,7.073000,7.051838,7.010106,6.951943,6.810899", \ "8.345452,7.889382,7.720809,7.595615,7.497295,7.234450,7.252381", \ "9.326785,8.804908,8.586613,8.422429,8.377999,7.972715,7.909811"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.811820,6.618772,6.572873,6.610658,6.527944,6.402898,6.387981", \ "6.788255,6.578805,6.577328,6.601586,6.521679,6.538454,6.202079", \ "6.775094,6.569287,6.517171,6.527765,6.586777,6.328492,6.416347", \ "7.051175,6.748282,6.709468,6.674465,6.662368,6.403249,6.620014", \ "7.581985,7.193033,7.073069,7.051918,7.010200,6.952108,6.811104", \ "8.345511,7.889446,7.720870,7.595674,7.497365,7.234524,7.252549", \ "9.326827,8.804952,8.586653,8.422482,8.378074,7.972781,7.909993"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.812227,6.619209,6.573331,6.611173,6.528538,6.403589,6.388777", \ "6.788664,6.579242,6.577789,6.602095,6.522253,6.539132,6.202887", \ "6.775501,6.569721,6.517626,6.528274,6.587372,6.329194,6.417154", \ "7.051569,6.748703,6.709912,6.674958,6.662927,6.403897,6.620830", \ "7.582359,7.193431,7.073486,7.052381,7.010723,6.952751,6.811877", \ "8.345836,7.889796,7.721237,7.596076,7.497829,7.235081,7.253262", \ "9.327077,8.805223,8.586943,8.422813,8.378472,7.973269,7.910651"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.904325,6.712380,6.666600,6.704954,6.622841,6.498585,6.485678", \ "6.879447,6.664195,6.669121,6.693856,6.614695,6.632157,6.297208", \ "6.851414,6.659874,6.608157,6.619242,6.678761,6.421314,6.510652", \ "7.140835,6.838177,6.799005,6.765227,6.753798,6.495637,6.713347", \ "7.670968,7.282092,7.162231,7.104490,7.107197,7.043041,6.994241", \ "8.432711,7.977754,7.808841,7.684533,7.587230,7.325429,7.343975", \ "9.412228,8.890235,8.673128,8.508673,8.468040,8.062167,7.999590"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "!CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("6.812135,6.619113,6.573243,6.611061,6.528394,6.403429,6.388634", \ "6.788567,6.579145,6.577693,6.601988,6.522140,6.538986,6.202712", \ "6.775409,6.569625,6.517538,6.528164,6.587216,6.328999,6.416958", \ "7.051488,6.748620,6.709833,6.674858,6.662812,6.403781,6.620574", \ "7.582279,7.193349,7.073411,7.052293,7.010620,6.952577,6.811658", \ "8.345770,7.889724,7.721170,7.596011,7.497753,7.234999,7.253082", \ "9.327029,8.805173,8.586897,8.422754,8.378390,7.973195,7.910455"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.516759,8.607118,8.715712,8.842585,8.888744,8.906286,8.803779", \ "8.479831,8.579027,8.660587,8.762213,8.879942,8.754736,8.614541", \ "8.475950,8.502358,8.668514,8.764991,8.816395,8.837894,8.838872", \ "8.745455,8.743412,8.793767,8.872334,8.983944,9.004064,8.790224", \ "9.278816,9.189901,9.204657,9.274108,9.284796,9.149838,9.192436", \ "10.073650,9.867509,9.822363,9.847414,9.833342,9.821536,9.827861", \ "11.194730,10.970180,10.823660,10.785070,10.640470,10.604030,10.666940"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.516581,8.606936,8.715526,8.842390,8.889267,8.906073,8.803398", \ "8.479653,8.578845,8.660402,8.762020,8.879737,8.754513,8.614296", \ "8.462751,8.502180,8.668331,8.764800,8.816193,8.837643,8.838632", \ "8.745288,8.726152,8.793594,8.872152,8.983749,9.003850,8.789992", \ "9.278659,9.189740,9.204492,9.273953,9.284609,9.149635,9.192213", \ "10.073510,9.867365,9.822217,9.847256,9.833173,9.821346,9.827651", \ "11.194610,10.970060,10.823530,10.784930,10.640320,10.603860,10.666750"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.517144,8.607508,8.716102,8.842989,8.910293,8.906710,8.804329", \ "8.480214,8.579410,8.660975,8.762612,8.880365,8.755205,8.615058", \ "8.463305,8.502739,8.668896,8.765387,8.816816,8.838378,8.839383", \ "8.745815,8.743776,8.794131,8.872712,8.984346,9.004513,8.790710", \ "9.279151,9.190235,9.204995,9.274470,9.285169,9.150264,9.192912", \ "10.073940,9.867806,9.822646,9.847722,9.833678,9.821926,9.828305", \ "11.194980,10.970430,10.823910,10.785330,10.640760,10.604380,10.667340"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & !D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.518345,8.618776,8.712809,8.839882,8.886588,8.904902,8.804398", \ "8.481391,8.580807,8.661828,8.759411,8.877824,8.754579,8.615193", \ "8.474682,8.562785,8.665760,8.762329,8.864832,8.836969,8.839674", \ "8.755218,8.743615,8.791200,8.869683,8.981899,9.002989,8.790926", \ "9.277069,9.191495,9.202093,9.276579,9.282881,9.148964,9.193278", \ "10.074940,9.865053,9.828171,9.845104,9.831566,9.821512,9.829097", \ "11.213400,10.934800,10.855430,10.781320,10.638450,10.683890,10.667710"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.518580,8.619267,8.712705,8.839814,8.887183,8.905108,8.804588", \ "8.481625,8.581060,8.661941,8.759323,8.877801,8.756419,8.615327", \ "8.462358,8.562708,8.665672,8.762260,8.864680,8.837064,8.839836", \ "8.755177,8.723548,8.791084,8.869598,8.981875,9.003026,8.791115", \ "9.277342,9.191700,9.202000,9.276898,9.282848,9.149022,9.193456", \ "10.075110,9.864962,9.828677,9.845022,9.831519,9.821515,9.829286", \ "11.213350,10.934700,10.855020,10.781140,10.638380,10.683540,10.667890"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & !SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.519251,8.619952,8.713430,8.840570,8.887991,8.905894,8.805406", \ "8.482293,8.581751,8.662649,8.760087,8.878583,8.757235,8.616240", \ "8.475628,8.563412,8.666384,8.763001,8.865453,8.837951,8.840746", \ "8.755829,8.724220,8.791767,8.870324,8.982637,9.003841,8.792018", \ "9.277931,9.192311,9.202639,9.277530,9.283563,9.149812,9.194323", \ "10.075640,9.865544,9.829214,9.845636,9.832158,9.822221,9.830097", \ "11.213820,10.935190,10.855540,10.781690,10.638960,10.684230,10.668660"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & !SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.516609,8.606960,8.715545,8.842407,8.888564,8.906128,8.803547", \ "8.479682,8.578864,8.660421,8.762038,8.879767,8.754560,8.614353", \ "8.475807,8.502203,8.668350,8.764817,8.816218,8.837735,8.838687", \ "8.745315,8.726173,8.793608,8.872165,8.983772,9.003892,8.790037", \ "9.278683,9.189755,9.204504,9.273954,9.284631,9.149668,9.192256", \ "10.073520,9.867374,9.822210,9.847261,9.833188,9.821380,9.827688", \ "11.194620,10.970060,10.823530,10.784930,10.640330,10.603880,10.666770"); } rise_power(scalar) {values ("0.0"); } } internal_power () { related_pin : "SN"; when : "CK & D & SE & SI"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.776560,7.553130,15.106300,30.212500,60.425000,120.850000"); values ("8.518982,8.619397,8.713573,8.840689,8.887982,8.905874,8.805409", \ "8.482026,8.581459,8.658381,8.760210,8.878649,8.754839,8.616114", \ "8.475292,8.563522,8.666508,8.763122,8.865710,8.837787,8.840590", \ "8.755893,8.724356,8.791934,8.870429,8.982673,9.003815,8.791838", \ "9.277615,9.192083,9.202784,9.277195,9.283610,9.149759,9.194151", \ "10.075460,9.865672,9.828603,9.845740,9.832241,9.820001,9.829914", \ "11.213900,10.935340,10.856070,10.781910,10.639060,10.684670,10.668490"); } rise_power(scalar) {values ("0.0"); } } } } /****************************************************************************************** Module : SDFF_X1 Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X1 *******************************************************************************************/ cell (SDFF_X1) { drive_strength : 1; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; } area : 6.118000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 100.684799; leakage_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; value : 87.189190; } leakage_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; value : 88.486530; } leakage_power () { when : "!CK & !D & !SE & SI & !Q & QN"; value : 97.714738; } leakage_power () { when : "!CK & !D & !SE & SI & Q & !QN"; value : 99.012188; } leakage_power () { when : "!CK & !D & SE & !SI & !Q & QN"; value : 91.257463; } leakage_power () { when : "!CK & !D & SE & !SI & Q & !QN"; value : 92.554803; } leakage_power () { when : "!CK & !D & SE & SI & !Q & QN"; value : 104.117321; } leakage_power () { when : "!CK & !D & SE & SI & Q & !QN"; value : 100.278761; } leakage_power () { when : "!CK & D & !SE & !SI & !Q & QN"; value : 104.244602; } leakage_power () { when : "!CK & D & !SE & !SI & Q & !QN"; value : 100.406042; } leakage_power () { when : "!CK & D & !SE & SI & !Q & QN"; value : 109.633304; } leakage_power () { when : "!CK & D & !SE & SI & Q & !QN"; value : 105.794744; } leakage_power () { when : "!CK & D & SE & !SI & !Q & QN"; value : 105.203758; } leakage_power () { when : "!CK & D & SE & !SI & Q & !QN"; value : 106.501098; } leakage_power () { when : "!CK & D & SE & SI & !Q & QN"; value : 111.223772; } leakage_power () { when : "!CK & D & SE & SI & Q & !QN"; value : 107.385212; } leakage_power () { when : "CK & !D & !SE & !SI & !Q & QN"; value : 82.539215; } leakage_power () { when : "CK & !D & !SE & !SI & Q & !QN"; value : 97.698414; } leakage_power () { when : "CK & !D & !SE & SI & !Q & QN"; value : 93.064543; } leakage_power () { when : "CK & !D & !SE & SI & Q & !QN"; value : 108.223742; } leakage_power () { when : "CK & !D & SE & !SI & !Q & QN"; value : 86.607158; } leakage_power () { when : "CK & !D & SE & !SI & Q & !QN"; value : 101.766357; } leakage_power () { when : "CK & !D & SE & SI & !Q & QN"; value : 94.892226; } leakage_power () { when : "CK & !D & SE & SI & Q & !QN"; value : 104.918935; } leakage_power () { when : "CK & D & !SE & !SI & !Q & QN"; value : 95.019617; } leakage_power () { when : "CK & D & !SE & !SI & Q & !QN"; value : 105.046326; } leakage_power () { when : "CK & D & !SE & SI & !Q & QN"; value : 100.408429; } leakage_power () { when : "CK & D & !SE & SI & Q & !QN"; value : 110.435138; } leakage_power () { when : "CK & D & SE & !SI & !Q & QN"; value : 100.553123; } leakage_power () { when : "CK & D & SE & !SI & Q & !QN"; value : 115.712322; } leakage_power () { when : "CK & D & SE & SI & !Q & QN"; value : 101.998897; } leakage_power () { when : "CK & D & SE & SI & Q & !QN"; value : 112.025606; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.119679; fall_capacitance : 1.068012; rise_capacitance : 1.119679; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.025593,-0.013933,-0.010495", \ "-0.034771,-0.021700,-0.018670", \ "0.109709,0.123300,0.127613"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.013251,-0.006198,-0.010054", \ "-0.013353,-0.007277,-0.015071", \ "0.063092,0.069236,0.054377"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.075240,0.070385,0.085764", \ "0.092943,0.087684,0.103250", \ "0.135913,0.129774,0.144659"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.071012,0.057465,0.053450", \ "0.081500,0.067758,0.064129", \ "0.089290,0.075704,0.071414"); } } internal_power () { when : "!CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.007758,6.984183,6.954266,6.966035,7.109973,7.466127,8.043180"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.132064,5.094192,5.056292,5.067134,5.203423,5.538839,6.086616"); } } internal_power () { when : "!CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.979573,6.955969,6.925594,6.938099,7.082504,7.437840,8.009621"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.121813,5.085764,5.050143,5.054154,5.194534,5.528886,6.076056"); } } internal_power () { when : "!CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.007247,6.983724,6.953747,6.965498,7.109587,7.465549,8.043289"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.135468,5.097661,5.059725,5.070597,5.209290,5.542052,6.090219"); } } internal_power () { when : "!CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.975373,6.953991,6.923237,6.935661,7.080214,7.435253,8.013271"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.121768,5.083543,5.050164,5.054183,5.192940,5.528917,6.076102"); } } internal_power () { when : "!CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716704,0.690864,0.681138,0.677611,0.674906,0.674712,0.673592"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605739,-0.611460,-0.616917,-0.620496,-0.623231,-0.625353,-0.627118"); } } internal_power () { when : "!CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717938,0.691272,0.681852,0.678326,0.676048,0.675416,0.674601"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603782,-0.609321,-0.614765,-0.618333,-0.621582,-0.623396,-0.625738"); } } internal_power () { when : "!CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650910,0.658049,0.660220,0.659300,0.658764,0.657994,0.657686"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422475,-0.488389,-0.545303,-0.566808,-0.578759,-0.586474,-0.592036"); } } internal_power () { when : "!CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650598,0.657600,0.659688,0.659152,0.657795,0.657434,0.656662"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.424038,-0.489816,-0.546636,-0.568171,-0.579874,-0.587637,-0.593211"); } } internal_power () { when : "CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.323125,3.299248,3.270208,3.283820,3.429847,3.775998,4.333744"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.590592,1.555016,1.517256,1.533769,1.676714,2.009967,2.555742"); } } internal_power () { when : "CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.260227,3.236606,3.207263,3.223363,3.371806,3.719797,4.283297"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.564301,1.527310,1.491128,1.506555,1.647208,1.977933,2.521051"); } } internal_power () { when : "CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.322765,3.298879,3.269774,3.283363,3.429521,3.775418,4.333094"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.594068,1.558484,1.520713,1.537231,1.680234,2.013395,2.559252"); } } internal_power () { when : "CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.257575,3.234053,3.204682,3.220745,3.368572,3.717131,4.280082"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561296,1.525340,1.489248,1.504809,1.646386,1.976590,2.519821"); } } internal_power () { when : "CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716944,0.691121,0.681372,0.677853,0.675572,0.674917,0.674353"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.604922,-0.610653,-0.616133,-0.619717,-0.622850,-0.624579,-0.626349"); } } internal_power () { when : "CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.721192,0.690805,0.681060,0.677310,0.674852,0.674164,0.673528"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605346,-0.611279,-0.617084,-0.621374,-0.623801,-0.625998,-0.627778"); } } internal_power () { when : "CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650600,0.658023,0.660070,0.659580,0.658576,0.657814,0.657532"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423075,-0.488973,-0.545964,-0.567491,-0.579381,-0.587088,-0.592630"); } } internal_power () { when : "CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651301,0.658301,0.660376,0.659839,0.658875,0.658106,0.657830"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422285,-0.488099,-0.544910,-0.566437,-0.578537,-0.585900,-0.591473"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.889909; fall_capacitance : 1.764159; rise_capacitance : 1.889909; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.018688,-0.011893,-0.016140", \ "-0.034276,-0.028542,-0.033827", \ "0.104382,0.112385,0.097375"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.018837,-0.007806,-0.007691", \ "-0.023408,-0.011001,-0.013204", \ "0.063631,0.077432,0.066831"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.080803,0.067214,0.075725", \ "0.099971,0.086266,0.093355", \ "0.135373,0.121578,0.132203"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.084756,0.079508,0.094495", \ "0.091599,0.086287,0.101366", \ "0.094617,0.086620,0.101656"); } } internal_power () { when : "!CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.338684,2.302888,2.358012,2.564481,2.949767,3.566947,4.444865"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063432,-0.097841,-0.090771,0.075979,0.474517,1.117614,2.022652"); } } internal_power () { when : "!CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.340310,2.304053,2.359159,2.565126,2.951260,3.567936,4.446248"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047920,-0.080953,-0.074253,0.094124,0.490259,1.134199,2.037857"); } } internal_power () { when : "!CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.786239,7.715540,7.773401,8.120914,8.822526,9.946370,11.561280"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.527799,4.487633,4.474941,4.722555,5.382024,6.526683,8.176133"); } } internal_power () { when : "!CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.751252,7.681099,7.738688,8.087112,8.789279,9.916378,11.533640"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.477260,4.435762,4.423225,4.674847,5.338578,6.484427,8.137501"); } } internal_power () { when : "!CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.296890,7.268373,7.369210,7.661007,8.144595,8.865623,9.844021"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.096325,6.076873,6.065179,6.214943,6.604958,7.260508,8.205157"); } } internal_power () { when : "!CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.345531,7.313022,7.416783,7.703422,8.192087,8.916633,9.897855"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.259609,6.229182,6.170850,6.289846,6.663641,7.310795,8.255643"); } } internal_power () { when : "!CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.183555,2.151754,2.211980,2.424109,2.814762,3.435762,4.317555"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.063883,0.035040,0.042260,0.202200,0.590750,1.232504,2.138544"); } } internal_power () { when : "!CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.179984,2.147980,2.208466,2.420438,2.810817,3.432307,4.313788"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.035058,0.005865,0.013470,0.173630,0.562771,1.204127,2.110135"); } } internal_power () { when : "CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.339033,2.303096,2.358229,2.564735,2.950437,3.567164,4.445627"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063151,-0.097158,-0.090014,0.076768,0.474715,1.118373,2.023409"); } } internal_power () { when : "CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.339127,2.302618,2.357792,2.563998,2.950227,3.566918,4.445325"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.047032,-0.080484,-0.074621,0.093805,0.489999,1.133405,2.037740"); } } internal_power () { when : "CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.093476,4.023175,4.086318,4.437537,5.133606,6.247272,7.841860"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.984709,0.942172,0.935475,1.193682,1.857290,2.992280,4.624208"); } } internal_power () { when : "CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.027219,3.958103,4.021011,4.375353,5.075366,6.191006,7.788297"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.918016,0.875600,0.866853,1.125736,1.786822,2.925902,4.562340"); } } internal_power () { when : "CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.764856,3.733840,3.834391,4.122150,4.609508,5.332128,6.308466"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.404186,2.384827,2.372339,2.522667,2.917038,3.575406,4.511556"); } } internal_power () { when : "CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.791069,3.760122,3.862050,4.150266,4.640076,5.363773,6.343106"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.500681,2.469395,2.411240,2.529410,2.902973,3.555152,4.493151"); } } internal_power () { when : "CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.183087,2.151635,2.211896,2.424325,2.814324,3.435336,4.316970"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.063306,0.034456,0.041623,0.201815,0.590600,1.231856,2.138399"); } } internal_power () { when : "CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.180159,2.148440,2.208846,2.421077,2.811791,3.432482,4.314585"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.036325,0.007160,0.014652,0.175111,0.563705,1.205360,2.111312"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.918794; fall_capacitance : 0.875884; rise_capacitance : 0.918794; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.029805,-0.017686,-0.014403", \ "-0.034933,-0.021913,-0.018099", \ "0.101985,0.115655,0.119904"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.013990,-0.006658,-0.011170", \ "-0.013026,-0.007015,-0.015517", \ "0.051636,0.057220,0.043405"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.083916,0.078564,0.093622", \ "0.101342,0.096068,0.111261", \ "0.147370,0.141792,0.155632"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.073530,0.059981,0.056068", \ "0.085530,0.071948,0.067898", \ "0.097015,0.083349,0.079123"); } } internal_power () { when : "!CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542393,0.525491,0.518634,0.515167,0.512784,0.512040,0.510394"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467420,-0.471181,-0.473381,-0.474707,-0.475569,-0.476539,-0.477143"); } } internal_power () { when : "!CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543097,0.526208,0.519345,0.515866,0.513953,0.512738,0.511405"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465372,-0.469009,-0.471318,-0.472656,-0.474077,-0.474511,-0.475756"); } } internal_power () { when : "!CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.971345,6.958522,6.936117,6.941666,7.039272,7.291352,7.710827"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.663880,4.642657,4.616552,4.617498,4.708042,4.938066,5.331959"); } } internal_power () { when : "!CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.944174,6.931030,6.908894,6.914605,7.014947,7.263490,7.683809"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.655234,4.629986,4.603733,4.607504,4.696079,4.928689,5.321936"); } } internal_power () { when : "!CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481680,0.489537,0.491292,0.490890,0.490658,0.490793,0.490341"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320405,-0.368950,-0.408907,-0.424415,-0.433119,-0.438202,-0.442839"); } } internal_power () { when : "!CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.480858,0.488732,0.490483,0.490094,0.489387,0.489986,0.489241"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322533,-0.371324,-0.411235,-0.426801,-0.435229,-0.440742,-0.444892"); } } internal_power () { when : "!CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.970401,6.957857,6.935471,6.940954,7.038516,7.290005,7.709729"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.671922,4.641647,4.620116,4.621288,4.712104,4.941618,5.335613"); } } internal_power () { when : "!CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.940611,6.927412,6.905436,6.910968,7.011254,7.261254,7.679310"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.688067,4.658527,4.635708,4.636860,4.725370,4.962106,5.350287"); } } internal_power () { when : "CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542631,0.525739,0.518870,0.515406,0.513463,0.512245,0.511171"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466601,-0.470376,-0.472598,-0.473929,-0.475205,-0.475769,-0.476377"); } } internal_power () { when : "CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542295,0.525402,0.518539,0.515058,0.513165,0.511942,0.510905"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467757,-0.471398,-0.473940,-0.475268,-0.476381,-0.477439,-0.478147"); } } internal_power () { when : "CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.279258,3.266637,3.243956,3.253727,3.350237,3.587182,3.988154"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.127216,1.101068,1.076824,1.086225,1.180240,1.410177,1.801100"); } } internal_power () { when : "CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.219257,3.206303,3.183491,3.191969,3.291068,3.531239,3.932330"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.100799,1.073013,1.048626,1.057095,1.151371,1.377014,1.765825"); } } internal_power () { when : "CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481531,0.489419,0.491151,0.490752,0.490071,0.490611,0.489925"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321104,-0.369668,-0.409646,-0.425151,-0.433349,-0.438928,-0.442934"); } } internal_power () { when : "CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481332,0.489215,0.490958,0.490559,0.490313,0.490438,0.490274"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321301,-0.370104,-0.410007,-0.425568,-0.434457,-0.439507,-0.443658"); } } internal_power () { when : "CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.278248,3.266036,3.243381,3.253044,3.349529,3.586335,3.987222"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.130793,1.104697,1.080486,1.089419,1.183842,1.413738,1.804688"); } } internal_power () { when : "CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.217540,3.205732,3.184473,3.191185,3.290861,3.531139,3.931247"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.128242,1.102188,1.078035,1.086632,1.176914,1.405068,1.794046"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.958871; fall_capacitance : 0.866201; rise_capacitance : 0.958871; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.064663,0.073857,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.054590,0.055730,0.198733"); } } internal_power () { when : "!D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.565295,3.529210,3.545282,3.728710,4.104645,4.703470,5.566805"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.758259,2.737784,2.733878,2.864629,3.207048,3.821763,4.733914"); } } internal_power () { when : "!D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.964878,6.926364,6.937663,7.134807,7.538950,8.173157,9.087757"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.565291,3.529205,3.545284,3.728714,4.104644,4.703489,5.566806"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.758280,2.737811,2.733908,2.864659,3.207084,3.821801,4.733952"); } } internal_power () { when : "!D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.972028,6.933598,6.944530,7.142022,7.546301,8.180467,9.095728"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.565119,3.529037,3.545109,3.728467,4.104525,4.703437,5.566806"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.758390,2.737919,2.733994,2.864734,3.207099,3.821770,4.733969"); } } internal_power () { when : "!D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.963151,6.924740,6.935992,7.134370,7.536931,8.171353,9.086210"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.962349,6.925653,6.937103,7.124217,7.498872,8.098963,8.964156"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.716954,3.682134,3.696168,3.884326,4.263269,4.865151,5.735644"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.612807,2.588034,2.583986,2.713776,3.063784,3.681532,4.597848"); } } internal_power () { when : "D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.962563,6.925700,6.938851,7.127634,7.501463,8.099912,8.965206"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.716397,3.681562,3.695680,3.883759,4.262679,4.864637,5.735065"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.612828,2.588072,2.584056,2.713842,3.063843,3.681592,4.597908"); } } internal_power () { when : "D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.963489,6.926633,6.939779,7.128551,7.502411,8.100878,8.966173"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.716716,3.681555,3.695673,3.883754,4.262679,4.864626,5.735066"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.612815,2.588057,2.584038,2.713824,3.063824,3.681573,4.597889"); } } internal_power () { when : "D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.565121,3.529035,3.545115,3.728465,4.104519,4.703461,5.566807"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.758433,2.737954,2.734036,2.864778,3.207148,3.821818,4.734010"); } } internal_power () { when : "D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.952909,6.915017,6.925408,7.124058,7.526799,8.161227,9.075872"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.900356,6.861772,6.874669,7.063590,7.437456,8.036069,8.902083"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.716944,3.682128,3.696159,3.884319,4.263269,4.865138,5.735643"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.612790,2.588014,2.583961,2.713750,3.063758,3.681507,4.597823"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.577400; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.057953,0.063329,0.068658,0.077327,0.091225,0.113580,0.152479", \ "0.059432,0.064811,0.070136,0.078805,0.092704,0.115059,0.153960", \ "0.064384,0.069758,0.075085,0.083755,0.097659,0.120014,0.158926", \ "0.069717,0.075093,0.080416,0.089089,0.102992,0.125353,0.164261", \ "0.073632,0.079008,0.084337,0.093010,0.106917,0.129288,0.168206", \ "0.076175,0.081553,0.086875,0.095533,0.109430,0.131818,0.170716", \ "0.077103,0.082482,0.087815,0.096491,0.110386,0.132774,0.171730"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.055306,0.060801,0.066514,0.076697,0.095654,0.132338,0.205144", \ "0.056779,0.062279,0.067992,0.078173,0.097128,0.133813,0.206620", \ "0.061880,0.067380,0.073093,0.083276,0.102230,0.138918,0.211722", \ "0.067471,0.072976,0.078695,0.088873,0.107829,0.144515,0.217321", \ "0.071718,0.077218,0.082930,0.093112,0.112065,0.148750,0.221557", \ "0.074257,0.079759,0.085470,0.095654,0.114611,0.151294,0.224097", \ "0.074633,0.080133,0.085849,0.096039,0.114988,0.151665,0.224472"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.009701,0.011847,0.014197,0.018444,0.025830,0.039643,0.068818", \ "0.009696,0.011848,0.014198,0.018442,0.025831,0.039652,0.068820", \ "0.009708,0.011852,0.014207,0.018450,0.025832,0.039651,0.068813", \ "0.009708,0.011863,0.014212,0.018457,0.025842,0.039655,0.068810", \ "0.009734,0.011886,0.014238,0.018484,0.025865,0.039672,0.068819", \ "0.009771,0.011925,0.014276,0.018519,0.025889,0.039686,0.068806", \ "0.009900,0.012049,0.014392,0.018621,0.025972,0.039736,0.068850"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("0.006594,0.009966,0.013923,0.021927,0.038441,0.072390,0.141342", \ "0.006595,0.009967,0.013920,0.021926,0.038438,0.072390,0.141339", \ "0.006594,0.009968,0.013923,0.021926,0.038439,0.072389,0.141342", \ "0.006596,0.009967,0.013926,0.021925,0.038440,0.072391,0.141345", \ "0.006599,0.009971,0.013926,0.021923,0.038437,0.072392,0.141343", \ "0.006611,0.009975,0.013932,0.021929,0.038441,0.072392,0.141344", \ "0.006623,0.009989,0.013938,0.021936,0.038443,0.072395,0.141345"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.594536,4.555634,4.527504,4.571897,4.615279,4.566152,4.622506", \ "4.598053,4.541497,4.531230,4.537930,4.600048,4.615188,4.610011", \ "4.592248,4.542068,4.520124,4.553533,4.589024,4.616500,4.587709", \ "4.653081,4.599572,4.591433,4.612436,4.635580,4.667832,4.691120", \ "4.820600,4.771267,4.760208,4.785359,4.798586,4.769291,4.761409", \ "5.157479,5.109018,5.082706,5.101500,5.103667,5.131168,5.173246", \ "5.637251,5.587080,5.546582,5.580949,5.591892,5.609433,5.616241"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.893040,3.786090,7.572170,15.144300,30.288700,60.577400"); values ("4.230723,4.306326,4.372799,4.485595,4.596207,4.625769,4.646799", \ "4.210399,4.279965,4.348992,4.466854,4.581579,4.619813,4.589092", \ "4.215634,4.292151,4.358671,4.461655,4.564213,4.594270,4.547941", \ "4.282381,4.354710,4.425574,4.536474,4.638682,4.661076,4.682723", \ "4.448150,4.533089,4.599575,4.708495,4.823473,4.833385,4.866505", \ "4.785728,4.859720,4.925220,5.036433,5.133528,5.160523,5.187193", \ "5.235249,5.312836,5.371267,5.501261,5.605013,5.586868,5.658440"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 60.424800; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.077562,0.080555,0.083743,0.089386,0.099430,0.118110,0.154720", \ "0.079035,0.082033,0.085222,0.090862,0.100904,0.119586,0.156193", \ "0.084135,0.087134,0.090322,0.095967,0.106005,0.124688,0.161300", \ "0.089730,0.092730,0.095919,0.101566,0.111608,0.130283,0.166899", \ "0.093978,0.096971,0.100152,0.105796,0.115832,0.134509,0.171123", \ "0.096520,0.099518,0.102703,0.108349,0.118388,0.137062,0.173667", \ "0.096906,0.099894,0.103083,0.108729,0.118767,0.137439,0.174052"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.085733,0.089063,0.092703,0.100569,0.117787,0.153551,0.225794", \ "0.087212,0.090542,0.094178,0.102046,0.119265,0.155029,0.227274", \ "0.092171,0.095497,0.099133,0.106999,0.124218,0.159984,0.232230", \ "0.097506,0.100835,0.104469,0.112332,0.129552,0.165318,0.237565", \ "0.101434,0.104762,0.108399,0.116252,0.133467,0.169230,0.241478", \ "0.103994,0.107321,0.110954,0.118799,0.135987,0.171751,0.243995", \ "0.104988,0.108310,0.111945,0.119782,0.136930,0.172670,0.244926"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.005590,0.007201,0.008985,0.012431,0.019499,0.034504,0.065980", \ "0.005588,0.007200,0.008986,0.012432,0.019504,0.034511,0.065971", \ "0.005591,0.007198,0.008984,0.012432,0.019501,0.034508,0.065983", \ "0.005591,0.007196,0.008987,0.012433,0.019505,0.034504,0.065971", \ "0.005591,0.007198,0.008982,0.012433,0.019510,0.034513,0.065975", \ "0.005591,0.007201,0.008985,0.012435,0.019501,0.034513,0.065959", \ "0.005595,0.007201,0.008990,0.012436,0.019501,0.034507,0.065980"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("0.006891,0.009622,0.013081,0.020948,0.037939,0.072366,0.141304", \ "0.006891,0.009622,0.013080,0.020949,0.037940,0.072367,0.141308", \ "0.006892,0.009621,0.013083,0.020950,0.037939,0.072365,0.141307", \ "0.006893,0.009625,0.013086,0.020952,0.037940,0.072366,0.141303", \ "0.006895,0.009626,0.013083,0.020951,0.037940,0.072367,0.141302", \ "0.006912,0.009634,0.013092,0.020951,0.037940,0.072364,0.141302", \ "0.006922,0.009652,0.013100,0.020955,0.037941,0.072366,0.141306"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.228658,4.306699,4.366909,4.489123,4.578335,4.630096,4.545349", \ "4.210378,4.293521,4.354225,4.470217,4.585354,4.612899,4.618885", \ "4.214979,4.290464,4.359433,4.461769,4.571689,4.608469,4.577964", \ "4.282594,4.354984,4.416486,4.534811,4.642203,4.675119,4.712061", \ "4.448195,4.532663,4.599157,4.710732,4.815639,4.848108,4.759971", \ "4.783466,4.860089,4.925569,5.036054,5.124496,5.114541,5.152747", \ "5.235412,5.311193,5.372098,5.505810,5.608742,5.608657,5.628168"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.888280,3.776550,7.553100,15.106200,30.212400,60.424800"); values ("4.594538,4.554402,4.529362,4.564610,4.616347,4.581085,4.628278", \ "4.596458,4.543250,4.533835,4.541588,4.605249,4.621881,4.636846", \ "4.592255,4.542825,4.521974,4.554495,4.596640,4.563759,4.617258", \ "4.652576,4.601465,4.581620,4.615979,4.643323,4.682406,4.644422", \ "4.818996,4.771361,4.761979,4.767849,4.809451,4.784321,4.791378", \ "5.160646,5.110057,5.084625,5.088595,5.117365,5.145517,5.080293", \ "5.634314,5.588612,5.556808,5.587947,5.599911,5.624060,5.644631"); } } } } /****************************************************************************************** Module : SDFF_X2 Cell Description : Pos.edge D-Flip-Flop with active high scan, and drive strength X2 *******************************************************************************************/ cell (SDFF_X2) { drive_strength : 2; ff ("IQ" , "IQN") { next_state : "((SE * SI) + (D * !SE))"; clocked_on : "CK"; } area : 6.384000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 136.676074; leakage_power () { when : "!CK & !D & !SE & !SI & !Q & QN"; value : 125.347200; } leakage_power () { when : "!CK & !D & !SE & !SI & Q & !QN"; value : 122.327700; } leakage_power () { when : "!CK & !D & !SE & SI & !Q & QN"; value : 135.873078; } leakage_power () { when : "!CK & !D & !SE & SI & Q & !QN"; value : 132.853578; } leakage_power () { when : "!CK & !D & SE & !SI & !Q & QN"; value : 129.415198; } leakage_power () { when : "!CK & !D & SE & !SI & Q & !QN"; value : 126.395698; } leakage_power () { when : "!CK & !D & SE & SI & !Q & QN"; value : 142.272801; } leakage_power () { when : "!CK & !D & SE & SI & Q & !QN"; value : 134.117401; } leakage_power () { when : "!CK & D & !SE & !SI & !Q & QN"; value : 142.399422; } leakage_power () { when : "!CK & D & !SE & !SI & Q & !QN"; value : 134.245122; } leakage_power () { when : "!CK & D & !SE & SI & !Q & QN"; value : 147.788344; } leakage_power () { when : "!CK & D & !SE & SI & Q & !QN"; value : 139.634044; } leakage_power () { when : "!CK & D & SE & !SI & !Q & QN"; value : 143.361713; } leakage_power () { when : "!CK & D & SE & !SI & Q & !QN"; value : 140.342213; } leakage_power () { when : "!CK & D & SE & SI & !Q & QN"; value : 149.378592; } leakage_power () { when : "!CK & D & SE & SI & Q & !QN"; value : 141.224182; } leakage_power () { when : "CK & !D & !SE & !SI & !Q & QN"; value : 120.677205; } leakage_power () { when : "CK & !D & !SE & !SI & Q & !QN"; value : 131.528694; } leakage_power () { when : "CK & !D & !SE & SI & !Q & QN"; value : 131.203083; } leakage_power () { when : "CK & !D & !SE & SI & Q & !QN"; value : 142.053473; } leakage_power () { when : "CK & !D & SE & !SI & !Q & QN"; value : 124.745203; } leakage_power () { when : "CK & !D & SE & !SI & Q & !QN"; value : 135.596692; } leakage_power () { when : "CK & !D & SE & SI & !Q & QN"; value : 133.030656; } leakage_power () { when : "CK & !D & SE & SI & Q & !QN"; value : 138.749215; } leakage_power () { when : "CK & D & !SE & !SI & !Q & QN"; value : 133.157827; } leakage_power () { when : "CK & D & !SE & !SI & Q & !QN"; value : 138.876716; } leakage_power () { when : "CK & D & !SE & SI & !Q & QN"; value : 138.546749; } leakage_power () { when : "CK & D & !SE & SI & Q & !QN"; value : 144.265638; } leakage_power () { when : "CK & D & SE & !SI & !Q & QN"; value : 138.691718; } leakage_power () { when : "CK & D & SE & !SI & Q & !QN"; value : 149.542107; } leakage_power () { when : "CK & D & SE & SI & !Q & QN"; value : 140.137327; } leakage_power () { when : "CK & D & SE & SI & Q & !QN"; value : 145.855776; } pin (D) { direction : input; nextstate_type : data; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.126603; fall_capacitance : 1.074865; rise_capacitance : 1.126603; timing () { related_pin : "CK"; timing_type : hold_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.026084,-0.013942,-0.010142", \ "-0.035473,-0.022070,-0.018195", \ "0.108637,0.122269,0.127063"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.013348,-0.006419,-0.009922", \ "-0.012590,-0.006811,-0.014645", \ "0.064540,0.069803,0.055316"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "!SE"; sdf_cond : "NEG_SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.074282,0.069609,0.084733", \ "0.091783,0.087140,0.102097", \ "0.134471,0.129215,0.143727"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.071903,0.058059,0.053570", \ "0.082643,0.068549,0.064165", \ "0.090369,0.076743,0.071971"); } } internal_power () { when : "!CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.979297,6.961410,6.931335,6.942150,7.086080,7.434646,8.011181"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.145204,5.109180,5.070169,5.080423,5.217551,5.548236,6.094339"); } } internal_power () { when : "!CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.949058,6.925976,6.897291,6.908724,7.051770,7.406049,7.983423"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.134368,5.097952,5.059398,5.069797,5.206095,5.538973,6.084191"); } } internal_power () { when : "!CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.978746,6.960980,6.930895,6.941685,7.081414,7.434067,8.010526"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.148469,5.112682,5.073629,5.083896,5.221029,5.552362,6.098381"); } } internal_power () { when : "!CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.946382,6.923663,6.894962,6.906366,7.049359,7.403555,7.980767"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("5.134423,5.098099,5.059432,5.069831,5.206365,5.539026,6.084264"); } } internal_power () { when : "!CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717494,0.690921,0.681236,0.677696,0.674896,0.674892,0.673592"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605485,-0.611099,-0.616542,-0.620186,-0.622858,-0.624984,-0.626745"); } } internal_power () { when : "!CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717805,0.691187,0.681925,0.678395,0.676199,0.675572,0.674718"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.603605,-0.608979,-0.614446,-0.618014,-0.621427,-0.623064,-0.625629"); } } internal_power () { when : "!CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650960,0.658216,0.660342,0.659217,0.658963,0.658175,0.657936"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422256,-0.487928,-0.545016,-0.566488,-0.578564,-0.586285,-0.591845"); } } internal_power () { when : "!CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650748,0.657654,0.659767,0.659250,0.657794,0.657591,0.656660"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.423909,-0.489492,-0.546213,-0.567818,-0.579527,-0.587295,-0.592864"); } } internal_power () { when : "CK & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.375291,3.352832,3.322771,3.337204,3.483718,3.826753,4.387652"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.590964,1.555279,1.518140,1.534220,1.675534,2.007638,2.551355"); } } internal_power () { when : "CK & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.313978,3.291134,3.261395,3.277306,3.425382,3.770846,4.333346"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.563349,1.528163,1.490434,1.506764,1.645912,1.974420,2.516344"); } } internal_power () { when : "CK & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.374885,3.352459,3.322335,3.336740,3.483177,3.826175,4.387045"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.594376,1.558767,1.521597,1.537643,1.679007,2.011162,2.554765"); } } internal_power () { when : "CK & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.312263,3.288565,3.258808,3.274382,3.422376,3.768202,4.329251"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.561369,1.525759,1.488934,1.505230,1.645372,1.973878,2.515233"); } } internal_power () { when : "CK & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.717452,0.690900,0.681195,0.677661,0.675484,0.674822,0.674328"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605344,-0.610981,-0.616447,-0.620095,-0.623363,-0.624899,-0.626665"); } } internal_power () { when : "CK & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.716910,0.690858,0.681132,0.677291,0.674842,0.674140,0.673503"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.605117,-0.611022,-0.616853,-0.621394,-0.623805,-0.625997,-0.627887"); } } internal_power () { when : "CK & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651659,0.658087,0.660194,0.659689,0.658775,0.657992,0.657778"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422897,-0.488630,-0.545711,-0.567203,-0.579208,-0.586917,-0.592504"); } } internal_power () { when : "CK & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.651448,0.658357,0.660457,0.659936,0.659051,0.658263,0.658050"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.422151,-0.487781,-0.544487,-0.566087,-0.578369,-0.585560,-0.591129"); } } } pin (SE) { direction : input; nextstate_type : scan_enable; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.853232; fall_capacitance : 1.744503; rise_capacitance : 1.853232; timing () { related_pin : "CK"; timing_type : hold_rising; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.018562,-0.011758,-0.016237", \ "-0.032907,-0.027796,-0.033199", \ "0.102886,0.112734,0.098793"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.020956,-0.009200,-0.007881", \ "-0.024178,-0.011556,-0.012895", \ "0.063114,0.076897,0.067173"); } } timing () { related_pin : "CK"; timing_type : setup_rising; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.081602,0.067814,0.074800", \ "0.100610,0.086939,0.092859", \ "0.135898,0.122120,0.131868"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.083718,0.078838,0.093763", \ "0.090379,0.085682,0.100152", \ "0.096121,0.086278,0.100245"); } } internal_power () { when : "!CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.325519,2.289139,2.344436,2.551152,2.937182,3.555258,4.434080"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.064498,-0.097821,-0.090258,0.077185,0.476681,1.120751,2.026233"); } } internal_power () { when : "!CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.326612,2.290291,2.345570,2.552288,2.938946,3.556446,4.435602"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.048157,-0.080911,-0.073772,0.093468,0.492190,1.137219,2.041407"); } } internal_power () { when : "!CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.742060,7.674132,7.731887,8.075700,8.779391,9.907294,11.517220"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.541321,4.498538,4.486308,4.735943,5.395694,6.535901,8.184555"); } } internal_power () { when : "!CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.709688,7.638834,7.695944,8.044744,8.745684,9.872462,11.487030"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.492594,4.447516,4.435452,4.685879,5.353727,6.493953,8.144998"); } } internal_power () { when : "!CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.297901,7.266173,7.367921,7.653478,8.141592,8.868472,9.842501"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.071229,6.052193,6.036417,6.193610,6.584273,7.242605,8.178711"); } } internal_power () { when : "!CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.338060,7.309765,7.412684,7.697408,8.187203,8.914891,9.895915"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.230379,6.200047,6.143449,6.262586,6.636273,7.292015,8.229611"); } } internal_power () { when : "!CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.169628,2.137880,2.198823,2.411466,2.802628,3.424548,4.307151"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.064229,0.034961,0.042702,0.203416,0.592766,1.235665,2.142056"); } } internal_power () { when : "!CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.166257,2.134086,2.194968,2.408011,2.798477,3.420874,4.303249"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.035240,0.005977,0.013885,0.174795,0.564990,1.207235,2.113878"); } } internal_power () { when : "CK & !D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.325444,2.289336,2.344671,2.551398,2.938070,3.555475,4.435084"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.063749,-0.097121,-0.089519,0.077932,0.476823,1.121498,2.026984"); } } internal_power () { when : "CK & !D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.325279,2.288892,2.344547,2.551134,2.937773,3.555056,4.434768"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.048594,-0.081980,-0.074129,0.093393,0.492026,1.136256,2.041146"); } } internal_power () { when : "CK & !D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.132130,4.062869,4.125332,4.475568,5.171722,6.284033,7.877049"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.985238,0.943101,0.936763,1.194370,1.855857,2.988679,4.618771"); } } internal_power () { when : "CK & !D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.068096,3.997466,4.061397,4.414640,5.113751,6.228229,7.823220"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.918288,0.876082,0.869935,1.125956,1.785556,2.920841,4.556448"); } } internal_power () { when : "CK & D & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.747618,3.716713,3.817585,4.105645,4.593359,5.316925,6.294464"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.454580,2.434605,2.424217,2.575827,2.970985,3.630162,4.566420"); } } internal_power () { when : "CK & D & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.773503,3.743486,3.844838,4.133549,4.623388,5.348713,6.329080"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.552428,2.521216,2.464712,2.583432,2.957923,3.611115,4.549068"); } } internal_power () { when : "CK & D & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.169284,2.137496,2.198773,2.411690,2.801856,3.423984,4.306428"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.063539,0.034431,0.042076,0.202986,0.592815,1.234976,2.142157"); } } internal_power () { when : "CK & D & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.166322,2.134400,2.195629,2.408851,2.799517,3.421292,4.304289"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.036452,0.007371,0.015070,0.176268,0.565785,1.208462,2.115087"); } } } pin (SI) { direction : input; nextstate_type : scan_in; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.898201; fall_capacitance : 0.858820; rise_capacitance : 0.898201; timing () { related_pin : "CK"; timing_type : hold_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.030263,-0.017633,-0.014378", \ "-0.035210,-0.021870,-0.017909", \ "0.101190,0.114563,0.119015"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("-0.013942,-0.006946,-0.010630", \ "-0.012654,-0.006593,-0.014873", \ "0.052762,0.058018,0.044066"); } } timing () { related_pin : "CK"; timing_type : setup_rising; when : "SE"; sdf_cond : "SE === 1'b1"; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.082834,0.078179,0.092860", \ "0.100557,0.095519,0.110363", \ "0.146251,0.141001,0.154978"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.074612,0.060585,0.056488", \ "0.086511,0.072628,0.068357", \ "0.097817,0.084449,0.080021"); } } internal_power () { when : "!CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542829,0.525614,0.518773,0.515458,0.512757,0.512226,0.510399"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467252,-0.470854,-0.473040,-0.474329,-0.475143,-0.476204,-0.476754"); } } internal_power () { when : "!CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543521,0.526313,0.519467,0.515999,0.514095,0.512909,0.511526"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.465204,-0.468827,-0.470984,-0.472273,-0.473935,-0.474180,-0.475647"); } } internal_power () { when : "!CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.944082,6.936805,6.908379,6.920343,7.011464,7.260784,7.679217"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.678080,4.653004,4.627491,4.631130,4.719656,4.949153,5.341454"); } } internal_power () { when : "!CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.916218,6.902278,6.880118,6.885915,6.985130,7.234105,7.651597"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.667776,4.642270,4.617137,4.620463,4.708944,4.939922,5.330896"); } } internal_power () { when : "!CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.482182,0.489721,0.491399,0.490984,0.490878,0.490883,0.490489"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320220,-0.368623,-0.408583,-0.424115,-0.432952,-0.437857,-0.442719"); } } internal_power () { when : "!CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481369,0.488924,0.490575,0.490172,0.489410,0.490057,0.489258"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.322133,-0.371078,-0.410924,-0.426446,-0.434898,-0.440441,-0.444513"); } } internal_power () { when : "!CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.949168,6.936429,6.907739,6.919661,7.010437,7.259929,7.678253"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.681819,4.656727,4.631052,4.634700,4.724685,4.952872,5.345082"); } } internal_power () { when : "!CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.913136,6.898767,6.876839,6.882293,6.981180,7.230313,7.647869"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.696287,4.671665,4.644132,4.649767,4.739657,4.968086,5.359793"); } } internal_power () { when : "CK & !D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.543059,0.525859,0.519004,0.515695,0.513635,0.512429,0.511425"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.466440,-0.470061,-0.472267,-0.473559,-0.474987,-0.475442,-0.475996"); } } internal_power () { when : "CK & !D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.542712,0.525438,0.518663,0.515189,0.513311,0.512114,0.511130"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.467544,-0.471080,-0.473733,-0.475079,-0.476232,-0.477287,-0.478038"); } } internal_power () { when : "CK & !D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.332034,3.320351,3.297663,3.306572,3.402131,3.640728,4.037727"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.126663,1.100927,1.077000,1.085946,1.178786,1.407749,1.796519"); } } internal_power () { when : "CK & !D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.273373,3.259505,3.237476,3.245369,3.343473,3.582350,3.982296"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.100764,1.074580,1.050974,1.058432,1.150652,1.375321,1.762212"); } } internal_power () { when : "CK & D & !SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481900,0.489458,0.491110,0.490698,0.489944,0.490555,0.489795"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.321195,-0.369618,-0.409601,-0.425132,-0.433261,-0.438864,-0.442846"); } } internal_power () { when : "CK & D & !SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.481862,0.489423,0.491059,0.490646,0.490522,0.490518,0.490520"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.320863,-0.369822,-0.409661,-0.425181,-0.434268,-0.439170,-0.443243"); } } internal_power () { when : "CK & D & SE & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.331453,3.319564,3.296209,3.305886,3.401487,3.639969,4.036779"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.130239,1.104574,1.080568,1.089517,1.182516,1.411291,1.800161"); } } internal_power () { when : "CK & D & SE & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.272191,3.260136,3.236669,3.244567,3.343187,3.582278,3.980918"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.128163,1.101085,1.078308,1.086568,1.176543,1.403198,1.789682"); } } } pin (CK) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 0.983853; fall_capacitance : 0.888069; rise_capacitance : 0.983853; timing () { related_pin : "CK"; timing_type : min_pulse_width; fall_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.066189,0.075086,0.198733"); } rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.082061,0.081845,0.198733"); } } internal_power () { when : "!D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.652675,3.618977,3.630548,3.813965,4.186471,4.778446,5.637718"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.835811,2.815753,2.810438,2.939641,3.276053,3.888442,4.796912"); } } internal_power () { when : "!D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.969314,6.931091,6.940514,7.135342,7.536539,8.167846,9.079747"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.652675,3.618980,3.630554,3.813969,4.186471,4.778464,5.637718"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.835832,2.815777,2.810472,2.939668,3.276093,3.888473,4.796943"); } } internal_power () { when : "!D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.976725,6.938224,6.948762,7.142317,7.544371,8.174635,9.087219"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.652498,3.618813,3.630377,3.813799,4.186309,4.778403,5.637698"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.835959,2.815879,2.810605,2.939750,3.276144,3.888434,4.796948"); } } internal_power () { when : "!D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.967680,6.929459,6.938857,7.132829,7.534320,8.165942,9.078116"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.064911,7.027427,7.038921,7.222035,7.589855,8.183582,9.044173"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "!D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.803426,3.768480,3.781744,3.966320,4.342708,4.940309,5.805187"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.686769,2.666687,2.658451,2.787484,3.132208,3.745536,4.657273"); } } internal_power () { when : "D & !SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.999987,6.962909,6.974067,7.158045,7.530283,8.123657,8.984789"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.803248,3.767893,3.781165,3.965743,4.342106,4.939779,5.804598"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.686860,2.666789,2.658564,2.787539,3.132326,3.745658,4.657393"); } } internal_power () { when : "D & !SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.066332,7.028999,7.040746,7.222883,7.591758,8.185688,9.046431"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & !SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.802875,3.767888,3.781160,3.965737,4.342106,4.939769,5.804599"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.686846,2.666773,2.658545,2.787520,3.132308,3.745639,4.657374"); } } internal_power () { when : "D & SE & !SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.652517,3.618817,3.630388,3.813806,4.186307,4.778427,5.637699"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.835994,2.815914,2.810648,2.939796,3.276183,3.888475,4.796989"); } } internal_power () { when : "D & SE & !SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("6.957357,6.918600,6.928297,7.122774,7.524275,8.154727,9.067135"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & !Q & QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("7.003606,6.966685,6.973643,7.160464,7.533049,8.127567,8.988047"); } rise_power(scalar) {values ("0.0"); } } internal_power () { when : "D & SE & SI & Q & !QN"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.803413,3.768474,3.781735,3.966313,4.342707,4.940294,5.805187"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.686749,2.666663,2.658426,2.787459,3.132183,3.745511,4.657248"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 120.544000; function : "IQ"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.082160,0.089317,0.095716,0.106263,0.122870,0.148167,0.189752", \ "0.083646,0.090800,0.097202,0.107749,0.124356,0.149652,0.191239", \ "0.088665,0.095821,0.102221,0.112769,0.129373,0.154672,0.196263", \ "0.094088,0.101245,0.107651,0.118191,0.134799,0.160100,0.201692", \ "0.098063,0.105220,0.111624,0.122173,0.138774,0.164077,0.205674", \ "0.100577,0.107735,0.114142,0.124671,0.141271,0.166567,0.208157", \ "0.101594,0.108757,0.115163,0.125706,0.142258,0.167593,0.209219"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.066375,0.073448,0.079928,0.091164,0.111066,0.148007,0.220550", \ "0.067854,0.074925,0.081407,0.092641,0.112546,0.149485,0.222021", \ "0.072986,0.080061,0.086543,0.097777,0.117677,0.154621,0.227155", \ "0.078709,0.085778,0.092264,0.103499,0.123403,0.160342,0.232877", \ "0.083085,0.090166,0.096644,0.107884,0.127775,0.164718,0.237252", \ "0.085778,0.092858,0.099342,0.110583,0.130478,0.167427,0.239957", \ "0.086362,0.093433,0.099917,0.111168,0.131059,0.168006,0.240546"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.015776,0.018411,0.021045,0.025689,0.032995,0.046132,0.073851", \ "0.015783,0.018411,0.021045,0.025689,0.032996,0.046130,0.073846", \ "0.015786,0.018415,0.021048,0.025692,0.032997,0.046136,0.073854", \ "0.015792,0.018418,0.021051,0.025695,0.032999,0.046138,0.073845", \ "0.015813,0.018445,0.021073,0.025712,0.033012,0.046145,0.073861", \ "0.015834,0.018457,0.021091,0.025734,0.033035,0.046142,0.073879", \ "0.015914,0.018535,0.021159,0.025796,0.033085,0.046190,0.073871"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("0.008245,0.012408,0.016597,0.024592,0.040395,0.073258,0.141535", \ "0.008242,0.012411,0.016596,0.024592,0.040395,0.073257,0.141538", \ "0.008247,0.012411,0.016596,0.024592,0.040396,0.073256,0.141534", \ "0.008249,0.012412,0.016596,0.024593,0.040395,0.073257,0.141540", \ "0.008252,0.012412,0.016596,0.024594,0.040398,0.073258,0.141538", \ "0.008254,0.012421,0.016603,0.024598,0.040399,0.073256,0.141542", \ "0.008263,0.012425,0.016609,0.024604,0.040403,0.073260,0.141541"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("8.225946,7.971146,7.739461,7.527120,7.450206,7.421309,7.424690", \ "8.213853,7.939373,7.731078,7.521323,7.443510,7.414311,7.372597", \ "8.205144,7.971406,7.732909,7.508403,7.449565,7.402805,7.301848", \ "8.253497,8.035980,7.792570,7.571868,7.494776,7.507736,7.443041", \ "8.421386,8.198158,7.954623,7.737728,7.661868,7.673713,7.643231", \ "8.733400,8.521626,8.277478,8.050533,7.969435,7.890701,7.841784", \ "9.239414,9.011267,8.764608,8.541874,8.419777,8.412741,8.404273"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.767000,7.534000,15.068000,30.136000,60.272000,120.544000"); values ("6.338905,6.359205,6.412436,6.759178,6.866607,6.876176,6.879607", \ "6.330857,6.346532,6.384721,6.739929,6.840414,6.889617,6.936359", \ "6.311999,6.346739,6.404100,6.732775,6.829633,6.882367,6.856973", \ "6.379424,6.409392,6.470155,6.810992,6.906691,6.946166,6.995385", \ "6.547532,6.564927,6.640215,6.965945,7.081129,7.096660,7.174684", \ "6.886317,6.896200,6.938305,7.277194,7.396831,7.440326,7.448508", \ "7.346330,7.364678,7.430085,7.766982,7.838869,7.845073,7.945564"); } } } pin (QN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 121.155000; function : "IQN"; timing () { related_pin : "CK"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.088053,0.090747,0.093563,0.098833,0.108526,0.126990,0.163627", \ "0.089531,0.092224,0.095040,0.100311,0.110001,0.128465,0.165094", \ "0.094664,0.097360,0.100178,0.105448,0.115135,0.133607,0.170225", \ "0.100386,0.103075,0.105896,0.111169,0.120860,0.139324,0.175958", \ "0.104763,0.107462,0.110276,0.115554,0.125231,0.143700,0.180329", \ "0.107463,0.110154,0.112973,0.118253,0.127935,0.146406,0.183031", \ "0.108049,0.110731,0.113551,0.118837,0.128514,0.146985,0.183623"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.112780,0.115864,0.118830,0.125609,0.141841,0.177097,0.249328", \ "0.114266,0.117352,0.120315,0.127095,0.143326,0.178583,0.250816", \ "0.119289,0.122374,0.125336,0.132115,0.148346,0.183603,0.255837", \ "0.124714,0.127802,0.130762,0.137541,0.153771,0.189026,0.261266", \ "0.128701,0.131785,0.134749,0.141528,0.157749,0.193007,0.265241", \ "0.131225,0.134306,0.137272,0.144034,0.160245,0.195490,0.267734", \ "0.132281,0.135359,0.138325,0.145086,0.161236,0.196487,0.268741"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.005455,0.007146,0.008758,0.012044,0.019071,0.034233,0.065922", \ "0.005453,0.007146,0.008758,0.012045,0.019071,0.034233,0.065913", \ "0.005456,0.007145,0.008759,0.012046,0.019072,0.034238,0.065921", \ "0.005455,0.007146,0.008762,0.012046,0.019076,0.034238,0.065914", \ "0.005455,0.007145,0.008759,0.012047,0.019071,0.034237,0.065924", \ "0.005456,0.007148,0.008760,0.012048,0.019074,0.034228,0.065905", \ "0.005458,0.007150,0.008761,0.012049,0.019077,0.034236,0.065919"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("0.007486,0.010912,0.013774,0.020898,0.037676,0.072199,0.141419", \ "0.007487,0.010911,0.013775,0.020899,0.037676,0.072199,0.141415", \ "0.007486,0.010913,0.013774,0.020899,0.037676,0.072198,0.141418", \ "0.007484,0.010914,0.013777,0.020900,0.037676,0.072199,0.141416", \ "0.007489,0.010916,0.013778,0.020899,0.037677,0.072202,0.141420", \ "0.007491,0.010925,0.013782,0.020903,0.037677,0.072200,0.141416", \ "0.007504,0.010936,0.013793,0.020905,0.037678,0.072200,0.141417"); } } internal_power () { related_pin : "CK"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("6.338661,6.354513,6.420163,6.757057,6.864210,6.822307,6.945322", \ "6.331693,6.346446,6.383965,6.752064,6.845011,6.769960,6.859206", \ "6.312005,6.345495,6.403409,6.736014,6.834673,6.867483,6.833742", \ "6.379416,6.410354,6.466099,6.812020,6.909581,6.964768,6.923620", \ "6.547530,6.569003,6.640321,6.983636,7.079300,7.132404,7.174235", \ "6.887692,6.902617,6.938436,7.298082,7.395621,7.381792,7.431984", \ "7.346212,7.356127,7.431571,7.769953,7.829499,7.889278,7.831687"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,3.786090,7.572190,15.144400,30.288800,60.577500,121.155000"); values ("8.227069,7.956973,7.732050,7.524254,7.449229,7.456564,7.370038", \ "8.214983,7.934498,7.727293,7.517896,7.438728,7.450305,7.335948", \ "8.205158,7.966851,7.717857,7.502761,7.426727,7.359045,7.411235", \ "8.253449,8.031324,7.793126,7.556818,7.513152,7.478704,7.369239", \ "8.421380,8.193583,7.956238,7.722476,7.670657,7.675217,7.612733", \ "8.733404,8.516991,8.269861,8.052866,7.986235,7.842171,7.873097", \ "9.240552,9.002476,8.764323,8.537584,8.462301,8.382766,8.400045"); } } } } /****************************************************************************************** Module : TBUF_X1 Cell Description : Combinational tri-state cell (TBUF_X1) with drive strength X1 *******************************************************************************************/ cell (TBUF_X1) { drive_strength : 1; area : 2.128000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 32.749437; leakage_power () { when : "!A & !EN"; value : 43.057960; } leakage_power () { when : "!A & EN"; value : 21.116348; } leakage_power () { when : "A & !EN"; value : 40.018110; } leakage_power () { when : "A & EN"; value : 26.805328; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.879368; fall_capacitance : 1.769035; rise_capacitance : 1.879368; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.726556; fall_capacitance : 1.583854; rise_capacitance : 1.726556; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.053973; fall_capacitance : 1.053973; rise_capacitance : 1.052934; max_capacitance : 51.574700; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); values ("0.0303968,0.0342089,0.0380927,0.0445061,0.0553707,0.0747651,0.111662", \ "0.0317583,0.0355651,0.0394502,0.0458663,0.0567329,0.0761286,0.113026", \ "0.0370553,0.0408414,0.0447310,0.0511665,0.0620560,0.0814664,0.118370", \ "0.0449625,0.0489740,0.0530518,0.0596769,0.0707009,0.0901864,0.127119", \ "0.0543105,0.0587220,0.0631574,0.0702714,0.0818715,0.101852,0.138937", \ "0.0662816,0.0711665,0.0760332,0.0837299,0.0959898,0.116536,0.154043", \ "0.0806876,0.0861426,0.0915252,0.0999429,0.113077,0.134504,0.172565"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); values ("0.0189452,0.0232608,0.0282473,0.0376205,0.0558724,0.0921214,0.164485", \ "0.0201649,0.0244653,0.0294451,0.0388179,0.0570762,0.0933368,0.165704", \ "0.0247546,0.0290362,0.0339909,0.0433466,0.0616169,0.0979088,0.170308", \ "0.0294601,0.0339978,0.0390564,0.0484977,0.0668148,0.103081,0.175495", \ "0.0326745,0.0379140,0.0432652,0.0527695,0.0710494,0.107448,0.179829", \ "0.0338779,0.0401693,0.0462877,0.0562618,0.0746590,0.110983,0.183514", \ "0.0328176,0.0402765,0.0474869,0.0585526,0.0773751,0.113924,0.186454"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); values ("0.00494725,0.00674872,0.00883462,0.0126899,0.0200566,0.0349126,0.0658518", \ "0.00494862,0.00675195,0.00883831,0.0126915,0.0200578,0.0349128,0.0658523", \ "0.00499352,0.00679799,0.00887342,0.0127116,0.0200654,0.0349155,0.0658532", \ "0.00564419,0.00739234,0.00938644,0.0130697,0.0202629,0.0349960,0.0658688", \ "0.00662230,0.00839590,0.0104144,0.0141184,0.0212153,0.0356188,0.0660157", \ "0.00776953,0.00960299,0.0116540,0.0153597,0.0223374,0.0364659,0.0665632", \ "0.00912650,0.0110417,0.0131632,0.0169348,0.0238973,0.0377518,0.0672283"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); values ("0.00551976,0.00855381,0.0125595,0.0208464,0.0378925,0.0722846,0.141078", \ "0.00551983,0.00855427,0.0125591,0.0208519,0.0379022,0.0722801,0.141085", \ "0.00575160,0.00868790,0.0126337,0.0208749,0.0379081,0.0722898,0.141094", \ "0.00670659,0.00935516,0.0131194,0.0212173,0.0380135,0.0722796,0.141092", \ "0.00839864,0.0107265,0.0139752,0.0215493,0.0382682,0.0724922,0.141080", \ "0.0104917,0.0129681,0.0158477,0.0225678,0.0386260,0.0726940,0.141296", \ "0.0128595,0.0157263,0.0186568,0.0245945,0.0396111,0.0731638,0.141558"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.108047,0.113036,0.129340,0.156215,0.195366,0.247854,0.314835"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.049259,0.054376,0.070827,0.096590,0.133193,0.182060,0.244365"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); values ("0.025395,0.029148,0.033025,0.039463,0.050366,0.069780,0.106668", \ "0.025989,0.029741,0.033618,0.040055,0.050958,0.070373,0.107261", \ "0.031641,0.035397,0.039275,0.045715,0.056619,0.076034,0.112923", \ "0.041674,0.045860,0.050036,0.056771,0.067862,0.087364,0.124264", \ "0.052877,0.057703,0.062368,0.069637,0.081283,0.101363,0.138469", \ "0.065878,0.071419,0.076682,0.084695,0.097007,0.117441,0.155024", \ "0.080970,0.087332,0.093300,0.102253,0.115604,0.136824,0.174699"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); values ("0.032550,0.036769,0.041655,0.050897,0.069027,0.105187,0.177497", \ "0.034049,0.038268,0.043154,0.052395,0.070526,0.106687,0.178998", \ "0.040488,0.044709,0.049595,0.058838,0.076967,0.113126,0.185441", \ "0.049516,0.053763,0.058668,0.067922,0.086049,0.122210,0.194522", \ "0.059595,0.063890,0.068816,0.078083,0.096216,0.132375,0.204682", \ "0.071182,0.075551,0.080515,0.089811,0.107952,0.144110,0.216402", \ "0.084434,0.088919,0.093940,0.103272,0.121427,0.157588,0.229887"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); values ("0.005375,0.007152,0.009187,0.012960,0.020229,0.034990,0.065869", \ "0.005373,0.007152,0.009187,0.012960,0.020229,0.034990,0.065868", \ "0.005393,0.007162,0.009197,0.012966,0.020232,0.034992,0.065869", \ "0.006473,0.008083,0.009990,0.013542,0.020546,0.035121,0.065894", \ "0.007903,0.009490,0.011307,0.014715,0.021596,0.035946,0.066109", \ "0.009469,0.011122,0.012966,0.016254,0.022708,0.036641,0.066901", \ "0.011318,0.013041,0.014973,0.018304,0.024497,0.037778,0.067382"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); values ("0.005703,0.008700,0.012659,0.020894,0.037906,0.072274,0.141083", \ "0.005703,0.008701,0.012662,0.020889,0.037907,0.072270,0.141085", \ "0.005711,0.008704,0.012660,0.020893,0.037901,0.072273,0.141093", \ "0.005772,0.008753,0.012699,0.020913,0.037910,0.072270,0.141090", \ "0.005892,0.008838,0.012754,0.020945,0.037920,0.072276,0.141091", \ "0.006072,0.008969,0.012853,0.020992,0.037938,0.072275,0.141072", \ "0.006363,0.009172,0.012989,0.021067,0.037969,0.072290,0.141087"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); values ("4.291482,4.538765,4.730045,4.915571,5.052731,5.107278,5.125350", \ "4.197525,4.449194,4.638515,4.833915,4.975139,5.034690,5.049775", \ "4.161072,4.410009,4.590569,4.792859,4.939615,5.007702,5.026608", \ "4.246377,4.507279,4.721018,4.933846,5.091544,5.164228,5.194416", \ "4.355703,4.676214,4.913363,5.235895,5.521280,5.617919,5.652960", \ "4.970593,5.259761,5.521117,5.827357,6.157775,6.432460,6.498042", \ "5.885732,6.195835,6.488054,6.834330,7.218983,7.514325,7.762621"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); values ("1.945235,2.054567,2.123725,2.182638,2.171433,2.147945,2.207092", \ "1.901036,1.996702,2.057562,2.076221,2.169427,2.056820,2.112218", \ "1.876110,1.966559,1.990173,2.059482,2.078719,2.000929,2.073251", \ "2.133248,2.156195,2.169046,2.226220,2.254403,2.341187,2.257675", \ "2.690608,2.779448,2.812715,2.778127,2.772440,2.720473,2.734173", \ "3.465272,3.684663,3.727765,3.776367,3.751541,3.779627,3.731205", \ "4.435277,4.716927,4.939527,5.087481,5.134877,5.137490,5.160473"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.419589214,2.665683214,4.277393214,7.500813214,13.94767321,26.84137321,52.62867321"); values ("3.976701,4.187953,4.341173,4.496713,4.621554,4.671420,4.681022", \ "3.900772,4.081915,4.237892,4.414464,4.532155,4.581370,4.593572", \ "3.963586,4.169666,4.328236,4.491795,4.611910,4.660330,4.672016", \ "4.213698,4.479012,4.638145,4.831674,4.961998,5.012450,5.023319", \ "4.616491,4.854381,5.087023,5.346463,5.601552,5.673327,5.688326", \ "5.444437,5.695421,5.921581,6.180714,6.460071,6.691681,6.737324", \ "6.611961,6.898900,7.144344,7.445253,7.785312,8.021197,8.234057"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.418550429,2.664644429,4.276354429,7.499774429,13.94663443,26.84033443,52.62763443"); values ("4.204821,4.284545,4.282472,4.267196,4.289975,4.271625,4.230948", \ "4.159624,4.213954,4.249738,4.241843,4.247482,4.249872,4.186013", \ "4.192733,4.261687,4.293953,4.307613,4.310923,4.204343,4.332847", \ "4.363053,4.450045,4.487070,4.503743,4.484189,4.403745,4.532127", \ "4.548906,4.699769,4.750844,4.814050,4.865273,4.809726,4.766556", \ "4.992791,5.158728,5.234882,5.305742,5.491846,5.492703,5.451150", \ "5.642456,5.847963,6.006061,6.076197,6.238640,6.370448,6.336938"); } } } } /****************************************************************************************** Module : TBUF_X2 Cell Description : Combinational tri-state cell (TBUF_X2) with drive strength X2 *******************************************************************************************/ cell (TBUF_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 58.901131; leakage_power () { when : "!A & !EN"; value : 81.681490; } leakage_power () { when : "!A & EN"; value : 33.339570; } leakage_power () { when : "A & !EN"; value : 75.740060; } leakage_power () { when : "A & EN"; value : 44.843403; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.325056; fall_capacitance : 3.106280; rise_capacitance : 3.325056; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.737893; fall_capacitance : 2.536594; rise_capacitance : 2.737893; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.636401; fall_capacitance : 1.636401; rise_capacitance : 1.634360; max_capacitance : 103.607000; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); values ("0.0264832,0.0310108,0.0348193,0.0410836,0.0517594,0.0710238,0.108020", \ "0.0278395,0.0323568,0.0361658,0.0424328,0.0531114,0.0723774,0.109375", \ "0.0331735,0.0376492,0.0414612,0.0477506,0.0584530,0.0777359,0.114742", \ "0.0405557,0.0453681,0.0494129,0.0559630,0.0668555,0.0862264,0.123254", \ "0.0493086,0.0546075,0.0590246,0.0660555,0.0775142,0.0973865,0.134588", \ "0.0606849,0.0665767,0.0714461,0.0790830,0.0912160,0.111649,0.149226", \ "0.0744258,0.0810348,0.0864226,0.0948150,0.107893,0.129248,0.167393"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); values ("0.0170396,0.0222748,0.0273333,0.0367714,0.0551072,0.0915144,0.164200", \ "0.0182544,0.0234672,0.0285179,0.0379567,0.0563031,0.0927225,0.165410", \ "0.0227331,0.0279547,0.0329874,0.0424159,0.0607837,0.0972450,0.169970", \ "0.0270467,0.0326885,0.0378418,0.0473695,0.0658062,0.102246,0.174985", \ "0.0297047,0.0363861,0.0418915,0.0515009,0.0698995,0.106495,0.179207", \ "0.0303020,0.0383670,0.0447451,0.0548865,0.0734088,0.109934,0.182826", \ "0.0285675,0.0381753,0.0457148,0.0570452,0.0760590,0.112841,0.185733"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); values ("0.00394753,0.00605674,0.00814212,0.0120074,0.0194410,0.0345144,0.0658417", \ "0.00395212,0.00606148,0.00814547,0.0120089,0.0194407,0.0345149,0.0658417", \ "0.00400276,0.00611180,0.00818508,0.0120313,0.0194501,0.0345162,0.0658430", \ "0.00472873,0.00678702,0.00880037,0.0125030,0.0197087,0.0346129,0.0658598", \ "0.00567324,0.00778517,0.00980815,0.0135114,0.0206494,0.0352606,0.0660127", \ "0.00678975,0.00898510,0.0110563,0.0147679,0.0217766,0.0360561,0.0665411", \ "0.00811446,0.0104147,0.0125772,0.0163778,0.0233805,0.0373817,0.0672004"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); values ("0.00443535,0.00796004,0.0119884,0.0203164,0.0374394,0.0719641,0.141091", \ "0.00443740,0.00796521,0.0119896,0.0203185,0.0374355,0.0719688,0.141088", \ "0.00474387,0.00812394,0.0120753,0.0203429,0.0374373,0.0719671,0.141087", \ "0.00582586,0.00881601,0.0125636,0.0206991,0.0375696,0.0719701,0.141090", \ "0.00749971,0.0102646,0.0134694,0.0210327,0.0378209,0.0721884,0.141084", \ "0.00949004,0.0125371,0.0154073,0.0220787,0.0381987,0.0724034,0.141310", \ "0.0117323,0.0152810,0.0182661,0.0241525,0.0392055,0.0729054,0.141576"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.093345,0.098360,0.115433,0.143673,0.184151,0.238070,0.306341"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.040025,0.045148,0.061363,0.086637,0.122846,0.171340,0.233289"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); values ("0.021443,0.025864,0.029652,0.035930,0.046634,0.065909,0.102895", \ "0.022051,0.026471,0.030260,0.036537,0.047239,0.066514,0.103500", \ "0.027811,0.032247,0.036040,0.042322,0.053029,0.072305,0.109290", \ "0.036930,0.042034,0.046178,0.052837,0.063815,0.083201,0.120191", \ "0.047263,0.053198,0.057842,0.064986,0.076429,0.096369,0.133637", \ "0.059473,0.066335,0.071609,0.079509,0.091604,0.111853,0.149498", \ "0.073754,0.081707,0.087718,0.096599,0.109753,0.130783,0.168723"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); values ("0.034800,0.039956,0.044926,0.054244,0.072463,0.108792,0.181429", \ "0.036301,0.041456,0.046426,0.055745,0.073965,0.110291,0.182929", \ "0.042834,0.047990,0.052960,0.062279,0.080497,0.116825,0.189466", \ "0.053551,0.058759,0.063748,0.073078,0.091299,0.127626,0.200260", \ "0.065289,0.070598,0.075626,0.084982,0.103210,0.139536,0.212170", \ "0.078287,0.083754,0.088840,0.098232,0.116477,0.152796,0.225428", \ "0.092832,0.098536,0.103703,0.113143,0.131410,0.167732,0.240356"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); values ("0.004328,0.006419,0.008459,0.012247,0.019589,0.034576,0.065852", \ "0.004328,0.006419,0.008458,0.012246,0.019588,0.034575,0.065853", \ "0.004386,0.006450,0.008480,0.012261,0.019596,0.034579,0.065853", \ "0.005616,0.007480,0.009380,0.012986,0.020014,0.034735,0.065880", \ "0.006952,0.008836,0.010631,0.014015,0.020943,0.035592,0.066129", \ "0.008457,0.010432,0.012271,0.015527,0.022006,0.036161,0.066907", \ "0.010284,0.012344,0.014275,0.017594,0.023796,0.037292,0.067340"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); values ("0.004715,0.008176,0.012136,0.020383,0.037446,0.071963,0.141084", \ "0.004716,0.008177,0.012137,0.020382,0.037454,0.071962,0.141083", \ "0.004720,0.008179,0.012138,0.020383,0.037448,0.071961,0.141077", \ "0.004810,0.008241,0.012182,0.020405,0.037453,0.071965,0.141084", \ "0.005006,0.008368,0.012269,0.020452,0.037469,0.071972,0.141078", \ "0.005305,0.008561,0.012399,0.020526,0.037498,0.071972,0.141079", \ "0.005723,0.008843,0.012584,0.020622,0.037548,0.071980,0.141081"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); values ("7.558368,8.182751,8.550890,8.877219,9.104252,9.194079,9.222335", \ "7.431593,8.021440,8.389703,8.715607,8.943141,9.038779,9.068029", \ "7.365659,7.924082,8.294332,8.641947,8.889400,8.992797,9.031117", \ "7.401152,8.155488,8.546254,8.934192,9.203007,9.331468,9.385164", \ "7.746456,8.437943,8.949950,9.542135,10.110830,10.279800,10.343200", \ "8.981327,9.682227,10.203960,10.816330,11.412380,11.938020,12.100220", \ "10.951020,11.648600,12.179230,12.907370,13.630650,14.198130,14.721210"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); values ("3.606356,3.956379,4.087591,4.186957,4.287414,4.320386,4.264609", \ "3.501577,3.828053,3.943573,4.052360,4.071079,4.202931,4.071828", \ "3.430955,3.724229,3.851064,3.930368,3.897095,4.128506,4.004881", \ "3.980067,4.164160,4.237931,4.257244,4.419213,4.159442,4.418339", \ "5.005912,5.400844,5.479173,5.442692,5.504492,5.478097,5.427902", \ "6.570248,7.181846,7.381597,7.436675,7.412060,7.249285,7.479384", \ "8.527281,9.340509,9.756686,10.142290,10.292170,10.298430,9.930113"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("2.002017,4.874121,8.111841,14.587301,27.538101,53.439901,105.243401"); values ("5.575013,6.101614,6.430445,6.731647,6.936046,7.003341,7.020671", \ "5.404692,5.953604,6.295556,6.598804,6.795484,6.864403,6.883566", \ "5.502316,6.047284,6.358083,6.702093,6.898545,6.973089,6.992026", \ "5.659439,6.385468,6.780192,7.168504,7.392166,7.469744,7.492278", \ "6.203061,6.862147,7.291794,7.828893,8.274476,8.404318,8.426125", \ "7.379343,7.997481,8.447165,8.978311,9.438656,9.796969,9.907390", \ "9.005671,9.629849,10.098780,10.684450,11.288850,11.665550,12.015910"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.999975714,4.872079714,8.109799714,14.58525971,27.53605971,53.43785971,105.2413597"); values ("6.425056,6.676460,6.732533,6.778746,6.744085,6.832360,6.825083", \ "6.376787,6.641693,6.679715,6.703703,6.707947,6.769432,6.789184", \ "6.386531,6.610304,6.691303,6.719182,6.595634,6.507030,6.685575", \ "6.479114,6.790755,6.842502,6.896000,6.919536,6.961088,6.659871", \ "6.600030,6.979120,7.135987,7.266689,7.135581,7.310996,7.227131", \ "6.992404,7.354902,7.527017,7.729708,7.804597,7.918576,7.888943", \ "7.531785,8.056403,8.270964,8.479156,8.581533,8.760346,8.863135"); } } } } /****************************************************************************************** Module : TBUF_X4 Cell Description : Combinational tri-state cell (TBUF_X4) with drive strength X4 *******************************************************************************************/ cell (TBUF_X4) { drive_strength : 4; area : 2.926000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 72.121528; leakage_power () { when : "!A & !EN"; value : 113.358300; } leakage_power () { when : "!A & EN"; value : 32.983489; } leakage_power () { when : "A & !EN"; value : 95.306420; } leakage_power () { when : "A & EN"; value : 46.837901; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.380143; fall_capacitance : 2.970036; rise_capacitance : 3.380143; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.436324; fall_capacitance : 2.282688; rise_capacitance : 2.436324; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 3.222263; fall_capacitance : 3.222263; rise_capacitance : 3.216010; max_capacitance : 206.909000; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); values ("0.0261475,0.0316144,0.0358790,0.0427726,0.0541924,0.0741590,0.111533", \ "0.0268164,0.0322299,0.0364828,0.0433702,0.0547876,0.0747530,0.112127", \ "0.0324910,0.0378137,0.0420452,0.0489329,0.0603616,0.0803369,0.117718", \ "0.0430970,0.0487075,0.0531436,0.0602224,0.0717933,0.0918466,0.129248", \ "0.0549434,0.0611656,0.0660522,0.0737122,0.0858742,0.106489,0.144052", \ "0.0684646,0.0754115,0.0808709,0.0892689,0.102137,0.123181,0.161283", \ "0.0840101,0.0918511,0.0979645,0.107292,0.121220,0.143070,0.181472"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); values ("0.0193812,0.0256254,0.0309997,0.0407124,0.0591815,0.0956152,0.168293", \ "0.0206149,0.0268366,0.0322035,0.0419204,0.0603994,0.0968431,0.169525", \ "0.0253861,0.0315722,0.0369191,0.0466324,0.0651376,0.101629,0.174345", \ "0.0306546,0.0375025,0.0430250,0.0528765,0.0714069,0.107875,0.180604", \ "0.0341043,0.0422538,0.0482508,0.0582537,0.0768161,0.113386,0.186086", \ "0.0354495,0.0452076,0.0522026,0.0629115,0.0816009,0.118067,0.190882", \ "0.0344020,0.0459716,0.0542001,0.0662940,0.0856071,0.122196,0.194965"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); values ("0.00501032,0.00741238,0.00958409,0.0135432,0.0210105,0.0358817,0.0667545", \ "0.00500915,0.00741803,0.00958909,0.0135456,0.0210114,0.0358806,0.0667551", \ "0.00502106,0.00745246,0.00962134,0.0135671,0.0210197,0.0358834,0.0667544", \ "0.00609583,0.00836256,0.0103678,0.0140634,0.0212995,0.0360078,0.0667842", \ "0.00765593,0.00994410,0.0119202,0.0154950,0.0225179,0.0368341,0.0670017", \ "0.00933091,0.0117296,0.0137753,0.0172503,0.0238421,0.0377737,0.0678445", \ "0.0112460,0.0137800,0.0159439,0.0194938,0.0258294,0.0390697,0.0684827"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); values ("0.00498491,0.00880908,0.0127993,0.0209776,0.0379098,0.0723525,0.141462", \ "0.00498469,0.00881512,0.0128026,0.0209780,0.0379038,0.0723590,0.141458", \ "0.00521470,0.00893717,0.0128807,0.0210088,0.0379169,0.0723690,0.141456", \ "0.00668676,0.00988549,0.0135416,0.0214106,0.0380154,0.0723710,0.141457", \ "0.00869742,0.0117436,0.0148004,0.0219946,0.0383832,0.0725494,0.141466", \ "0.0111388,0.0144205,0.0172144,0.0233947,0.0388400,0.0728018,0.141654", \ "0.0139624,0.0175953,0.0205419,0.0260249,0.0400441,0.0732331,0.141920"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.097792,0.102811,0.119930,0.148225,0.188743,0.242835,0.311474"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.042888,0.047899,0.065366,0.093160,0.132127,0.183689,0.249135"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); values ("0.029362,0.034865,0.039124,0.046030,0.057480,0.077466,0.114830", \ "0.030735,0.036238,0.040497,0.047404,0.058853,0.078839,0.116205", \ "0.036053,0.041556,0.045815,0.052722,0.064171,0.084158,0.121525", \ "0.044036,0.049925,0.054372,0.061432,0.072979,0.093015,0.130387", \ "0.053372,0.059938,0.064790,0.072394,0.084541,0.105067,0.142583", \ "0.065238,0.072609,0.077943,0.086156,0.098989,0.120137,0.158129", \ "0.079520,0.087840,0.093746,0.102705,0.116427,0.138470,0.177045"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); values ("0.037744,0.043896,0.049183,0.058786,0.077141,0.113496,0.186126", \ "0.039242,0.045393,0.050680,0.060282,0.078638,0.114993,0.187622", \ "0.045759,0.051913,0.057199,0.066803,0.085160,0.121513,0.194141", \ "0.056629,0.062826,0.068127,0.077739,0.096096,0.132450,0.205077", \ "0.068591,0.074886,0.080227,0.089867,0.108236,0.144584,0.217209", \ "0.081843,0.088294,0.093690,0.103370,0.121754,0.158097,0.230719", \ "0.096689,0.103373,0.108849,0.118584,0.136991,0.173336,0.245948"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); values ("0.005382,0.007780,0.009926,0.013824,0.021202,0.035976,0.066777", \ "0.005384,0.007781,0.009926,0.013824,0.021202,0.035977,0.066780", \ "0.005391,0.007784,0.009929,0.013826,0.021202,0.035977,0.066778", \ "0.006119,0.008385,0.010416,0.014150,0.021385,0.036058,0.066798", \ "0.007287,0.009530,0.011589,0.015337,0.022443,0.036727,0.066973", \ "0.008720,0.010921,0.012979,0.016715,0.023712,0.037751,0.067608", \ "0.010395,0.012588,0.014673,0.018440,0.025400,0.039160,0.068409"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); values ("0.005318,0.009070,0.012993,0.021076,0.037928,0.072356,0.141459", \ "0.005321,0.009071,0.012993,0.021075,0.037928,0.072363,0.141454", \ "0.005320,0.009071,0.012994,0.021076,0.037936,0.072358,0.141457", \ "0.005406,0.009126,0.013030,0.021095,0.037932,0.072356,0.141459", \ "0.005597,0.009256,0.013126,0.021152,0.037962,0.072357,0.141457", \ "0.005887,0.009448,0.013268,0.021236,0.037984,0.072362,0.141455", \ "0.006309,0.009735,0.013470,0.021351,0.038039,0.072381,0.141458"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); values ("8.521728,9.487663,10.032580,10.653930,11.114240,11.359370,11.448930", \ "8.396277,9.322188,9.867737,10.477800,10.957370,11.197380,11.287300", \ "8.491601,9.320542,9.845763,10.458540,10.945820,11.213990,11.320320", \ "9.052682,9.852767,10.368200,10.949580,11.444660,11.730160,11.852750", \ "9.880965,10.533580,11.100250,11.846600,12.514300,12.849130,12.987910", \ "11.549190,11.988340,12.478110,13.208420,13.980400,14.640010,14.834110", \ "13.829400,14.221020,14.661560,15.424700,16.276840,16.968980,17.514320"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); values ("5.536915,6.314922,6.536495,6.801457,6.922632,6.800661,6.940304", \ "5.453481,6.202764,6.500899,6.698778,6.697945,6.669952,6.730938", \ "5.382353,6.084703,6.316452,6.562493,6.599279,6.875771,6.944829", \ "5.764823,6.382025,6.520006,6.689104,7.008271,6.871731,6.885903", \ "6.673998,7.527402,7.692202,7.718252,7.993402,7.782469,7.230100", \ "8.065906,9.193975,9.594740,9.817057,9.915855,9.545820,9.709557", \ "10.011330,11.228010,11.903350,12.502040,12.507990,12.555630,12.891950"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.587878857,9.688172857,16.15406286,29.08586286,54.94956286,106.6772629,210.1312629"); values ("8.173154,8.827527,9.221700,9.675034,10.053110,10.244400,10.297550", \ "8.084857,8.732806,9.120208,9.577401,9.942007,10.124730,10.181220", \ "8.038122,8.679078,9.092375,9.547187,9.916340,10.103590,10.162380", \ "8.123076,8.857577,9.254102,9.738767,10.130460,10.323060,10.378570", \ "8.126382,8.963312,9.526922,10.170070,10.723330,10.945350,11.003490", \ "8.929667,9.732208,10.290210,10.941030,11.602500,12.107530,12.193280", \ "10.277390,11.080800,11.626820,12.359420,13.094030,13.638300,14.018370"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("3.581626143,9.681920143,16.14781014,29.07961014,54.94331014,106.6710101,210.1250101"); values ("9.270253,9.841218,10.001700,9.910239,9.784243,10.015590,9.582928", \ "9.149990,9.805608,9.964391,9.914248,9.739825,9.960079,9.834431", \ "9.232616,9.800377,9.959288,9.956919,9.903895,9.830293,9.995536", \ "9.361634,9.969801,10.104310,10.090980,9.909154,10.170980,9.767667", \ "9.403636,10.108400,10.445890,10.551080,10.373960,10.507080,10.468140", \ "9.722714,10.460930,10.715090,10.855720,10.884600,11.137450,10.929490", \ "10.257210,11.085300,11.318100,11.532850,11.859140,11.787530,12.069800"); } } } } /****************************************************************************************** Module : TBUF_X8 Cell Description : Combinational tri-state cell (TBUF_X8) with drive strength X8 *******************************************************************************************/ cell (TBUF_X8) { drive_strength : 8; area : 4.788000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 144.426508; leakage_power () { when : "!A & !EN"; value : 226.837600; } leakage_power () { when : "!A & EN"; value : 66.210540; } leakage_power () { when : "A & !EN"; value : 190.738460; } leakage_power () { when : "A & EN"; value : 93.919430; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.719670; fall_capacitance : 5.905147; rise_capacitance : 6.719670; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.985662; fall_capacitance : 4.695010; rise_capacitance : 4.985662; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.744279; fall_capacitance : 6.744279; rise_capacitance : 6.731128; max_capacitance : 412.598000; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); values ("0.0261860,0.0317545,0.0359873,0.0428527,0.0542477,0.0741832,0.111499", \ "0.0268781,0.0323854,0.0366055,0.0434650,0.0548563,0.0747907,0.112106", \ "0.0325679,0.0379782,0.0421754,0.0490334,0.0604357,0.0803782,0.117700", \ "0.0432175,0.0488808,0.0532797,0.0603192,0.0718532,0.0918763,0.129222", \ "0.0551032,0.0613566,0.0661924,0.0737955,0.0859128,0.106491,0.143994", \ "0.0686608,0.0756407,0.0810243,0.0893560,0.102161,0.123152,0.161200", \ "0.0842499,0.0921106,0.0981322,0.107390,0.121234,0.143031,0.181371"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); values ("0.0198422,0.0263585,0.0317746,0.0415373,0.0600391,0.0964865,0.169173", \ "0.0210808,0.0275751,0.0329847,0.0427532,0.0612659,0.0977234,0.170414", \ "0.0258637,0.0323229,0.0377151,0.0474825,0.0660238,0.102524,0.175254", \ "0.0312278,0.0383527,0.0439232,0.0538384,0.0724072,0.108893,0.181638", \ "0.0347778,0.0432261,0.0492510,0.0593260,0.0779536,0.114544,0.187246", \ "0.0361988,0.0463028,0.0533035,0.0640625,0.0828332,0.119337,0.192160", \ "0.0352135,0.0471832,0.0554019,0.0675426,0.0869195,0.123561,0.196336"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); values ("0.00502766,0.00749108,0.00965356,0.0136018,0.0210543,0.0359001,0.0667207", \ "0.00502373,0.00749529,0.00965780,0.0136042,0.0210550,0.0359008,0.0667197", \ "0.00503413,0.00752690,0.00968847,0.0136251,0.0210657,0.0359049,0.0667202", \ "0.00610044,0.00842308,0.0104274,0.0141201,0.0213439,0.0360283,0.0667500", \ "0.00766588,0.00998108,0.0119593,0.0155373,0.0225564,0.0368556,0.0669680", \ "0.00934917,0.0117609,0.0137988,0.0172765,0.0238685,0.0377889,0.0678131", \ "0.0112582,0.0138109,0.0159636,0.0195117,0.0258460,0.0390808,0.0684496"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); values ("0.00507354,0.00904026,0.0130354,0.0211993,0.0380923,0.0725160,0.141608", \ "0.00507882,0.00904587,0.0130412,0.0212022,0.0380917,0.0725258,0.141609", \ "0.00528716,0.00916311,0.0131153,0.0212339,0.0381001,0.0725220,0.141600", \ "0.00674683,0.0100865,0.0137711,0.0216306,0.0382044,0.0725307,0.141604", \ "0.00875381,0.0119097,0.0150055,0.0222270,0.0385846,0.0727151,0.141613", \ "0.0111938,0.0145807,0.0173876,0.0236151,0.0390396,0.0729641,0.141811", \ "0.0140330,0.0177627,0.0207049,0.0262146,0.0402472,0.0733851,0.142067"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.101710,0.106717,0.123883,0.152348,0.192990,0.247214,0.316005"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.044403,0.049412,0.066851,0.094625,0.133546,0.185052,0.250459"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); values ("0.029357,0.034991,0.039221,0.046101,0.057525,0.077479,0.114788", \ "0.030738,0.036373,0.040603,0.047483,0.058907,0.078862,0.116172", \ "0.036056,0.041689,0.045920,0.052800,0.064224,0.084179,0.121490", \ "0.044022,0.050050,0.054466,0.061499,0.073022,0.093024,0.130340", \ "0.053339,0.060056,0.064874,0.072444,0.084561,0.105054,0.142512", \ "0.065197,0.072742,0.078031,0.086205,0.099001,0.120111,0.158047", \ "0.079459,0.087971,0.093827,0.102740,0.116420,0.138422,0.176945"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); values ("0.038645,0.045062,0.050392,0.060049,0.078443,0.114808,0.187444", \ "0.040139,0.046556,0.051885,0.061544,0.079936,0.116302,0.188938", \ "0.046639,0.053057,0.058388,0.068046,0.086438,0.122804,0.195443", \ "0.057569,0.064030,0.069375,0.079041,0.097436,0.133799,0.206435", \ "0.069600,0.076162,0.081543,0.091237,0.109643,0.146007,0.218639", \ "0.082901,0.089614,0.095050,0.104784,0.123207,0.159566,0.232197", \ "0.097766,0.104715,0.110227,0.120017,0.138462,0.174824,0.247445"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); values ("0.005383,0.007843,0.009981,0.013873,0.021242,0.035995,0.066744", \ "0.005384,0.007843,0.009982,0.013873,0.021241,0.035995,0.066744", \ "0.005393,0.007846,0.009984,0.013875,0.021242,0.035995,0.066745", \ "0.006124,0.008445,0.010472,0.014199,0.021425,0.036077,0.066763", \ "0.007292,0.009588,0.011641,0.015383,0.022481,0.036748,0.066941", \ "0.008715,0.010976,0.013028,0.016756,0.023743,0.037766,0.067574", \ "0.010393,0.012646,0.014723,0.018480,0.025429,0.039175,0.068376"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); values ("0.005416,0.009307,0.013237,0.021309,0.038126,0.072517,0.141605", \ "0.005417,0.009307,0.013236,0.021309,0.038128,0.072517,0.141602", \ "0.005420,0.009309,0.013238,0.021308,0.038120,0.072524,0.141606", \ "0.005500,0.009358,0.013274,0.021327,0.038125,0.072521,0.141602", \ "0.005686,0.009486,0.013368,0.021384,0.038147,0.072531,0.141608", \ "0.005964,0.009672,0.013504,0.021462,0.038182,0.072526,0.141608", \ "0.006378,0.009948,0.013704,0.021583,0.038232,0.072541,0.141604"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); values ("17.475070,19.385580,20.505210,21.746680,22.689070,23.183710,23.369580", \ "17.252310,19.163200,20.196250,21.450900,22.386830,22.854860,23.046900", \ "17.381540,19.137020,20.231890,21.410490,22.368290,22.897240,23.128120", \ "18.646570,20.266790,21.231060,22.403520,23.373300,23.934890,24.204930", \ "20.377450,21.607530,22.693550,24.181160,25.515720,26.160290,26.450640", \ "23.621860,24.492650,25.478630,26.879620,28.439300,29.731340,30.130580", \ "28.226660,28.903300,29.853190,31.262120,32.986190,34.368720,35.463720"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); values ("11.112410,12.739400,13.215740,13.588860,13.971030,13.094630,13.539900", \ "10.985820,12.490860,13.106020,13.614960,13.525900,12.472930,13.124390", \ "10.860990,12.277210,12.750890,12.974670,12.994450,13.826990,13.131730", \ "11.593310,12.771640,13.217700,13.391440,13.991130,13.734710,14.646880", \ "13.278640,15.130790,15.591130,15.457410,15.895270,16.148490,16.273890", \ "16.308180,18.475750,19.273790,19.715860,19.385650,18.542520,19.949360", \ "20.122380,22.573670,23.878800,24.815520,25.172910,25.549560,25.475720"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.109895429,19.63797943,32.53167943,58.31897943,109.8932794,213.0432794,419.3422794"); values ("16.614050,18.001030,18.804120,19.722150,20.444880,20.830690,20.957050", \ "16.419830,17.779980,18.585660,19.478170,20.208470,20.612050,20.728550", \ "16.353910,17.734190,18.490180,19.415570,20.164530,20.558530,20.680540", \ "16.348390,18.043160,18.885170,19.823700,20.590700,20.996680,21.112690", \ "16.505570,18.267240,19.332850,20.664060,21.769940,22.230420,22.377010", \ "18.125260,19.805000,20.871250,22.230630,23.552830,24.579460,24.760280", \ "20.757680,22.429730,23.603660,24.980880,26.547480,27.654070,28.424470"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("7.096744214,19.62482821,32.51852821,58.30582821,109.8801282,213.0301282,419.3291282"); values ("19.028850,20.206200,20.425090,20.391660,20.191800,20.695750,20.686550", \ "18.747160,19.973460,20.259970,20.406680,20.312130,20.706040,20.341440", \ "18.979960,20.077850,20.302180,20.517790,20.611810,20.663500,19.856640", \ "19.192590,20.381880,20.548080,20.559700,20.541740,20.943940,20.758830", \ "19.422110,20.818520,21.287980,21.681750,21.213510,21.539760,20.749190", \ "19.892790,21.450990,21.960940,22.380550,22.808980,22.711580,21.794270", \ "21.036730,22.692540,23.235640,23.742310,23.685950,24.725280,24.031120"); } } } } /****************************************************************************************** Module : TBUF_X16 Cell Description : Combinational tri-state cell (TBUF_X16) with drive strength X16 *******************************************************************************************/ cell (TBUF_X16) { drive_strength : 16; area : 6.916000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 197.273423; leakage_power () { when : "!A & !EN"; value : 353.390400; } leakage_power () { when : "!A & EN"; value : 74.188620; } leakage_power () { when : "A & !EN"; value : 259.616940; } leakage_power () { when : "A & EN"; value : 101.897730; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 6.520519; fall_capacitance : 5.723019; rise_capacitance : 6.520519; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.928340; fall_capacitance : 4.661883; rise_capacitance : 4.928340; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 13.066705; fall_capacitance : 13.066705; rise_capacitance : 13.031985; max_capacitance : 820.312000; function : "A"; three_state : "EN"; timing () { related_pin : "A"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); values ("0.0358585,0.0423049,0.0473183,0.0553932,0.0683712,0.0900297,0.128602", \ "0.0367644,0.0430951,0.0480723,0.0561268,0.0690948,0.0907488,0.129318", \ "0.0422978,0.0484926,0.0534170,0.0614409,0.0743990,0.0960519,0.134624", \ "0.0544295,0.0604408,0.0653014,0.0732993,0.0862689,0.107947,0.146533", \ "0.0693774,0.0757581,0.0809195,0.0893493,0.102801,0.124780,0.163473", \ "0.0855663,0.0924433,0.0980464,0.107118,0.121330,0.144070,0.183356", \ "0.103512,0.111019,0.117145,0.127023,0.142266,0.165924,0.205755"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); values ("0.0263636,0.0344521,0.0407306,0.0515021,0.0708314,0.107688,0.180577", \ "0.0276514,0.0357274,0.0420012,0.0527716,0.0721056,0.108961,0.181860", \ "0.0326748,0.0406819,0.0469218,0.0576710,0.0770119,0.113895,0.186820", \ "0.0402547,0.0487818,0.0551789,0.0660094,0.0853644,0.122247,0.195175", \ "0.0461133,0.0560179,0.0629259,0.0741087,0.0936558,0.130571,0.203437", \ "0.0497898,0.0614065,0.0693097,0.0812911,0.101108,0.138020,0.210900", \ "0.0511040,0.0646000,0.0737294,0.0871626,0.107787,0.144778,0.217564"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); values ("0.00761070,0.0103094,0.0126961,0.0169241,0.0245977,0.0392861,0.0691556", \ "0.00762142,0.0102950,0.0126879,0.0169220,0.0245984,0.0392875,0.0691563", \ "0.00763792,0.0102870,0.0126884,0.0169284,0.0246022,0.0392883,0.0691563", \ "0.00807749,0.0105575,0.0129134,0.0171057,0.0247212,0.0393524,0.0691794", \ "0.0104116,0.0125732,0.0147378,0.0186901,0.0258798,0.0399694,0.0694054", \ "0.0128191,0.0148944,0.0170091,0.0208668,0.0278118,0.0415599,0.0702976", \ "0.0153154,0.0173893,0.0195436,0.0234495,0.0302059,0.0433076,0.0715033"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); values ("0.00694822,0.0113050,0.0153797,0.0234103,0.0398273,0.0738006,0.142806", \ "0.00694547,0.0113066,0.0153823,0.0234138,0.0398321,0.0737935,0.142812", \ "0.00697750,0.0113487,0.0154211,0.0234380,0.0398423,0.0737966,0.142811", \ "0.00841087,0.0122492,0.0160511,0.0237814,0.0399643,0.0738262,0.142811", \ "0.0108019,0.0144042,0.0176928,0.0248306,0.0405427,0.0739771,0.142820", \ "0.0136011,0.0174503,0.0204861,0.0266710,0.0413394,0.0743846,0.142957", \ "0.0167854,0.0210383,0.0242123,0.0298127,0.0429537,0.0748650,0.143225"); } } timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.111050,0.116036,0.133102,0.161381,0.201787,0.255925,0.324892"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.047794,0.052817,0.070866,0.100858,0.142092,0.195997,0.263817"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); values ("0.037990,0.045211,0.050355,0.058500,0.071531,0.093231,0.131819", \ "0.039459,0.046683,0.051828,0.059974,0.073004,0.094705,0.133291", \ "0.044710,0.051931,0.057076,0.065221,0.078252,0.099952,0.138541", \ "0.053580,0.060954,0.066141,0.074322,0.087382,0.109099,0.147694", \ "0.064423,0.072561,0.078166,0.086835,0.100374,0.122402,0.161111", \ "0.077699,0.086675,0.092747,0.102013,0.116254,0.139042,0.178303", \ "0.093479,0.103440,0.110059,0.120024,0.135102,0.158768,0.198728"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); values ("0.044795,0.052843,0.059046,0.069709,0.088917,0.125662,0.198479", \ "0.046290,0.054338,0.060541,0.071204,0.090411,0.127158,0.199972", \ "0.052796,0.060846,0.067050,0.077713,0.096921,0.133665,0.206481", \ "0.063619,0.071692,0.077904,0.088571,0.107782,0.144528,0.217343", \ "0.075602,0.083740,0.089980,0.100671,0.119896,0.156640,0.229452", \ "0.088981,0.097225,0.103506,0.114232,0.133475,0.170219,0.243031", \ "0.104081,0.112496,0.118842,0.129625,0.148900,0.185649,0.258449"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); values ("0.007973,0.010733,0.013079,0.017251,0.024849,0.039442,0.069219", \ "0.007975,0.010733,0.013079,0.017251,0.024849,0.039442,0.069220", \ "0.007974,0.010735,0.013080,0.017252,0.024849,0.039443,0.069218", \ "0.008477,0.010995,0.013261,0.017370,0.024921,0.039482,0.069233", \ "0.009967,0.012425,0.014615,0.018576,0.025844,0.039988,0.069417", \ "0.011697,0.014060,0.016216,0.020145,0.027363,0.041327,0.070150", \ "0.013742,0.016000,0.018123,0.022019,0.029171,0.042907,0.071286"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); values ("0.007287,0.011569,0.015602,0.023566,0.039896,0.073809,0.142811", \ "0.007287,0.011570,0.015603,0.023564,0.039898,0.073814,0.142812", \ "0.007288,0.011571,0.015603,0.023564,0.039899,0.073806,0.142805", \ "0.007343,0.011604,0.015626,0.023579,0.039906,0.073816,0.142812", \ "0.007484,0.011704,0.015703,0.023631,0.039932,0.073817,0.142807", \ "0.007706,0.011853,0.015820,0.023707,0.039960,0.073826,0.142811", \ "0.008051,0.012088,0.016004,0.023829,0.040026,0.073840,0.142805"); } } internal_power () { related_pin : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); values ("28.102680,29.501250,31.004160,33.062610,35.038730,36.462370,37.152970", \ "28.473590,29.572440,30.973350,32.820410,34.893330,36.269500,36.957560", \ "29.106580,29.863510,31.060450,32.974200,34.875960,36.252550,36.940950", \ "31.389210,31.507890,32.399820,33.962510,35.764250,37.136620,37.814830", \ "35.580580,34.633620,35.084260,36.218490,37.848170,39.133460,39.829750", \ "40.118180,38.373620,38.200850,39.086320,40.831570,42.462640,43.129460", \ "45.950300,43.463970,42.712860,43.233390,44.903630,46.715850,47.940170"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); values ("19.387210,22.395600,23.784570,24.650870,25.288610,24.393760,23.176950", \ "19.003360,22.253350,23.773500,25.241590,24.795660,25.136380,27.023720", \ "19.182000,22.147500,23.218530,24.824420,24.621980,24.023680,26.345770", \ "19.762530,22.482990,23.870220,25.021690,25.498360,24.969580,23.777010", \ "21.374740,24.414920,25.449460,26.000440,26.716120,26.338710,25.510860", \ "24.449760,27.362600,28.724710,29.977100,30.085760,30.981880,30.727030", \ "28.452940,31.343150,33.001550,34.575040,35.654740,34.968110,33.349050"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.432321,38.701505,64.336205,115.605705,218.144705,423.222705,833.378705"); values ("23.089670,24.832720,25.974810,27.436650,28.959970,30.091950,30.634170", \ "22.919650,24.630820,25.753450,27.255970,28.788150,29.891690,30.415720", \ "22.813660,24.547090,25.700790,27.118800,28.720110,29.849520,30.373040", \ "23.201540,24.938300,26.060710,27.515650,29.102830,30.244320,30.751130", \ "23.510780,25.626900,26.888220,28.559620,30.211070,31.416300,31.927290", \ "25.137670,27.000550,28.263380,30.013930,32.006910,33.632720,34.163260", \ "27.602920,29.707720,30.908550,32.673250,34.743130,36.603930,37.617470"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("13.397601,38.666785,64.301485,115.570985,218.109985,423.187985,833.343985"); values ("26.757070,29.089160,29.988360,30.528800,30.434760,31.094170,30.516220", \ "26.663910,29.098560,29.922080,30.942370,30.407470,29.555030,30.490190", \ "26.697990,29.041390,30.207490,30.822180,31.316880,31.280590,29.509860", \ "26.850320,29.446780,30.546340,30.835780,31.489820,30.141150,31.073290", \ "27.310090,30.232050,31.097050,31.198640,31.767160,32.201310,30.431300", \ "28.039230,30.551010,31.784120,32.471210,33.179010,32.781650,33.714240", \ "29.091990,31.902120,33.121160,34.158790,34.634860,35.352080,33.588390"); } } } } /****************************************************************************************** Module : TINV_X1 Cell Description : Combinational tri-state cell (TINV_X1) with drive strength X1 *******************************************************************************************/ cell (TINV_X1) { drive_strength : 1; area : 1.064000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 17.885841; leakage_power () { when : "!EN & !I"; value : 8.536385; } leakage_power () { when : "!EN & I"; value : 31.422270; } leakage_power () { when : "EN & !I"; value : 9.727102; } leakage_power () { when : "EN & I"; value : 21.857605; } pin (EN) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.752043; fall_capacitance : 1.638563; rise_capacitance : 1.752043; } pin (I) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.444645; fall_capacitance : 1.375430; rise_capacitance : 1.444645; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.799043; fall_capacitance : 0.798467; rise_capacitance : 0.799043; max_capacitance : 22.621200; function : "!I"; three_state : "EN"; timing () { related_pin : "EN"; timing_type : three_state_disable; timing_sense : positive_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.113864,0.126578,0.148915,0.180522,0.231964,0.304805,0.393006"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.061777,0.066784,0.081064,0.104262,0.143129,0.195784,0.262006"); } } timing () { related_pin : "EN"; timing_type : three_state_enable; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); values ("0.013937,0.015175,0.017416,0.021430,0.028775,0.042773,0.070260", \ "0.015458,0.016700,0.018948,0.022963,0.030313,0.044312,0.071801", \ "0.021544,0.022912,0.025236,0.029286,0.036640,0.050637,0.078118", \ "0.028946,0.030531,0.033211,0.037651,0.045276,0.059382,0.086823", \ "0.036865,0.038749,0.041893,0.046901,0.055031,0.069380,0.096962", \ "0.045719,0.047964,0.051666,0.057431,0.066448,0.081335,0.109104", \ "0.055600,0.058262,0.062602,0.069360,0.079538,0.095505,0.123838"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); values ("0.009471,0.011820,0.016620,0.025362,0.042302,0.075844,0.142335", \ "0.010811,0.013129,0.017925,0.026681,0.043614,0.077159,0.143650", \ "0.015074,0.017943,0.023037,0.031841,0.048693,0.082194,0.148667", \ "0.016655,0.020962,0.028821,0.041185,0.058834,0.092128,0.158486", \ "0.013395,0.019554,0.029930,0.047708,0.072102,0.108026,0.174017", \ "0.003551,0.012118,0.025810,0.048228,0.081529,0.127495,0.196251", \ "-0.014469,-0.003021,0.014979,0.042336,0.085313,0.142886,0.225094"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); values ("0.006445,0.006984,0.008190,0.010812,0.016391,0.028037,0.051684", \ "0.006445,0.006979,0.008185,0.010810,0.016391,0.028039,0.051684", \ "0.006662,0.007219,0.008417,0.010943,0.016441,0.028048,0.051683", \ "0.007318,0.007822,0.008904,0.011249,0.016603,0.028087,0.051691", \ "0.008696,0.009214,0.010211,0.012300,0.017062,0.028030,0.051545", \ "0.010533,0.011067,0.012076,0.014112,0.018448,0.028657,0.051484", \ "0.012761,0.013316,0.014406,0.016512,0.020680,0.030198,0.052157"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); values ("0.008398,0.010857,0.015232,0.023173,0.039385,0.070293,0.131288", \ "0.008300,0.010800,0.015219,0.023153,0.039391,0.070293,0.131291", \ "0.011042,0.012707,0.016081,0.022997,0.039286,0.070281,0.131288", \ "0.016227,0.018955,0.023083,0.028558,0.040463,0.070258,0.131291", \ "0.023618,0.026522,0.032200,0.039701,0.050028,0.073077,0.131258", \ "0.034301,0.036668,0.042736,0.052793,0.065301,0.086673,0.133530", \ "0.048074,0.050479,0.055759,0.067739,0.083831,0.106872,0.147382"); } } timing () { related_pin : "I"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); values ("0.00936473,0.0104374,0.0124650,0.0162801,0.0235247,0.0375381,0.0650729", \ "0.0105182,0.0115975,0.0136355,0.0174615,0.0247157,0.0387368,0.0662763", \ "0.0133198,0.0145651,0.0168562,0.0209767,0.0283836,0.0424855,0.0700704", \ "0.0158748,0.0175828,0.0206317,0.0258672,0.0346857,0.0498606,0.0776683", \ "0.0164167,0.0187130,0.0228112,0.0297640,0.0410403,0.0591021,0.0893113", \ "0.0146295,0.0175323,0.0227227,0.0315308,0.0457359,0.0679536,0.102711", \ "0.0104472,0.0139171,0.0201986,0.0308586,0.0481310,0.0749788,0.115922"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); values ("0.0206682,0.0229054,0.0272899,0.0358914,0.0528228,0.0863329,0.152767", \ "0.0221595,0.0244219,0.0288513,0.0375131,0.0545134,0.0880834,0.154549", \ "0.0275711,0.0298084,0.0342077,0.0428550,0.0598862,0.0935229,0.160068", \ "0.0350043,0.0377241,0.0428069,0.0521063,0.0690835,0.102649,0.169200", \ "0.0436849,0.0469181,0.0529461,0.0638227,0.0831378,0.117277,0.183622", \ "0.0549535,0.0587072,0.0656092,0.0779867,0.0997539,0.137674,0.204641", \ "0.0687328,0.0730106,0.0808881,0.0948366,0.119069,0.160837,0.232918"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); values ("0.00480093,0.00558389,0.00711834,0.0101273,0.0160888,0.0279815,0.0516874", \ "0.00477900,0.00557278,0.00711154,0.0101266,0.0160888,0.0279800,0.0516895", \ "0.00559828,0.00634552,0.00779103,0.0105500,0.0162003,0.0279888,0.0516841", \ "0.00829929,0.00906289,0.0104848,0.0131672,0.0183781,0.0289296,0.0517071", \ "0.0124409,0.0133779,0.0150487,0.0179856,0.0232135,0.0332091,0.0537351", \ "0.0175956,0.0187495,0.0207987,0.0243019,0.0301594,0.0403518,0.0599535", \ "0.0235636,0.0250091,0.0275086,0.0317354,0.0385869,0.0497471,0.0693802"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); values ("0.0105909,0.0125472,0.0164412,0.0241915,0.0396202,0.0703266,0.131292", \ "0.0105842,0.0125421,0.0164397,0.0241945,0.0396319,0.0703354,0.131303", \ "0.0106424,0.0125566,0.0164298,0.0241916,0.0396241,0.0703597,0.131310", \ "0.0136838,0.0154855,0.0189299,0.0254790,0.0397338,0.0703119,0.131304", \ "0.0177147,0.0196824,0.0234467,0.0305160,0.0436937,0.0710865,0.131294", \ "0.0224109,0.0245841,0.0287061,0.0363725,0.0505666,0.0767146,0.132029", \ "0.0277604,0.0301830,0.0347009,0.0429977,0.0581774,0.0859404,0.137865"); } } internal_power () { related_pin : "EN"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); values ("2.502230,2.552777,2.605891,2.663690,2.705449,2.740034,2.765098", \ "2.464131,2.511077,2.561561,2.622726,2.665679,2.697530,2.724721", \ "2.510823,2.558842,2.628342,2.682655,2.723845,2.762390,2.786818", \ "2.433478,2.522678,2.665684,2.864428,2.941217,2.972100,2.999581", \ "2.578107,2.665096,2.829049,2.999161,3.177881,3.367259,3.404184", \ "2.964127,3.054176,3.206694,3.417013,3.630562,3.820265,4.026464", \ "3.542163,3.633845,3.801131,4.047482,4.329317,4.559633,4.772975"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); values ("3.040527,3.044693,3.076501,3.040836,3.066903,3.032872,3.056986", \ "2.966969,3.015114,3.017218,3.022068,3.046088,2.999467,3.023444", \ "3.029516,3.082220,3.066766,3.081222,3.089843,3.122678,3.095529", \ "3.035454,3.202516,3.302464,3.322812,3.281114,3.266992,3.292631", \ "2.923868,3.271659,3.461140,3.671220,3.690107,3.691709,3.722121", \ "2.825816,3.319729,3.828596,4.042296,4.340050,4.314748,4.347897", \ "2.892236,3.340110,4.226171,4.721484,5.019087,5.235445,5.211512"); } } internal_power () { related_pin : "I"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164083257,1.525588257,2.244537257,3.674347257,6.517887257,12.17296726,23.41966726"); values ("0.450105,0.467082,0.501936,0.545251,0.582691,0.615489,0.638385", \ "0.387426,0.414864,0.460267,0.497122,0.538761,0.574564,0.601564", \ "0.348666,0.367035,0.402952,0.450597,0.503708,0.544900,0.575507", \ "0.501837,0.498709,0.506563,0.507932,0.504401,0.541446,0.571031", \ "0.786183,0.775444,0.764478,0.735013,0.688555,0.621176,0.621436", \ "1.218689,1.206855,1.189983,1.142221,1.045182,0.909128,0.770649", \ "1.811794,1.794681,1.785711,1.712749,1.602237,1.380666,1.118057"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.164659229,1.526164229,2.245113229,3.674923229,6.518463229,12.17354323,23.42024323"); values ("2.515527,2.516567,2.520632,2.527783,2.518325,2.561750,2.559596", \ "2.466404,2.470098,2.478511,2.483154,2.477986,2.537862,2.507907", \ "2.429846,2.432073,2.447212,2.460977,2.407885,2.449880,2.455862", \ "2.465966,2.463455,2.456599,2.447116,2.424917,2.476365,2.473925", \ "2.510515,2.513760,2.556305,2.634996,2.580483,2.569578,2.498857", \ "2.922897,2.914756,2.909790,2.895139,2.963062,2.822831,2.653494", \ "3.609613,3.599879,3.571922,3.519936,3.432480,3.269409,3.083865"); } } } } /****************************************************************************************** Module : TLAT_X1 Cell Description : High enable Latch with drive strength X1 *******************************************************************************************/ cell (TLAT_X1) { drive_strength : 1; latch ("IQ" , "IQN") { data_in : "D"; enable : "G"; } area : 3.458000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 47.996110; leakage_power () { when : "!D & !G & !OE"; value : 34.300200; } leakage_power () { when : "!D & !G & OE & !Q"; value : 56.214290; } leakage_power () { when : "!D & !G & OE & Q"; value : 37.545046; } leakage_power () { when : "!D & G & !OE"; value : 38.631373; } leakage_power () { when : "!D & G & OE & !Q"; value : 56.586123; } leakage_power () { when : "D & !G & !OE"; value : 44.819027; } leakage_power () { when : "D & !G & OE & !Q"; value : 70.151235; } leakage_power () { when : "D & !G & OE & Q"; value : 44.647845; } leakage_power () { when : "D & G & !OE"; value : 44.936166; } leakage_power () { when : "D & G & OE & Q"; value : 52.129792; } pin (D) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.139798; fall_capacitance : 1.070337; rise_capacitance : 1.139798; timing () { related_pin : "G"; timing_type : hold_falling; fall_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.009534,0.028917,0.062354", \ "0.024151,0.045131,0.081132", \ "0.168468,0.190361,0.229306"); } rise_constraint(Hold_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.009722,0.026680,0.054338", \ "0.013812,0.028305,0.052921", \ "0.100632,0.113127,0.127774"); } } timing () { related_pin : "G"; timing_type : setup_falling; fall_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.040502,0.033014,0.037898", \ "0.057579,0.047885,0.043548", \ "0.098426,0.085932,0.071291"); } rise_constraint(Setup_3_3) { index_1 ("0.00117378,0.0449324,0.198535"); index_2 ("0.00117378,0.0449324,0.198535"); values ("0.009672,-0.009647,-0.042486", \ "0.021136,0.000157,-0.035839", \ "0.030588,0.008697,-0.030244"); } } internal_power () { when : "!G & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.734556,0.710619,0.698326,0.693147,0.688412,0.687914,0.685009"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.505059,-0.505678,-0.507564,-0.510852,-0.515256,-0.520958,-0.528197"); } } internal_power () { when : "!G & OE & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.735855,0.711069,0.698713,0.693530,0.688743,0.688288,0.685396"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.484716,-0.485202,-0.487153,-0.490341,-0.494592,-0.500072,-0.507021"); } } internal_power () { when : "!G & OE & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.650278,0.657597,0.659136,0.658632,0.658378,0.657830,0.657838"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("-0.354652,-0.354816,-0.355573,-0.357482,-0.360087,-0.363814,-0.368741"); } } internal_power () { when : "G & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("3.794980,3.773617,3.745351,3.760764,3.906370,4.255840,4.822601"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.465438,2.429942,2.396774,2.413638,2.562158,2.898905,3.451594"); } } } pin (G) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; clock : true; capacitance : 1.016017; fall_capacitance : 0.922430; rise_capacitance : 1.016017; timing () { related_pin : "G"; timing_type : min_pulse_width; rise_constraint(Pulse_width_3) { index_1 ("0.00117378,0.0449324,0.198535"); values ("0.030477,0.044977,0.198733"); } } internal_power () { when : "!D & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.796266,2.766683,2.792583,2.998426,3.395888,4.022822,4.924527"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.288462,2.265543,2.268742,2.420439,2.796394,3.447390,4.394205"); } } internal_power () { when : "!D & OE & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.798313,2.767329,2.793238,2.999021,3.396498,4.023402,4.925050"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.279866,2.256840,2.259890,2.411504,2.787665,3.438544,4.385204"); } } internal_power () { when : "D & !OE"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.945972,2.913256,2.939571,3.145491,3.543602,4.174655,5.087241"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("4.672101,4.649679,4.656041,4.813300,5.202465,5.871747,6.841602"); } } internal_power () { when : "D & OE & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.865836,2.832822,2.860000,3.065413,3.463006,4.094045,5.006575"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("2.069611,2.046142,2.048363,2.200318,2.582492,3.241613,4.193333"); } } } pin (OE) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 1.497228; fall_capacitance : 1.422286; rise_capacitance : 1.497228; internal_power () { when : "!D & !G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.686104,1.620918,1.672699,1.891710,2.296242,2.936917,3.835367"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.256139,0.225279,0.234954,0.407846,0.813340,1.467861,2.379600"); } } internal_power () { when : "!D & !G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.683762,1.648786,1.699289,1.892067,2.259234,2.850245,3.704335"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.704561,0.673969,0.679030,0.835697,1.216976,1.847663,2.739892"); } } internal_power () { when : "!D & G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.685182,1.618121,1.670662,1.889242,2.294425,2.936188,3.834557"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.942633,0.914079,0.925746,1.105597,1.521799,2.188644,3.116272"); } } internal_power () { when : "D & !G & !Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.687547,1.620584,1.672756,1.891310,2.295813,2.935742,3.834702"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.908156,0.878923,0.888951,1.070352,1.485518,2.152582,3.080025"); } } internal_power () { when : "D & !G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.683795,1.648831,1.699323,1.892102,2.259117,2.850272,3.704274"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.704739,0.674146,0.679202,0.835869,1.217313,1.847836,2.740268"); } } internal_power () { when : "D & G & Q"; fall_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.685957,1.649163,1.699621,1.892614,2.259885,2.851031,3.706136"); } rise_power(Hidden_power_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("1.784046,1.752969,1.757550,1.915344,2.294394,2.919591,3.801337"); } } } pin (Q) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 0.791880; fall_capacitance : 0.791880; rise_capacitance : 0.790253; max_capacitance : 22.583000; function : "IQ"; three_state : "!OE"; timing () { related_pin : "D"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.0499475,0.0513791,0.0539713,0.0585474,0.0666480,0.0814187,0.109370", \ "0.0515464,0.0529795,0.0555693,0.0601465,0.0682440,0.0830162,0.110970", \ "0.0568124,0.0582427,0.0608311,0.0654082,0.0735067,0.0882822,0.116236", \ "0.0658691,0.0672990,0.0698911,0.0744738,0.0825831,0.0973651,0.125323", \ "0.0779566,0.0794689,0.0821899,0.0869341,0.0952061,0.110107,0.138126", \ "0.0924950,0.0940989,0.0969815,0.101954,0.110472,0.125598,0.153805", \ "0.109743,0.111456,0.114519,0.119763,0.128577,0.143979,0.172393"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("0.0414302,0.0437563,0.0482719,0.0570312,0.0741153,0.107692,0.174160", \ "0.0426293,0.0449557,0.0494713,0.0582304,0.0753147,0.108896,0.175361", \ "0.0461714,0.0484956,0.0530058,0.0617599,0.0788434,0.112428,0.178896", \ "0.0515205,0.0538506,0.0583716,0.0671329,0.0842153,0.117815,0.184299", \ "0.0564380,0.0587880,0.0633284,0.0721088,0.0892024,0.122814,0.189330", \ "0.0597416,0.0621450,0.0667426,0.0755755,0.0926897,0.126311,0.192811", \ "0.0609305,0.0634296,0.0681477,0.0770937,0.0942869,0.127935,0.194467"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.00698105,0.00768985,0.00908606,0.0118437,0.0174257,0.0287821,0.0518672", \ "0.00698121,0.00768982,0.00908353,0.0118437,0.0174255,0.0287803,0.0518702", \ "0.00698133,0.00769247,0.00908675,0.0118425,0.0174295,0.0287877,0.0518678", \ "0.00703412,0.00774189,0.00913312,0.0118780,0.0174468,0.0287974,0.0518769", \ "0.00765387,0.00834586,0.00969701,0.0123495,0.0177757,0.0289693,0.0519584", \ "0.00838512,0.00908788,0.0104212,0.0130189,0.0183051,0.0293595,0.0521502", \ "0.00924193,0.00995418,0.0112909,0.0138322,0.0189692,0.0298109,0.0524297"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("0.0107549,0.0127173,0.0166174,0.0243680,0.0397874,0.0704751,0.131465", \ "0.0107526,0.0127187,0.0166193,0.0243649,0.0397911,0.0704725,0.131460", \ "0.0107534,0.0127264,0.0166199,0.0243674,0.0397943,0.0704817,0.131435", \ "0.0108430,0.0128149,0.0166935,0.0244138,0.0398047,0.0704656,0.131441", \ "0.0110452,0.0129804,0.0168228,0.0245030,0.0398456,0.0705118,0.131458", \ "0.0114361,0.0133416,0.0171038,0.0246731,0.0399353,0.0705187,0.131448", \ "0.0121058,0.0139634,0.0176298,0.0250204,0.0401002,0.0706206,0.131470"); } } timing () { related_pin : "G"; timing_type : rising_edge; timing_sense : non_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.0498654,0.0512958,0.0538848,0.0584538,0.0665480,0.0813120,0.109249", \ "0.0513245,0.0527556,0.0553406,0.0599119,0.0680056,0.0827684,0.110707", \ "0.0558760,0.0573057,0.0598992,0.0644659,0.0725639,0.0873243,0.115262", \ "0.0603722,0.0617993,0.0643904,0.0689625,0.0770581,0.0918227,0.119761", \ "0.0636338,0.0650573,0.0676487,0.0722248,0.0803307,0.0950984,0.123033", \ "0.0655106,0.0669416,0.0695487,0.0741393,0.0822603,0.0970412,0.124990", \ "0.0655785,0.0670309,0.0696565,0.0742747,0.0824140,0.0972182,0.125189"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("0.0579124,0.0602328,0.0647316,0.0734795,0.0905459,0.124126,0.190572", \ "0.0593885,0.0617094,0.0662118,0.0749556,0.0920266,0.125602,0.192053", \ "0.0643113,0.0666316,0.0711349,0.0798802,0.0969500,0.130525,0.196974", \ "0.0691609,0.0714821,0.0759865,0.0847313,0.101806,0.135373,0.201822", \ "0.0725614,0.0748821,0.0793860,0.0881319,0.105200,0.138779,0.205234", \ "0.0740368,0.0763583,0.0808616,0.0896081,0.106681,0.140258,0.206664", \ "0.0731430,0.0754646,0.0799698,0.0887153,0.105789,0.139361,0.205814"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.00696041,0.00768709,0.00907276,0.0118388,0.0174262,0.0287816,0.0518723", \ "0.00696784,0.00767752,0.00907588,0.0118367,0.0174242,0.0287801,0.0518657", \ "0.00696900,0.00767472,0.00907130,0.0118372,0.0174253,0.0287829,0.0518718", \ "0.00697935,0.00768874,0.00908214,0.0118442,0.0174283,0.0287851,0.0518706", \ "0.00699950,0.00770750,0.00909881,0.0118593,0.0174377,0.0287797,0.0518666", \ "0.00706405,0.00777102,0.00916167,0.0119039,0.0174667,0.0288052,0.0518912", \ "0.00723628,0.00793252,0.00929323,0.0120078,0.0175347,0.0288408,0.0518929"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("0.0107478,0.0127203,0.0166201,0.0243673,0.0397870,0.0704672,0.131433", \ "0.0107499,0.0127173,0.0166172,0.0243674,0.0397869,0.0704684,0.131428", \ "0.0107531,0.0127202,0.0166186,0.0243705,0.0397914,0.0704698,0.131421", \ "0.0107478,0.0127197,0.0166182,0.0243690,0.0397926,0.0704569,0.131433", \ "0.0107516,0.0127187,0.0166225,0.0243668,0.0397880,0.0704554,0.131425", \ "0.0107570,0.0127210,0.0166166,0.0243709,0.0397892,0.0704229,0.131382", \ "0.0107554,0.0127253,0.0166222,0.0243712,0.0397905,0.0704687,0.131350"); } } timing () { related_pin : "OE"; timing_type : three_state_disable; timing_sense : negative_unate; fall_transition(scalar) {values ("0.0"); } rise_transition(scalar) {values ("0.0"); } cell_fall(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.151544,0.156533,0.175425,0.208781,0.255971,0.318989,0.402534"); } cell_rise(Tristate_disable_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); values ("0.037984,0.045358,0.061079,0.093730,0.143216,0.215937,0.312380"); } } timing () { related_pin : "OE"; timing_type : three_state_enable; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.003783,0.004565,0.006117,0.009510,0.016711,0.030669,0.058198", \ "0.005156,0.006026,0.007554,0.010920,0.018112,0.032062,0.059584", \ "0.006098,0.007801,0.010651,0.015504,0.023281,0.037100,0.064566", \ "0.003076,0.005922,0.010584,0.018198,0.030182,0.046938,0.074274", \ "-0.005880,-0.001522,0.005424,0.016287,0.033304,0.056404,0.089592", \ "-0.022434,-0.016204,-0.006425,0.008281,0.030904,0.061480,0.104212", \ "-0.048325,-0.039662,-0.026390,-0.007088,0.021471,0.060888,0.114192"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("0.017294,0.019492,0.023821,0.032363,0.049240,0.082668,0.149015", \ "0.018732,0.020931,0.025262,0.033804,0.050685,0.084113,0.150456", \ "0.022424,0.024653,0.028989,0.037528,0.054406,0.087843,0.154176", \ "0.024911,0.027171,0.031550,0.040184,0.057114,0.090545,0.156898", \ "0.025712,0.028249,0.032767,0.041342,0.058306,0.091873,0.158144", \ "0.023867,0.026978,0.032174,0.041010,0.057968,0.091553,0.157945", \ "0.018918,0.022667,0.028859,0.038716,0.055908,0.089612,0.156174"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.002365,0.003086,0.004746,0.008513,0.015217,0.027636,0.051412", \ "0.002873,0.003369,0.004782,0.008497,0.015210,0.027632,0.051411", \ "0.005826,0.006566,0.008063,0.011033,0.015898,0.027606,0.051410", \ "0.010382,0.011398,0.013513,0.017578,0.022622,0.030864,0.051406", \ "0.016754,0.018010,0.020610,0.025828,0.032364,0.041806,0.057103", \ "0.025365,0.026748,0.029588,0.035578,0.044200,0.055251,0.072202", \ "0.036638,0.038009,0.040953,0.047376,0.058028,0.070551,0.090911"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("0.010315,0.012297,0.016278,0.024145,0.039675,0.070399,0.131341", \ "0.010319,0.012293,0.016276,0.024150,0.039671,0.070401,0.131335", \ "0.010020,0.012072,0.016258,0.024143,0.039672,0.070402,0.131332", \ "0.009517,0.011251,0.015054,0.023089,0.039036,0.070380,0.131318", \ "0.011063,0.012336,0.015411,0.022732,0.038251,0.069406,0.131270", \ "0.013467,0.014640,0.017130,0.023370,0.038300,0.068919,0.130241", \ "0.016404,0.017586,0.019985,0.025252,0.038898,0.069158,0.129862"); } } internal_power () { related_pin : "D"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("4.193039,4.291780,4.411500,4.571007,4.756421,4.896558,4.959058", \ "4.162954,4.262933,4.382222,4.544083,4.731809,4.867210,4.928830", \ "4.139292,4.231396,4.355501,4.518099,4.707458,4.838117,4.896802", \ "4.156816,4.240837,4.346665,4.527555,4.708262,4.848138,4.912407", \ "4.206163,4.288508,4.412743,4.634843,4.843641,4.988022,5.052559", \ "4.385273,4.447994,4.602066,4.795704,5.051668,5.316551,5.395972", \ "4.835883,4.916165,5.035666,5.239657,5.502848,5.752217,5.944506"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("4.196183,4.252978,4.319555,4.358585,4.403089,4.443506,4.447085", \ "4.173326,4.220691,4.282591,4.327228,4.377723,4.409260,4.393659", \ "4.132497,4.179939,4.236827,4.287567,4.306541,4.374951,4.381495", \ "4.130956,4.180688,4.239790,4.292629,4.334612,4.334271,4.387585", \ "4.300249,4.336512,4.400998,4.415929,4.480334,4.438372,4.489085", \ "4.647226,4.701826,4.760469,4.805469,4.791927,4.842255,4.857489", \ "5.130021,5.222483,5.318983,5.369615,5.393539,5.406516,5.350616"); } } internal_power () { related_pin : "G"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("5.089678,5.206222,5.313860,5.494955,5.680336,5.812118,5.866721", \ "5.097771,5.172402,5.292361,5.468349,5.653973,5.786141,5.846834", \ "5.078741,5.170326,5.296088,5.458176,5.648368,5.777013,5.840390", \ "5.242531,5.289370,5.438473,5.602911,5.792467,5.926244,5.981042", \ "5.626887,5.714373,5.836541,5.999001,6.170829,6.309179,6.370425", \ "6.297872,6.384321,6.518628,6.694453,6.876368,6.994991,7.039621", \ "7.130460,7.227428,7.388773,7.595577,7.833274,7.991585,8.039841"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("6.411067,6.464267,6.528788,6.572931,6.613593,6.664829,6.675879", \ "6.403458,6.450335,6.505993,6.549447,6.590492,6.642482,6.631549", \ "6.402112,6.432967,6.500439,6.571608,6.612943,6.649474,6.651424", \ "6.561351,6.613089,6.671087,6.721211,6.762164,6.787042,6.818282", \ "6.955256,7.001429,7.034874,7.106198,7.148407,7.194679,7.161391", \ "7.628932,7.691933,7.744243,7.776843,7.810980,7.820421,7.847808", \ "8.540007,8.615717,8.695104,8.758874,8.801179,8.786378,8.857440"); } } internal_power () { related_pin : "OE"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.157495643,1.518796643,2.237129643,3.665329643,6.504859643,12.15037964,23.37487964"); values ("0.507219,0.624098,0.706409,0.755352,0.791556,0.825004,0.856031", \ "0.479841,0.583419,0.672727,0.726016,0.766289,0.799250,0.829823", \ "0.474268,0.615822,0.696690,0.738030,0.776544,0.805736,0.836229", \ "0.594171,0.753593,0.928716,0.955773,0.949743,0.968505,0.994733", \ "0.786716,0.964022,1.268222,1.390496,1.396393,1.366968,1.380161", \ "1.007454,1.181779,1.583875,1.946036,2.057635,2.055635,2.024299", \ "1.233895,1.453885,1.838502,2.515821,2.871841,2.979828,2.955222"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("1.155868579,1.517169579,2.235502579,3.663702579,6.503232579,12.14875258,23.37325258"); values ("1.474630,1.489291,1.477394,1.504349,1.538483,1.553526,1.519777", \ "1.447375,1.445860,1.452727,1.466035,1.511233,1.526081,1.480673", \ "1.441183,1.442337,1.483954,1.474262,1.481634,1.526947,1.536840", \ "1.558736,1.588029,1.614478,1.641912,1.656172,1.669949,1.710495", \ "1.934400,1.964342,1.998935,2.020241,2.034431,2.065906,2.104328", \ "2.416225,2.458190,2.543498,2.626787,2.657097,2.632191,2.689606", \ "2.888472,3.028013,3.207363,3.345309,3.530946,3.531185,3.584581"); } } } } /****************************************************************************************** Module : XNOR2_X1 Cell Description : Combinational cell (XNOR2_X1) with drive strength X1 *******************************************************************************************/ cell (XNOR2_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 36.441009; leakage_power () { when : "!A & !B"; value : 36.612620; } leakage_power () { when : "!A & B"; value : 47.180650; } leakage_power () { when : "A & !B"; value : 39.303220; } leakage_power () { when : "A & B"; value : 22.667546; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.232754; fall_capacitance : 2.125854; rise_capacitance : 2.232754; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.573608; fall_capacitance : 2.368165; rise_capacitance : 2.573608; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 26.016200; function : "!(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.007684,0.008636,0.010354,0.013766,0.020556,0.034110,0.061164", \ "0.009044,0.010013,0.011758,0.015210,0.022051,0.035646,0.062725", \ "0.012719,0.014085,0.016348,0.020292,0.027118,0.040690,0.067775", \ "0.014590,0.016579,0.019892,0.025700,0.035354,0.050646,0.077483", \ "0.014053,0.016692,0.021077,0.028730,0.041495,0.061861,0.093160", \ "0.010812,0.014113,0.019559,0.029098,0.044991,0.070375,0.109623", \ "0.004632,0.008539,0.015066,0.026474,0.045542,0.075985,0.123119"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.017545,0.019943,0.024217,0.032620,0.049214,0.082165,0.147886", \ "0.018136,0.020547,0.024876,0.033402,0.050173,0.083309,0.149167", \ "0.023583,0.025804,0.029896,0.038157,0.054747,0.087871,0.153843", \ "0.032615,0.035642,0.040717,0.049754,0.065768,0.098242,0.163773", \ "0.042845,0.046560,0.052824,0.064142,0.083613,0.116217,0.180687", \ "0.054929,0.059276,0.066556,0.079834,0.103027,0.141607,0.206024", \ "0.069059,0.074010,0.082307,0.097379,0.123851,0.168571,0.240728"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.004582,0.005394,0.006879,0.009840,0.015746,0.027499,0.050931", \ "0.004580,0.005403,0.006897,0.009863,0.015764,0.027511,0.050935", \ "0.007099,0.007742,0.008845,0.010878,0.015864,0.027518,0.050945", \ "0.011830,0.012665,0.014091,0.016661,0.021128,0.029442,0.050931", \ "0.018174,0.019226,0.020990,0.024170,0.029662,0.038874,0.055253", \ "0.026157,0.027444,0.029620,0.033449,0.039974,0.050900,0.068754", \ "0.035795,0.037373,0.039985,0.044546,0.052222,0.064834,0.085413"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.012424,0.014516,0.018294,0.025839,0.040922,0.071068,0.131279", \ "0.012413,0.014504,0.018288,0.025840,0.040936,0.071064,0.131289", \ "0.013049,0.014789,0.018228,0.025828,0.040915,0.071063,0.131288", \ "0.018270,0.020031,0.022972,0.028319,0.041216,0.071031,0.131275", \ "0.024019,0.026114,0.029730,0.036341,0.047633,0.072243,0.131258", \ "0.031013,0.033318,0.037383,0.045045,0.058588,0.081238,0.132210", \ "0.039617,0.042070,0.046446,0.054845,0.070150,0.096223,0.141450"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.030682,0.031712,0.033529,0.037039,0.043872,0.057343,0.084247", \ "0.032185,0.033219,0.035043,0.038564,0.045406,0.058880,0.085779", \ "0.038388,0.039442,0.041301,0.044877,0.051783,0.065296,0.092196", \ "0.049160,0.050266,0.052216,0.055943,0.062999,0.076637,0.103576", \ "0.060998,0.062235,0.064353,0.068269,0.075522,0.089287,0.116282", \ "0.073337,0.074726,0.077067,0.081293,0.088881,0.102941,0.130081", \ "0.086476,0.088032,0.090643,0.095284,0.103334,0.117787,0.145182"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.031035,0.032232,0.034336,0.038382,0.046190,0.061587,0.092486", \ "0.032257,0.033456,0.035565,0.039620,0.047450,0.062861,0.093764", \ "0.036850,0.038052,0.040173,0.044274,0.052189,0.067712,0.098687", \ "0.043258,0.044497,0.046672,0.050824,0.058900,0.074540,0.105596", \ "0.049359,0.050648,0.052896,0.057122,0.065115,0.080635,0.111654", \ "0.054282,0.055707,0.058144,0.062594,0.070750,0.086313,0.117139", \ "0.057577,0.059168,0.061879,0.066777,0.075322,0.091107,0.122049"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.007392,0.008161,0.009569,0.012387,0.018053,0.029528,0.052827", \ "0.007386,0.008158,0.009567,0.012386,0.018050,0.029524,0.052825", \ "0.007419,0.008182,0.009582,0.012391,0.018048,0.029525,0.052823", \ "0.007699,0.008446,0.009807,0.012574,0.018182,0.029575,0.052833", \ "0.008282,0.008932,0.010150,0.012689,0.018051,0.029379,0.052742", \ "0.009463,0.010082,0.011202,0.013534,0.018555,0.029421,0.052318", \ "0.011044,0.011657,0.012746,0.014938,0.019628,0.029993,0.052416"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.009707,0.010694,0.012481,0.016050,0.023264,0.037947,0.067643", \ "0.009709,0.010697,0.012484,0.016050,0.023261,0.037953,0.067649", \ "0.009821,0.010801,0.012562,0.016102,0.023280,0.037954,0.067648", \ "0.009926,0.010874,0.012658,0.016236,0.023424,0.038028,0.067662", \ "0.010989,0.011847,0.013406,0.016596,0.023309,0.037653,0.067499", \ "0.012817,0.013644,0.015104,0.018025,0.024282,0.038048,0.067182", \ "0.015010,0.015911,0.017424,0.020251,0.026026,0.039081,0.067745"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.009735,0.010760,0.012583,0.016143,0.023110,0.036836,0.064055", \ "0.010988,0.012016,0.013847,0.017418,0.024397,0.038133,0.065355", \ "0.015610,0.016832,0.018882,0.022523,0.029434,0.043127,0.070325", \ "0.019337,0.021097,0.024081,0.029409,0.038456,0.053133,0.080085", \ "0.020897,0.023184,0.027073,0.034045,0.045972,0.065434,0.095894", \ "0.020168,0.022967,0.027748,0.036319,0.051034,0.075197,0.113285", \ "0.017044,0.020342,0.025985,0.036120,0.053572,0.082315,0.127884"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.021737,0.024081,0.028296,0.036630,0.053164,0.086070,0.151778", \ "0.023046,0.025432,0.029717,0.038173,0.054861,0.087912,0.153721", \ "0.028139,0.030467,0.034687,0.043099,0.059828,0.093039,0.159042", \ "0.035575,0.038380,0.043206,0.052184,0.068777,0.101870,0.167889", \ "0.044139,0.047488,0.053211,0.063745,0.082676,0.116354,0.182092", \ "0.054903,0.058834,0.065431,0.077476,0.098908,0.136459,0.202953", \ "0.067824,0.072359,0.079945,0.093605,0.117581,0.159100,0.230919"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.006437,0.007245,0.008712,0.011653,0.017539,0.029306,0.052793", \ "0.006424,0.007242,0.008720,0.011663,0.017546,0.029309,0.052791", \ "0.008277,0.008887,0.009913,0.012198,0.017559,0.029304,0.052789", \ "0.013067,0.013889,0.015262,0.017757,0.022143,0.030787,0.052778", \ "0.019155,0.020229,0.022010,0.025208,0.030718,0.039866,0.056565", \ "0.026600,0.027948,0.030155,0.034099,0.040820,0.051875,0.069733", \ "0.035438,0.037079,0.039786,0.044536,0.052502,0.065514,0.086354"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.012432,0.014522,0.018297,0.025848,0.040935,0.071062,0.131283", \ "0.012435,0.014523,0.018295,0.025844,0.040926,0.071058,0.131281", \ "0.012539,0.014555,0.018303,0.025840,0.040932,0.071059,0.131287", \ "0.015999,0.017788,0.020912,0.027181,0.041051,0.071037,0.131273", \ "0.020732,0.022592,0.025949,0.032557,0.045145,0.071915,0.131273", \ "0.026653,0.028587,0.032070,0.038963,0.052360,0.077704,0.132101", \ "0.033753,0.035773,0.039395,0.046575,0.060519,0.087221,0.138130"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.031161,0.032314,0.034301,0.038047,0.045150,0.058872,0.085945", \ "0.032710,0.033864,0.035855,0.039607,0.046716,0.060440,0.087514", \ "0.039076,0.040225,0.042213,0.045970,0.053096,0.066841,0.093924", \ "0.050714,0.051905,0.053953,0.057772,0.064956,0.078750,0.105869", \ "0.063692,0.065009,0.067234,0.071258,0.078613,0.092501,0.119667", \ "0.077355,0.078818,0.081258,0.085588,0.093214,0.107345,0.134545", \ "0.092103,0.093711,0.096385,0.101090,0.109138,0.123535,0.150892"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.032277,0.033484,0.035604,0.039686,0.047553,0.063013,0.093945", \ "0.033669,0.034881,0.037013,0.041117,0.049026,0.064535,0.095523", \ "0.037237,0.038449,0.040588,0.044716,0.052687,0.068296,0.099382", \ "0.042323,0.043574,0.045765,0.049963,0.058058,0.073785,0.104962", \ "0.047666,0.048956,0.051217,0.055489,0.063583,0.079261,0.110415", \ "0.051951,0.053331,0.055715,0.060143,0.068421,0.084210,0.115300", \ "0.054553,0.056060,0.058642,0.063378,0.071959,0.088010,0.119306"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.005973,0.006693,0.008022,0.010748,0.016335,0.027746,0.050971", \ "0.005973,0.006694,0.008021,0.010748,0.016336,0.027746,0.050967", \ "0.005991,0.006704,0.008033,0.010753,0.016338,0.027746,0.050968", \ "0.006441,0.007112,0.008362,0.010978,0.016448,0.027785,0.050978", \ "0.007606,0.008209,0.009326,0.011711,0.016894,0.027978,0.051038", \ "0.009008,0.009572,0.010617,0.012820,0.017680,0.028394,0.051089", \ "0.010555,0.011121,0.012132,0.014199,0.018743,0.029029,0.051374"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.009708,0.010684,0.012463,0.016021,0.023221,0.037902,0.067584", \ "0.009697,0.010685,0.012464,0.016023,0.023233,0.037913,0.067607", \ "0.009778,0.010754,0.012525,0.016069,0.023257,0.037935,0.067648", \ "0.009753,0.010725,0.012508,0.016100,0.023312,0.037998,0.067660", \ "0.010413,0.011334,0.012998,0.016343,0.023226,0.037652,0.067466", \ "0.011621,0.012518,0.014113,0.017323,0.023968,0.038021,0.067255", \ "0.013228,0.014146,0.015732,0.018827,0.025191,0.038905,0.067808"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("-0.000536,-0.000602,-0.000722,0.012438,0.033616,0.063714,0.083738", \ "-0.000742,-0.000809,-0.000930,0.010129,0.046846,0.092852,0.117504", \ "-0.001477,-0.001544,-0.001663,-0.001895,0.033826,0.084490,0.120619", \ "0.286918,0.255031,0.205045,0.133296,0.077400,0.113942,0.140161", \ "0.820211,0.765994,0.711715,0.586666,0.432337,0.265152,0.238840", \ "1.516851,1.494366,1.420671,1.283708,1.055682,0.751658,0.490860", \ "2.411304,2.385441,2.330410,2.213045,1.944656,1.520030,1.033383"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("3.024155,3.043902,3.051328,3.083520,3.039879,3.111864,3.014398", \ "2.925017,2.932120,2.962018,3.003593,2.996885,3.090605,3.033466", \ "2.944220,2.937835,2.950153,2.964104,2.935547,3.024859,2.995993", \ "3.210389,3.194524,3.184971,3.132376,3.071940,3.079308,3.011100", \ "3.482039,3.521056,3.570339,3.599809,3.432069,3.253973,3.195059", \ "4.160049,4.142554,4.138679,4.139087,4.150094,3.883131,3.602793", \ "5.216543,5.189055,5.120524,5.032312,4.880282,4.710471,4.285567"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("4.787007,4.767305,4.792523,4.784884,4.726376,4.649254,4.571099", \ "4.726138,4.734584,4.764616,4.749949,4.699369,4.612901,4.537413", \ "4.696316,4.699672,4.726256,4.738108,4.709133,4.641346,4.559747", \ "4.709504,4.742675,4.789693,4.820900,4.841098,4.803847,4.733236", \ "4.686937,4.727060,4.823037,4.961373,5.108785,5.131296,5.081000", \ "4.944993,4.987773,5.079398,5.202427,5.379572,5.540424,5.615840", \ "5.491740,5.526610,5.606345,5.744034,5.942745,6.104084,6.268170"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("3.761015,3.734159,3.749473,3.770411,3.665975,3.622276,3.411267", \ "3.732562,3.723894,3.741012,3.737365,3.716065,3.623489,3.396480", \ "3.619335,3.617168,3.618331,3.644980,3.622151,3.599563,3.327332", \ "3.593840,3.607410,3.625966,3.628222,3.639801,3.578111,3.580666", \ "4.011848,4.028088,4.015603,3.976186,3.848433,3.809850,3.671099", \ "4.627545,4.654433,4.673213,4.639777,4.551373,4.430929,4.282764", \ "5.412667,5.448621,5.455397,5.475971,5.463541,5.305604,5.128216"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("0.315893,0.324365,0.343217,0.367572,0.393195,0.431557,0.458715", \ "0.247764,0.252380,0.281845,0.309118,0.346742,0.385254,0.412153", \ "0.240125,0.239858,0.262257,0.288307,0.317342,0.358595,0.388170", \ "0.564513,0.535037,0.492835,0.419739,0.395072,0.395081,0.415407", \ "1.091463,1.062302,0.990832,0.897755,0.745192,0.582881,0.535066", \ "1.838938,1.798761,1.732434,1.609777,1.392489,1.092199,0.821543", \ "2.800538,2.771029,2.702399,2.573543,2.317826,1.900635,1.403525"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("3.785043,3.809658,3.805574,3.832751,3.832479,3.758717,3.807841", \ "3.693479,3.698490,3.726205,3.731373,3.795374,3.681355,3.739264", \ "3.612057,3.612427,3.633454,3.651960,3.672571,3.740449,3.701044", \ "3.635780,3.631891,3.642365,3.639732,3.665579,3.643172,3.684722", \ "3.640999,3.683110,3.745986,3.840364,3.753552,3.775662,3.665691", \ "4.062588,4.076034,4.071416,4.087804,4.188415,4.027458,3.809982", \ "4.894128,4.851431,4.808810,4.716709,4.681413,4.620501,4.302926"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("4.836741,4.914878,4.977514,5.064349,5.127882,5.160218,5.172776", \ "4.805971,4.861871,4.940489,5.037582,5.103416,5.132653,5.146146", \ "4.794738,4.850871,4.930547,5.031412,5.105124,5.142208,5.159197", \ "4.901685,4.953698,5.032262,5.131479,5.216197,5.266091,5.292163", \ "4.862842,4.951007,5.067917,5.271734,5.490133,5.560495,5.595364", \ "5.129526,5.179871,5.317601,5.475845,5.697856,5.946782,6.089225", \ "5.725788,5.765820,5.825188,5.985904,6.201515,6.426672,6.682607"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.813006,1.626010,3.252020,6.504050,13.008100,26.016200"); values ("3.202985,3.238649,3.227288,3.230604,3.169928,3.142517,2.883393", \ "3.138243,3.122222,3.142065,3.170983,3.159056,3.110345,2.867352", \ "2.958604,2.995699,2.985574,3.000219,3.034336,2.984931,2.841938", \ "2.868223,2.876847,2.906962,2.915174,2.877157,2.953761,2.819461", \ "3.196687,3.206341,3.176842,3.147688,3.075302,2.994702,2.995114", \ "3.694799,3.694633,3.711946,3.710181,3.608604,3.519288,3.368750", \ "4.238509,4.306235,4.333922,4.356798,4.321324,4.271641,4.086296"); } } } } /****************************************************************************************** Module : XNOR2_X2 Cell Description : Combinational cell (XNOR2_X2) with drive strength X2 *******************************************************************************************/ cell (XNOR2_X2) { drive_strength : 2; area : 2.660000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 73.102975; leakage_power () { when : "!A & !B"; value : 73.267260; } leakage_power () { when : "!A & B"; value : 94.667430; } leakage_power () { when : "A & !B"; value : 78.654840; } leakage_power () { when : "A & B"; value : 45.822370; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.003783; fall_capacitance : 3.802061; rise_capacitance : 4.003783; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.836936; fall_capacitance : 4.419038; rise_capacitance : 4.836936; } pin (ZN) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 52.032500; function : "!(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.007382,0.008734,0.010463,0.013896,0.020730,0.034358,0.061559", \ "0.008736,0.010111,0.011867,0.015341,0.022223,0.035893,0.063116", \ "0.012251,0.014212,0.016481,0.020433,0.027289,0.040938,0.068167", \ "0.013892,0.016748,0.020067,0.025889,0.035569,0.050900,0.077882", \ "0.013140,0.016913,0.021295,0.028964,0.041770,0.062194,0.093578", \ "0.009648,0.014374,0.019823,0.029383,0.045317,0.070785,0.110147", \ "0.003230,0.008849,0.015358,0.026814,0.045942,0.076476,0.123754"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.016537,0.019933,0.024215,0.032632,0.049241,0.082223,0.147997", \ "0.017145,0.020554,0.024890,0.033426,0.050213,0.083377,0.149287", \ "0.022702,0.025819,0.029915,0.038185,0.054791,0.087944,0.153969", \ "0.031343,0.035658,0.040732,0.049776,0.065807,0.098315,0.163902", \ "0.041297,0.046567,0.052833,0.064162,0.083642,0.116279,0.180813", \ "0.053131,0.059263,0.066555,0.079842,0.103052,0.141656,0.206134", \ "0.067007,0.073972,0.082274,0.097375,0.123866,0.168617,0.240818"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.004266,0.005423,0.006920,0.009902,0.015842,0.027657,0.051213", \ "0.004260,0.005434,0.006936,0.009923,0.015861,0.027668,0.051217", \ "0.006838,0.007750,0.008861,0.010913,0.015946,0.027671,0.051223", \ "0.011477,0.012680,0.014110,0.016685,0.021176,0.029557,0.051216", \ "0.017710,0.019227,0.021008,0.024193,0.029718,0.038971,0.055466", \ "0.025577,0.027434,0.029613,0.033471,0.040038,0.051007,0.068936", \ "0.035072,0.037345,0.039958,0.044556,0.052282,0.064948,0.085620"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.011576,0.014506,0.018294,0.025860,0.040956,0.071121,0.131398", \ "0.011556,0.014509,0.018294,0.025860,0.040940,0.071099,0.131389", \ "0.012361,0.014774,0.018226,0.025843,0.040949,0.071120,0.131408", \ "0.017484,0.019994,0.022951,0.028324,0.041234,0.071095,0.131404", \ "0.023074,0.026046,0.029684,0.036310,0.047626,0.072287,0.131380", \ "0.029953,0.033230,0.037329,0.045014,0.058568,0.081278,0.132324", \ "0.038486,0.041964,0.046386,0.054801,0.070122,0.096237,0.141551"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.028660,0.030110,0.031918,0.035430,0.042288,0.055845,0.082945", \ "0.030152,0.031607,0.033423,0.036945,0.043812,0.057371,0.084465", \ "0.036372,0.037859,0.039711,0.043290,0.050221,0.063817,0.090908", \ "0.046680,0.048246,0.050166,0.053878,0.060963,0.074669,0.101788", \ "0.057834,0.059572,0.061660,0.065545,0.072794,0.086620,0.113769", \ "0.069565,0.071516,0.073817,0.077988,0.085523,0.099604,0.126893", \ "0.082100,0.084285,0.086851,0.091421,0.099400,0.113827,0.141336"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.029316,0.030985,0.033065,0.037075,0.044861,0.060281,0.091267", \ "0.030516,0.032189,0.034273,0.038295,0.046102,0.061540,0.092529", \ "0.035002,0.036688,0.038795,0.042876,0.050783,0.066336,0.097395", \ "0.040951,0.042685,0.044833,0.048964,0.057010,0.072690,0.103822", \ "0.046580,0.048395,0.050604,0.054777,0.062751,0.078293,0.109392", \ "0.051074,0.053059,0.055444,0.059836,0.067930,0.083516,0.114452", \ "0.053954,0.056177,0.058838,0.063656,0.072127,0.087909,0.118975"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.007020,0.008127,0.009551,0.012404,0.018132,0.029727,0.053220", \ "0.007020,0.008125,0.009548,0.012402,0.018132,0.029726,0.053220", \ "0.007052,0.008148,0.009564,0.012409,0.018133,0.029725,0.053218", \ "0.007253,0.008312,0.009715,0.012528,0.018262,0.029774,0.053226", \ "0.007856,0.008786,0.010011,0.012585,0.018032,0.029489,0.053074", \ "0.009033,0.009889,0.011020,0.013372,0.018474,0.029492,0.052644", \ "0.010613,0.011464,0.012538,0.014731,0.019470,0.030013,0.052704"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.009211,0.010619,0.012421,0.016030,0.023313,0.038106,0.067939", \ "0.009217,0.010619,0.012423,0.016028,0.023311,0.038107,0.067931", \ "0.009346,0.010729,0.012510,0.016083,0.023331,0.038113,0.067932", \ "0.009395,0.010735,0.012480,0.016130,0.023409,0.038195,0.067952", \ "0.010487,0.011694,0.013264,0.016491,0.023298,0.037737,0.067770", \ "0.012291,0.013451,0.014906,0.017866,0.024224,0.038173,0.067472", \ "0.014456,0.015717,0.017219,0.020045,0.025895,0.039194,0.068080"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.009422,0.010893,0.012740,0.016343,0.023377,0.037211,0.064616", \ "0.010678,0.012153,0.014008,0.017620,0.024665,0.038507,0.065917", \ "0.015274,0.017019,0.019072,0.022723,0.029696,0.043496,0.070882", \ "0.018880,0.021401,0.024388,0.029727,0.038791,0.053497,0.080644", \ "0.020354,0.023618,0.027507,0.034485,0.046433,0.065927,0.096458", \ "0.019545,0.023537,0.028306,0.036880,0.051625,0.075830,0.114019", \ "0.016317,0.021042,0.026672,0.036810,0.054293,0.083081,0.128782"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.021066,0.024381,0.028604,0.036951,0.053503,0.086439,0.152189", \ "0.022362,0.025732,0.030024,0.038493,0.055193,0.088276,0.154135", \ "0.027519,0.030810,0.035036,0.043461,0.060208,0.093448,0.159503", \ "0.034764,0.038740,0.043569,0.052544,0.069161,0.102288,0.168365", \ "0.043002,0.047777,0.053515,0.064065,0.083013,0.116726,0.182535", \ "0.053436,0.059016,0.065651,0.077720,0.099178,0.136773,0.203347", \ "0.066089,0.072517,0.080099,0.093788,0.117791,0.159354,0.231240"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.006240,0.007387,0.008867,0.011827,0.017746,0.029576,0.053201", \ "0.006216,0.007381,0.008871,0.011835,0.017752,0.029579,0.053201", \ "0.008096,0.008963,0.010000,0.012317,0.017746,0.029573,0.053199", \ "0.012807,0.013977,0.015354,0.017860,0.022246,0.030992,0.053194", \ "0.018796,0.020322,0.022115,0.025329,0.030844,0.040025,0.056867", \ "0.026103,0.028024,0.030261,0.034218,0.040967,0.052056,0.069988", \ "0.034804,0.037147,0.039873,0.044645,0.052659,0.065726,0.086641"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.011581,0.014516,0.018298,0.025854,0.040943,0.071099,0.131388", \ "0.011586,0.014514,0.018297,0.025853,0.040938,0.071107,0.131396", \ "0.011722,0.014558,0.018306,0.025855,0.040950,0.071118,0.131404", \ "0.015192,0.017734,0.020860,0.027159,0.041074,0.071096,0.131400", \ "0.019910,0.022532,0.025910,0.032524,0.045130,0.071938,0.131390", \ "0.025869,0.028568,0.032059,0.038955,0.052353,0.077718,0.132218", \ "0.032940,0.035767,0.039407,0.046589,0.060541,0.087244,0.138227"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.029120,0.030725,0.032681,0.036392,0.043471,0.057215,0.084414", \ "0.030658,0.032267,0.034227,0.037944,0.045029,0.058775,0.085974", \ "0.037043,0.038644,0.040601,0.044325,0.051429,0.065198,0.092406", \ "0.048288,0.049952,0.051961,0.055756,0.062925,0.076744,0.103984", \ "0.060609,0.062451,0.064630,0.068593,0.075904,0.089806,0.117073", \ "0.073683,0.075728,0.078109,0.082353,0.089917,0.104005,0.131282", \ "0.087890,0.090142,0.092758,0.097359,0.105277,0.119620,0.147024"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.030481,0.032164,0.034264,0.038317,0.046168,0.061653,0.092668", \ "0.031831,0.033523,0.035635,0.039711,0.047600,0.063135,0.094198", \ "0.035238,0.036935,0.039059,0.043171,0.051136,0.066778,0.097942", \ "0.040021,0.041772,0.043946,0.048125,0.056204,0.071963,0.103213", \ "0.044934,0.046745,0.048975,0.053219,0.061299,0.076997,0.108221", \ "0.048731,0.050669,0.053024,0.057423,0.065668,0.081487,0.112661", \ "0.050840,0.052958,0.055508,0.060200,0.068738,0.084837,0.116270"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.005503,0.006530,0.007885,0.010654,0.016306,0.027831,0.051235", \ "0.005506,0.006532,0.007884,0.010652,0.016305,0.027831,0.051235", \ "0.005525,0.006544,0.007898,0.010660,0.016309,0.027832,0.051235", \ "0.006015,0.006968,0.008237,0.010897,0.016431,0.027873,0.051243", \ "0.007164,0.008006,0.009145,0.011565,0.016826,0.028032,0.051291", \ "0.008530,0.009329,0.010371,0.012595,0.017539,0.028401,0.051325", \ "0.010068,0.010845,0.011846,0.013921,0.018525,0.028975,0.051572"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.009204,0.010604,0.012400,0.015998,0.023271,0.038055,0.067869", \ "0.009202,0.010603,0.012404,0.016005,0.023282,0.038073,0.067904", \ "0.009293,0.010684,0.012468,0.016050,0.023311,0.038094,0.067924", \ "0.009231,0.010610,0.012384,0.016023,0.023313,0.038153,0.067934", \ "0.009942,0.011241,0.012912,0.016289,0.023249,0.037766,0.067745", \ "0.011156,0.012415,0.014022,0.017256,0.023983,0.038171,0.067548", \ "0.012759,0.014047,0.015635,0.018750,0.025182,0.039076,0.068144"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("-0.001027,-0.001216,-0.001458,0.010557,0.058333,0.114789,0.153028", \ "-0.001440,-0.001631,-0.001873,0.008235,0.084533,0.168176,0.217450", \ "-0.002901,-0.003099,-0.003338,-0.003807,0.054676,0.153189,0.228132", \ "0.598568,0.493370,0.403003,0.249630,0.151904,0.207059,0.265502", \ "1.635960,1.550011,1.411428,1.169119,0.842629,0.511991,0.461168", \ "3.080856,2.972616,2.823299,2.545582,2.082638,1.473896,0.965081", \ "4.819323,4.775128,4.641808,4.386811,3.861855,3.001063,2.028571"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("6.014577,6.073163,6.076601,6.151362,6.097980,6.223855,6.205053", \ "5.818738,5.886967,5.899475,6.012612,6.093156,6.145680,5.929964", \ "5.871219,5.887717,5.876839,5.907442,5.956483,6.014035,6.137996", \ "6.412018,6.399257,6.351611,6.291316,6.210823,6.122425,5.858660", \ "6.960985,7.027214,7.163404,7.175458,6.964897,6.729682,6.334178", \ "8.309271,8.264109,8.249426,8.240681,8.265305,7.843962,7.142128", \ "10.444710,10.348970,10.211150,10.044610,9.746349,9.397947,8.583258"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("8.933824,8.955009,8.955059,8.906989,8.784743,8.629273,8.495156", \ "8.870065,8.886019,8.863594,8.845374,8.722756,8.568772,8.420740", \ "8.782666,8.812854,8.808707,8.822906,8.754782,8.620884,8.482115", \ "8.830761,8.931720,8.997637,9.045945,9.054393,8.970718,8.851247", \ "8.798536,8.958779,9.114256,9.340428,9.620724,9.659216,9.562654", \ "9.404818,9.529543,9.640840,9.919793,10.209850,10.492810,10.669630", \ "10.481760,10.609550,10.736960,11.028040,11.376800,11.695130,12.009090"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("7.567229,7.577536,7.591617,7.554038,7.441186,7.258465,7.191833", \ "7.474981,7.521821,7.551570,7.539579,7.439926,7.227334,7.156536", \ "7.228061,7.239132,7.280864,7.310353,7.253702,7.223084,7.073381", \ "7.191072,7.230715,7.283650,7.288565,7.309965,7.325756,7.266617", \ "8.167732,8.138670,8.102455,8.034592,7.864352,7.764462,7.811099", \ "9.355300,9.439734,9.478047,9.432490,9.289930,9.051378,8.724134", \ "10.925930,11.000170,11.062730,11.116390,11.071580,10.955260,10.460690"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("0.613956,0.637288,0.666647,0.719005,0.780743,0.842856,0.903802", \ "0.487805,0.525503,0.569918,0.614584,0.691131,0.755156,0.804836", \ "0.465746,0.491900,0.518869,0.567128,0.637332,0.705865,0.754774", \ "1.114259,1.052891,0.967581,0.811860,0.757376,0.783814,0.813881", \ "2.175053,2.093684,1.963819,1.769433,1.462997,1.143661,1.044838", \ "3.651760,3.561044,3.441166,3.165727,2.746440,2.149397,1.612904", \ "5.595614,5.483284,5.381838,5.099375,4.583523,3.758215,2.766465"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("7.683350,7.726188,7.769108,7.809878,7.824250,7.762662,7.687563", \ "7.489896,7.558300,7.608606,7.620297,7.589674,7.779835,7.621750", \ "7.330139,7.329008,7.370778,7.449191,7.508572,7.565733,7.700950", \ "7.368864,7.405340,7.388598,7.391732,7.394395,7.456979,7.344309", \ "7.347271,7.499028,7.633573,7.758852,7.678954,7.625987,7.435349", \ "8.215645,8.206109,8.200771,8.220220,8.451469,8.116581,8.000865", \ "9.874419,9.749557,9.663956,9.515062,9.413484,9.281872,8.595862"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("9.186775,9.316390,9.446789,9.572064,9.677548,9.718828,9.744041", \ "9.123038,9.259910,9.385514,9.512387,9.622644,9.667317,9.687896", \ "9.111097,9.238398,9.368554,9.493292,9.618387,9.684311,9.711592", \ "9.255957,9.433030,9.561693,9.708579,9.862742,9.957693,10.006100", \ "9.237127,9.437481,9.610725,9.958174,10.431220,10.566030,10.629530", \ "9.812172,9.939405,10.151570,10.448730,10.845360,11.319080,11.644680", \ "11.003350,11.089290,11.233440,11.520330,11.912510,12.315320,12.828220"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.626020,3.252030,6.504060,13.008100,26.016200,52.032500"); values ("6.498484,6.487245,6.490821,6.545496,6.475940,6.308935,6.266228", \ "6.317864,6.371865,6.362945,6.393680,6.317202,6.212694,6.199786", \ "5.940464,5.977780,5.992111,6.075141,6.026679,5.984101,6.031665", \ "5.746590,5.771748,5.832830,5.907344,5.904182,5.896189,5.982776", \ "6.507572,6.478806,6.474426,6.417599,6.167781,6.226532,6.296150", \ "7.427054,7.496949,7.515297,7.557761,7.404465,7.093705,6.719890", \ "8.653787,8.707315,8.769660,8.879673,8.840716,8.767908,8.358971"); } } } } /****************************************************************************************** Module : XOR2_X1 Cell Description : Combinational cell (XOR2_X1) with drive strength X1 *******************************************************************************************/ cell (XOR2_X1) { drive_strength : 1; area : 1.596000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 36.163718; leakage_power () { when : "!A & !B"; value : 26.394280; } leakage_power () { when : "!A & B"; value : 42.963030; } leakage_power () { when : "A & !B"; value : 23.406273; } leakage_power () { when : "A & B"; value : 51.891290; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.232144; fall_capacitance : 2.181232; rise_capacitance : 2.232144; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 2.411453; fall_capacitance : 2.411453; rise_capacitance : 2.363548; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 25.329600; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.044789,0.045728,0.047388,0.050431,0.055860,0.065445,0.082518", \ "0.045292,0.046242,0.047912,0.050977,0.056431,0.066037,0.083129", \ "0.050498,0.051453,0.053127,0.056201,0.061676,0.071300,0.088397", \ "0.062228,0.063201,0.064900,0.068024,0.073562,0.083229,0.100344", \ "0.077395,0.078470,0.080343,0.083725,0.089593,0.099627,0.117019", \ "0.094293,0.095474,0.097533,0.101219,0.107525,0.118039,0.135878", \ "0.113382,0.114692,0.116945,0.120981,0.127793,0.138991,0.157421"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.037026,0.039150,0.043049,0.050763,0.066175,0.097342,0.160484", \ "0.038471,0.040604,0.044531,0.052312,0.067825,0.099071,0.162215", \ "0.042092,0.044271,0.048296,0.056290,0.072182,0.103876,0.167339", \ "0.045875,0.048032,0.052010,0.060124,0.076223,0.108226,0.172032", \ "0.048381,0.050595,0.054659,0.062708,0.078691,0.110503,0.174548", \ "0.048337,0.050630,0.054774,0.062887,0.078930,0.110898,0.174516", \ "0.045197,0.047639,0.051984,0.060268,0.076351,0.108391,0.172307"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.009586,0.010138,0.011108,0.012920,0.016240,0.022445,0.034629", \ "0.009597,0.010152,0.011119,0.012924,0.016242,0.022446,0.034628", \ "0.009621,0.010169,0.011134,0.012932,0.016242,0.022440,0.034626", \ "0.009963,0.010490,0.011409,0.013142,0.016384,0.022526,0.034670", \ "0.011023,0.011552,0.012464,0.014175,0.017351,0.023386,0.035254", \ "0.012565,0.013112,0.014034,0.015708,0.018744,0.024458,0.036060", \ "0.014450,0.015023,0.015986,0.017718,0.020742,0.026240,0.037273"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.015334,0.017335,0.021048,0.028457,0.043237,0.072723,0.131578", \ "0.015334,0.017339,0.021045,0.028457,0.043239,0.072737,0.131584", \ "0.015358,0.017351,0.021054,0.028460,0.043239,0.072722,0.131566", \ "0.014383,0.016491,0.020359,0.028094,0.043240,0.072722,0.131578", \ "0.013985,0.015868,0.019425,0.026672,0.041488,0.071799,0.131543", \ "0.014644,0.016409,0.019792,0.026812,0.041263,0.070531,0.130248", \ "0.016001,0.017646,0.020782,0.027416,0.041552,0.070619,0.129168"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.007811,0.008722,0.010401,0.013729,0.020339,0.033510,0.059821", \ "0.009128,0.010055,0.011759,0.015120,0.021770,0.034975,0.061305", \ "0.012719,0.014029,0.016256,0.020138,0.026803,0.039977,0.066300", \ "0.014507,0.016410,0.019669,0.025379,0.034881,0.049942,0.076042", \ "0.013907,0.016421,0.020716,0.028252,0.040805,0.060863,0.091698", \ "0.010563,0.013713,0.019074,0.028454,0.044094,0.069090,0.107749", \ "0.004299,0.008035,0.014454,0.025676,0.044449,0.074421,0.120838"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.016654,0.018960,0.023155,0.031382,0.047576,0.079697,0.143718", \ "0.017314,0.019629,0.023876,0.032222,0.048590,0.080899,0.145055", \ "0.022916,0.024994,0.028964,0.037037,0.053235,0.085520,0.149798", \ "0.032008,0.034887,0.039835,0.048660,0.064281,0.095933,0.159756", \ "0.042309,0.045829,0.051937,0.062998,0.082058,0.113941,0.176704", \ "0.054449,0.058554,0.065655,0.078620,0.101333,0.139169,0.202091", \ "0.068540,0.073236,0.081350,0.096103,0.122016,0.165862,0.236729"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.004521,0.005285,0.006712,0.009562,0.015261,0.026657,0.049441", \ "0.004517,0.005284,0.006712,0.009563,0.015261,0.026654,0.049443", \ "0.007032,0.007646,0.008719,0.010674,0.015416,0.026656,0.049445", \ "0.011704,0.012517,0.013914,0.016439,0.020809,0.028814,0.049443", \ "0.017980,0.019015,0.020759,0.023887,0.029288,0.038327,0.054162", \ "0.025927,0.027185,0.029326,0.033107,0.039535,0.050273,0.067792", \ "0.035501,0.037034,0.039627,0.044148,0.051707,0.064107,0.084327"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.012518,0.014531,0.018228,0.025589,0.040271,0.069627,0.128274", \ "0.012484,0.014515,0.018226,0.025598,0.040274,0.069614,0.128282", \ "0.012981,0.014681,0.018065,0.025560,0.040289,0.069616,0.128279", \ "0.018090,0.019783,0.022748,0.028009,0.040571,0.069623,0.128281", \ "0.023827,0.025831,0.029377,0.035875,0.047037,0.070923,0.128290", \ "0.030806,0.033024,0.036992,0.044498,0.057798,0.080093,0.129408", \ "0.039388,0.041774,0.046041,0.054240,0.069239,0.094878,0.138999"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.048976,0.049920,0.051593,0.054649,0.060089,0.069670,0.086732", \ "0.050349,0.051306,0.052989,0.056065,0.061530,0.071132,0.088208", \ "0.055568,0.056525,0.058208,0.061302,0.066783,0.076412,0.093500", \ "0.064561,0.065534,0.067233,0.070356,0.075893,0.085568,0.102692", \ "0.076397,0.077457,0.079288,0.082628,0.088490,0.098500,0.115907", \ "0.090991,0.092143,0.094116,0.097713,0.103948,0.114433,0.132374", \ "0.108494,0.109730,0.111860,0.115712,0.122344,0.133404,0.151970"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.033734,0.035973,0.040079,0.048185,0.064212,0.096105,0.159897", \ "0.035183,0.037419,0.041527,0.049643,0.065705,0.097632,0.161437", \ "0.040127,0.042341,0.046430,0.054545,0.070673,0.102758,0.166706", \ "0.045646,0.047810,0.051804,0.059916,0.076071,0.108227,0.172313", \ "0.049778,0.051980,0.056013,0.064004,0.079876,0.111758,0.175905", \ "0.051365,0.053653,0.057760,0.065809,0.081724,0.113520,0.177188", \ "0.050117,0.052553,0.056852,0.065043,0.080983,0.112756,0.176443"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.009526,0.010078,0.011043,0.012844,0.016171,0.022392,0.034593", \ "0.009512,0.010064,0.011024,0.012826,0.016154,0.022375,0.034585", \ "0.009524,0.010072,0.011037,0.012832,0.016149,0.022370,0.034582", \ "0.009794,0.010318,0.011254,0.013007,0.016270,0.022440,0.034615", \ "0.010442,0.010987,0.011942,0.013714,0.016973,0.023096,0.035079", \ "0.011414,0.011974,0.012945,0.014727,0.017972,0.023990,0.035792", \ "0.012757,0.013340,0.014331,0.016146,0.019414,0.025402,0.036991"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.012660,0.014610,0.018256,0.025582,0.040261,0.069620,0.128285", \ "0.012655,0.014610,0.018259,0.025585,0.040261,0.069610,0.128291", \ "0.012681,0.014622,0.018261,0.025581,0.040264,0.069613,0.128295", \ "0.012435,0.014398,0.018089,0.025515,0.040271,0.069615,0.128292", \ "0.012951,0.014768,0.018214,0.025277,0.039704,0.069367,0.128291", \ "0.013851,0.015546,0.018823,0.025715,0.040003,0.068969,0.127901", \ "0.015212,0.016790,0.019842,0.026372,0.040393,0.069317,0.127486"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.009145,0.010051,0.011722,0.015042,0.021643,0.034811,0.061116", \ "0.010488,0.011420,0.013128,0.016490,0.023135,0.036339,0.062669", \ "0.013542,0.014677,0.016667,0.020370,0.027161,0.040450,0.066843", \ "0.015854,0.017480,0.020255,0.025130,0.033459,0.047933,0.074547", \ "0.015923,0.018170,0.021969,0.028554,0.039422,0.056900,0.086146", \ "0.013260,0.016183,0.021081,0.029544,0.043406,0.065157,0.099152", \ "0.007637,0.011237,0.017267,0.027684,0.044719,0.071277,0.111664"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.021462,0.023691,0.027798,0.035933,0.052080,0.084215,0.148284", \ "0.022266,0.024524,0.028680,0.036891,0.053130,0.085347,0.149490", \ "0.027701,0.029862,0.033889,0.041955,0.058071,0.090252,0.154403", \ "0.038608,0.041162,0.045629,0.053713,0.069285,0.100904,0.164633", \ "0.050834,0.054015,0.059595,0.069844,0.087831,0.119072,0.181796", \ "0.064897,0.068623,0.075153,0.087228,0.108713,0.145118,0.207365", \ "0.081228,0.085441,0.092891,0.106584,0.131130,0.173317,0.242444"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.004517,0.005288,0.006714,0.009562,0.015261,0.026655,0.049444", \ "0.004518,0.005285,0.006713,0.009562,0.015262,0.026658,0.049445", \ "0.005689,0.006353,0.007605,0.010065,0.015355,0.026656,0.049445", \ "0.008896,0.009565,0.010761,0.013103,0.017846,0.027710,0.049453", \ "0.013630,0.014420,0.015797,0.018344,0.023035,0.032300,0.051730", \ "0.019615,0.020541,0.022185,0.025165,0.030387,0.039742,0.058246", \ "0.026676,0.027769,0.029737,0.033267,0.039335,0.049536,0.067957"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.015278,0.017297,0.021030,0.028457,0.043238,0.072738,0.131578", \ "0.015264,0.017294,0.021028,0.028451,0.043238,0.072727,0.131579", \ "0.015174,0.017122,0.020943,0.028438,0.043242,0.072728,0.131584", \ "0.019580,0.021239,0.023951,0.029871,0.043250,0.072729,0.131573", \ "0.025268,0.027319,0.030876,0.037324,0.048579,0.073530,0.131546", \ "0.031499,0.033952,0.038170,0.045886,0.059226,0.081757,0.132300", \ "0.038587,0.041342,0.046158,0.054986,0.070471,0.096249,0.141095"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("4.721486,4.745663,4.760330,4.810592,4.839377,4.868265,4.869435", \ "4.664626,4.689406,4.713179,4.759731,4.787085,4.829423,4.825019", \ "4.639025,4.667821,4.706561,4.759273,4.797081,4.846921,4.835946", \ "4.722704,4.739569,4.784061,4.846306,4.923999,4.970376,4.974647", \ "4.827348,4.880403,4.945920,5.039139,5.156597,5.246117,5.252691", \ "5.121065,5.140237,5.212549,5.295232,5.420949,5.563915,5.678456", \ "5.654053,5.695203,5.745709,5.793044,5.908242,6.076313,6.184844"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.978521,3.973905,3.952753,3.935813,3.862737,3.728697,3.618129", \ "3.965290,3.966171,3.944498,3.929794,3.867699,3.780022,3.667687", \ "3.773469,3.774976,3.795655,3.775574,3.769975,3.677272,3.657451", \ "3.740164,3.745379,3.743330,3.759398,3.758247,3.782964,3.747771", \ "3.973282,3.962203,3.931928,3.913265,3.953725,3.958690,3.837131", \ "4.456692,4.460087,4.451154,4.414171,4.365953,4.325863,4.334116", \ "4.993683,5.017810,5.054093,5.091175,5.073917,4.969992,4.942111"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("0.146701,0.145034,0.147682,0.157420,0.164631,0.174091,0.175692", \ "0.114691,0.127527,0.142801,0.159604,0.180111,0.198675,0.210485", \ "0.133384,0.129509,0.136193,0.151188,0.172831,0.199902,0.219495", \ "0.436811,0.413833,0.366952,0.297336,0.238081,0.237083,0.243460", \ "0.922490,0.892092,0.833806,0.722980,0.572307,0.409602,0.348573", \ "1.608670,1.573533,1.516342,1.386549,1.168761,0.878821,0.618588", \ "2.481459,2.459655,2.398525,2.292223,2.046676,1.635018,1.161735"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("2.822286,2.840551,2.849419,2.881185,2.883625,2.944335,2.964466", \ "2.715596,2.746616,2.779746,2.798098,2.879262,2.916638,2.928366", \ "2.732871,2.738731,2.740166,2.751546,2.758706,2.827307,2.873691", \ "2.998899,3.000534,2.975479,2.938506,2.902349,2.884323,2.901224", \ "3.333983,3.341982,3.404738,3.413562,3.290154,3.192625,3.043133", \ "4.052382,4.037931,4.005401,3.951587,3.907539,3.692721,3.552536", \ "5.133593,5.090477,5.021601,4.905166,4.738565,4.530220,4.242656"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("5.066926,5.088427,5.136607,5.174865,5.226490,5.272599,5.258755", \ "5.028427,5.050674,5.098984,5.139156,5.200759,5.238796,5.237073", \ "4.963217,5.011723,5.039743,5.105974,5.153829,5.210997,5.207732", \ "4.888803,4.907237,4.962713,5.028817,5.111455,5.190074,5.201765", \ "4.807727,4.835129,4.909059,5.033997,5.171636,5.272756,5.300526", \ "4.897354,4.928431,4.994125,5.109689,5.254215,5.442404,5.580982", \ "5.272071,5.292403,5.363593,5.456214,5.592857,5.782581,5.939216"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.478476,3.487642,3.491393,3.519339,3.508980,3.485184,3.475018", \ "3.453016,3.461960,3.465795,3.490346,3.513662,3.515719,3.425873", \ "3.381027,3.387837,3.417613,3.442931,3.456617,3.430482,3.407296", \ "3.398371,3.431927,3.434224,3.459774,3.487228,3.468038,3.421396", \ "3.720985,3.709384,3.674086,3.625571,3.661534,3.631741,3.606658", \ "4.204950,4.218401,4.196573,4.162852,4.068171,4.038667,4.090492", \ "4.821777,4.831015,4.830717,4.810980,4.777491,4.616097,4.500041"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("-0.000317,-0.000352,-0.000416,-0.000542,-0.000781,-0.001226,-0.002025", \ "-0.000408,-0.000443,-0.000507,-0.000630,-0.000867,-0.001307,-0.002099", \ "-0.000706,-0.000738,-0.000797,-0.000914,-0.001138,-0.001561,-0.002327", \ "-0.001234,-0.001271,-0.001337,-0.001459,-0.001678,-0.002077,-0.002813", \ "0.307155,0.278249,0.247510,0.173135,0.063222,-0.002914,-0.003623", \ "0.820660,0.788815,0.752728,0.660624,0.506356,0.286760,0.064190", \ "1.473369,1.455080,1.414411,1.322537,1.147674,0.855844,0.489366"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,0.791550,1.583100,3.166200,6.332400,12.664800,25.329600"); values ("3.809465,3.815868,3.832602,3.842649,3.825478,3.882888,3.840656", \ "3.722589,3.732294,3.737807,3.774632,3.777900,3.843762,3.802184", \ "3.744826,3.755434,3.744530,3.754890,3.797425,3.800165,3.819861", \ "4.020102,4.004733,3.985018,3.977699,3.940163,3.863691,3.806237", \ "4.370353,4.402574,4.472985,4.428268,4.339275,4.211782,4.083734", \ "4.993070,5.002905,5.008402,5.023772,4.963244,4.825694,4.499577", \ "5.993099,5.992722,5.961873,5.916742,5.847054,5.676024,5.290764"); } } } } /****************************************************************************************** Module : XOR2_X2 Cell Description : Combinational cell (XOR2_X2) with drive strength X2 *******************************************************************************************/ cell (XOR2_X2) { drive_strength : 2; area : 2.394000; pg_pin(VDD) { voltage_name : VDD; pg_type : primary_power; } pg_pin(VSS) { voltage_name : VSS; pg_type : primary_ground; } cell_leakage_power : 72.593483; leakage_power () { when : "!A & !B"; value : 53.038260; } leakage_power () { when : "!A & B"; value : 86.131100; } leakage_power () { when : "A & !B"; value : 47.057560; } leakage_power () { when : "A & B"; value : 104.147010; } pin (A) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.330455; fall_capacitance : 4.235113; rise_capacitance : 4.330455; } pin (B) { direction : input; related_power_pin : "VDD"; related_ground_pin : "VSS"; capacitance : 4.500935; fall_capacitance : 4.500935; rise_capacitance : 4.395627; } pin (Z) { direction : output; related_power_pin : "VDD"; related_ground_pin : "VSS"; max_capacitance : 50.506600; function : "(A ^ B)"; timing () { related_pin : "A"; when : "!B"; sdf_cond : "(B == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.045569,0.046931,0.048593,0.051640,0.057097,0.066724,0.083842", \ "0.046081,0.047454,0.049128,0.052195,0.057673,0.067324,0.084458", \ "0.051238,0.052615,0.054295,0.057375,0.062875,0.072541,0.089682", \ "0.062957,0.064356,0.066062,0.069184,0.074738,0.084451,0.101617", \ "0.078243,0.079792,0.081649,0.085021,0.090900,0.100975,0.118412", \ "0.095204,0.096923,0.098966,0.102639,0.108970,0.119521,0.137417", \ "0.114367,0.116233,0.118486,0.122505,0.129350,0.140565,0.159071"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.037178,0.040217,0.044112,0.051818,0.067196,0.098260,0.161171", \ "0.038629,0.041679,0.045600,0.053367,0.068843,0.099981,0.162893", \ "0.042319,0.045423,0.049436,0.057404,0.073249,0.104842,0.168070", \ "0.046253,0.049317,0.053330,0.061418,0.077461,0.109365,0.172952", \ "0.048943,0.052088,0.056144,0.064179,0.080114,0.111845,0.175692", \ "0.049052,0.052316,0.056449,0.064530,0.080569,0.112461,0.175874", \ "0.046053,0.049539,0.053863,0.062110,0.078160,0.110146,0.173898"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.009578,0.010364,0.011339,0.013145,0.016469,0.022667,0.034805", \ "0.009587,0.010376,0.011347,0.013151,0.016469,0.022667,0.034804", \ "0.009610,0.010394,0.011360,0.013158,0.016467,0.022661,0.034801", \ "0.009931,0.010684,0.011608,0.013348,0.016599,0.022740,0.034840", \ "0.010981,0.011735,0.012654,0.014375,0.017571,0.023590,0.035407", \ "0.012505,0.013275,0.014199,0.015890,0.018942,0.024668,0.036249", \ "0.014369,0.015178,0.016150,0.017886,0.020925,0.026437,0.037465"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.014786,0.017634,0.021336,0.028721,0.043458,0.072851,0.131503", \ "0.014788,0.017636,0.021336,0.028721,0.043459,0.072848,0.131498", \ "0.014824,0.017649,0.021341,0.028722,0.043459,0.072854,0.131494", \ "0.013903,0.016894,0.020740,0.028448,0.043458,0.072851,0.131493", \ "0.013489,0.016173,0.019726,0.026958,0.041783,0.071979,0.131478", \ "0.014177,0.016681,0.020065,0.027077,0.041487,0.070680,0.130217", \ "0.015552,0.017884,0.021030,0.027671,0.041773,0.070748,0.129124"); } } timing () { related_pin : "A"; when : "B"; sdf_cond : "(B == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.007556,0.008857,0.010530,0.013848,0.020439,0.033575,0.059811", \ "0.008871,0.010191,0.011889,0.015240,0.021869,0.035039,0.061298", \ "0.012337,0.014217,0.016419,0.020269,0.026906,0.040044,0.066295", \ "0.013953,0.016689,0.019909,0.025568,0.035011,0.050008,0.076038", \ "0.013172,0.016789,0.021036,0.028495,0.040981,0.060954,0.091691", \ "0.009646,0.014175,0.019467,0.028766,0.044314,0.069203,0.107741", \ "0.003203,0.008585,0.014902,0.026044,0.044710,0.074553,0.120828"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.015901,0.019185,0.023364,0.031561,0.047705,0.079727,0.143554", \ "0.016569,0.019866,0.024097,0.032414,0.048734,0.080935,0.144900", \ "0.022282,0.025223,0.029180,0.037233,0.053381,0.085568,0.149643", \ "0.031109,0.035219,0.040114,0.048868,0.064426,0.095982,0.159613", \ "0.041204,0.046252,0.052305,0.063286,0.082234,0.113984,0.176567", \ "0.053159,0.059023,0.066085,0.078973,0.101555,0.139230,0.201956", \ "0.067099,0.073799,0.081845,0.096501,0.122281,0.165945,0.236578"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.004299,0.005391,0.006812,0.009655,0.015335,0.026698,0.049420", \ "0.004293,0.005388,0.006811,0.009653,0.015337,0.026697,0.049419", \ "0.006851,0.007721,0.008789,0.010738,0.015484,0.026698,0.049421", \ "0.011460,0.012616,0.014002,0.016506,0.020866,0.028845,0.049421", \ "0.017661,0.019122,0.020857,0.023963,0.029345,0.038354,0.054144", \ "0.025540,0.027302,0.029419,0.033180,0.039588,0.050291,0.067767", \ "0.035051,0.037194,0.039725,0.044220,0.051751,0.064116,0.084288"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.011937,0.014804,0.018494,0.025838,0.040476,0.069728,0.128212", \ "0.011891,0.014783,0.018497,0.025845,0.040496,0.069733,0.128213", \ "0.012509,0.014907,0.018311,0.025801,0.040491,0.069732,0.128217", \ "0.017567,0.019980,0.022912,0.028176,0.040760,0.069745,0.128219", \ "0.023211,0.026047,0.029580,0.036045,0.047170,0.071030,0.128232", \ "0.030114,0.033240,0.037217,0.044682,0.057931,0.080167,0.129347", \ "0.038655,0.041985,0.046261,0.054439,0.069371,0.094923,0.138941"); } } timing () { related_pin : "B"; when : "!A"; sdf_cond : "(A == 1'b0)"; timing_sense : positive_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.049766,0.051138,0.052809,0.055874,0.061337,0.070966,0.088071", \ "0.051148,0.052529,0.054215,0.057296,0.062785,0.072430,0.089552", \ "0.056338,0.057723,0.059413,0.062510,0.068018,0.077686,0.094821", \ "0.065325,0.066731,0.068434,0.071560,0.077118,0.086835,0.104009", \ "0.077201,0.078724,0.080563,0.083907,0.089770,0.099832,0.117270", \ "0.091818,0.093452,0.095440,0.099033,0.105266,0.115814,0.133784", \ "0.109337,0.111110,0.113243,0.117079,0.123723,0.134829,0.153439"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.033813,0.037004,0.041102,0.049186,0.065171,0.096970,0.160561", \ "0.035260,0.038448,0.042544,0.050640,0.066658,0.098492,0.162090", \ "0.040256,0.043416,0.047490,0.055583,0.071663,0.103646,0.167396", \ "0.045946,0.049030,0.053041,0.061140,0.077243,0.109294,0.173177", \ "0.050253,0.053401,0.057431,0.065396,0.081228,0.113048,0.176988", \ "0.052005,0.055260,0.059366,0.067396,0.083287,0.114990,0.178495", \ "0.050903,0.054373,0.058653,0.066826,0.082742,0.114479,0.177942"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.009520,0.010304,0.011268,0.013075,0.016398,0.022611,0.034769", \ "0.009500,0.010291,0.011250,0.013054,0.016380,0.022594,0.034761", \ "0.009519,0.010298,0.011263,0.013058,0.016379,0.022590,0.034756", \ "0.009764,0.010524,0.011460,0.013219,0.016486,0.022653,0.034789", \ "0.010406,0.011188,0.012145,0.013918,0.017183,0.023296,0.035237", \ "0.011349,0.012153,0.013124,0.014910,0.018158,0.024184,0.035963", \ "0.012673,0.013495,0.014494,0.016317,0.019589,0.025583,0.037151"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.012129,0.014900,0.018537,0.025838,0.040475,0.069735,0.128221", \ "0.012129,0.014906,0.018534,0.025838,0.040473,0.069728,0.128228", \ "0.012153,0.014916,0.018544,0.025842,0.040473,0.069726,0.128215", \ "0.011943,0.014735,0.018404,0.025802,0.040484,0.069734,0.128217", \ "0.012474,0.015050,0.018486,0.025528,0.039944,0.069503,0.128220", \ "0.013394,0.015811,0.019091,0.025969,0.040219,0.069083,0.127855", \ "0.014773,0.017032,0.020096,0.026623,0.040604,0.069434,0.127423"); } } timing () { related_pin : "B"; when : "A"; sdf_cond : "(A == 1'b1)"; timing_sense : negative_unate; cell_fall(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.008926,0.010218,0.011885,0.015193,0.021777,0.034908,0.061143", \ "0.010260,0.011589,0.013290,0.016642,0.023269,0.036435,0.062693", \ "0.013256,0.014878,0.016853,0.020533,0.027299,0.040551,0.066873", \ "0.015423,0.017758,0.020500,0.025336,0.033615,0.048040,0.074579", \ "0.015331,0.018544,0.022295,0.028819,0.039616,0.057020,0.086181", \ "0.012501,0.016659,0.021499,0.029880,0.043654,0.065300,0.099189", \ "0.006705,0.011837,0.017780,0.028095,0.045020,0.071461,0.111709"); } cell_rise(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.020762,0.023939,0.028032,0.036144,0.052239,0.084270,0.148126", \ "0.021566,0.024783,0.028924,0.037110,0.053297,0.085417,0.149337", \ "0.027044,0.030120,0.034136,0.042177,0.058249,0.090322,0.154266", \ "0.037846,0.041487,0.045911,0.053931,0.069461,0.100980,0.164501", \ "0.049932,0.054437,0.059966,0.070139,0.088019,0.119144,0.181678", \ "0.063844,0.069114,0.075585,0.087589,0.108959,0.145201,0.207252", \ "0.080014,0.086039,0.093376,0.106996,0.131415,0.173432,0.242314"); } fall_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.004299,0.005392,0.006811,0.009655,0.015336,0.026697,0.049420", \ "0.004302,0.005391,0.006812,0.009654,0.015336,0.026699,0.049422", \ "0.005498,0.006442,0.007690,0.010147,0.015426,0.026700,0.049420", \ "0.008696,0.009649,0.010838,0.013170,0.017908,0.027748,0.049430", \ "0.013392,0.014520,0.015891,0.018417,0.023084,0.032334,0.051712", \ "0.019306,0.020655,0.022284,0.025249,0.030438,0.039766,0.058226", \ "0.026293,0.027883,0.029844,0.033362,0.039386,0.049558,0.067924"); } rise_transition(Timing_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.014712,0.017593,0.021311,0.028715,0.043460,0.072857,0.131493", \ "0.014693,0.017580,0.021306,0.028713,0.043465,0.072855,0.131495", \ "0.014631,0.017402,0.021217,0.028696,0.043456,0.072859,0.131499", \ "0.019064,0.021437,0.024144,0.030069,0.043456,0.072845,0.131505", \ "0.024631,0.027551,0.031096,0.037503,0.048722,0.073630,0.131482", \ "0.030752,0.034223,0.038432,0.046092,0.059363,0.081834,0.132248", \ "0.037763,0.041666,0.046449,0.055225,0.070625,0.096299,0.141022"); } } internal_power () { related_pin : "A"; when : "!B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("9.781310,9.790792,9.856194,9.953373,10.056260,10.120550,10.131410", \ "9.657188,9.698967,9.743769,9.815652,9.964594,10.024550,10.045490", \ "9.620646,9.692488,9.750372,9.836068,9.958626,10.049560,10.069490", \ "9.696531,9.799595,9.860420,10.046430,10.185080,10.317770,10.343240", \ "9.964936,10.071620,10.209890,10.423660,10.649880,10.853480,10.886070", \ "10.491560,10.617660,10.737050,10.887260,11.198400,11.524710,11.737450", \ "11.641290,11.673590,11.777130,11.934880,12.193780,12.513160,12.754360"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("8.118003,8.102904,8.065275,7.971609,7.853802,7.510289,7.446742", \ "8.058910,8.081784,8.049978,7.969386,7.848380,7.700021,7.413304", \ "7.710064,7.709623,7.696480,7.715833,7.652647,7.592975,7.385826", \ "7.572158,7.633353,7.628947,7.664623,7.639290,7.614468,7.550287", \ "8.074113,8.057273,7.977521,7.976671,8.009119,7.933015,8.042653", \ "9.027917,9.032627,9.011468,8.938690,8.835185,8.885662,8.852354", \ "10.091660,10.152030,10.260400,10.291950,10.253680,10.079730,10.135030"); } } internal_power () { related_pin : "A"; when : "B"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("0.274940,0.291115,0.307115,0.309860,0.326632,0.337843,0.347722", \ "0.237209,0.255325,0.288680,0.323022,0.361669,0.393525,0.415444", \ "0.277510,0.253688,0.271917,0.299412,0.350100,0.395217,0.438896", \ "0.899141,0.817443,0.725831,0.591779,0.474103,0.468094,0.485257", \ "1.877468,1.760726,1.637492,1.425556,1.134706,0.813805,0.696686", \ "3.242594,3.151290,3.020929,2.766801,2.325311,1.748640,1.224809", \ "4.958671,4.883400,4.811061,4.574182,4.075904,3.255944,2.317349"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("5.727688,5.820692,5.877298,5.937542,6.003240,5.992065,6.056346", \ "5.513747,5.612927,5.649624,5.729137,5.855835,5.945970,5.983788", \ "5.609197,5.620781,5.624867,5.695901,5.656865,5.810054,5.874072", \ "6.149299,6.130432,6.107829,6.015367,5.946167,5.964261,5.929586", \ "6.777965,6.822958,6.932896,6.949793,6.663165,6.532187,6.078882", \ "8.243519,8.149929,8.141014,8.066111,8.041652,7.685959,7.230953", \ "10.423180,10.296290,10.164370,9.921880,9.716459,9.326371,8.611944"); } } internal_power () { related_pin : "B"; when : "!A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("10.455010,10.544790,10.608320,10.717890,10.821420,10.912880,10.925450", \ "10.336550,10.435620,10.535500,10.620030,10.745370,10.849990,10.867810", \ "10.261150,10.343400,10.418650,10.547010,10.688680,10.806810,10.827610", \ "10.098190,10.179930,10.280970,10.417160,10.612990,10.756490,10.802080", \ "9.869507,10.042100,10.183400,10.412950,10.700210,10.916830,11.011100", \ "10.065110,10.194690,10.323520,10.535200,10.868320,11.251570,11.553640", \ "10.791060,10.928770,11.041080,11.250260,11.534440,11.941680,12.255750"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("7.046677,7.120192,7.130224,7.186970,7.164050,7.194389,7.018311", \ "6.996798,7.015874,7.079781,7.132737,7.090494,7.127706,6.919733", \ "6.909414,6.924549,6.929055,6.980890,6.982089,7.092193,6.846454", \ "6.950012,6.990521,7.008292,7.016884,7.041186,7.064958,6.896014", \ "7.570033,7.528308,7.460876,7.361821,7.428118,7.508219,7.586076", \ "8.530397,8.515042,8.512025,8.439459,8.239392,8.182653,8.210614", \ "9.757573,9.776354,9.774964,9.755072,9.652195,9.389425,9.355853"); } } internal_power () { related_pin : "B"; when : "A"; fall_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("-0.000616,-0.000716,-0.000844,-0.001093,-0.001570,-0.002457,-0.004049", \ "-0.000799,-0.000898,-0.001024,-0.001271,-0.001742,-0.002620,-0.004200", \ "-0.001397,-0.001490,-0.001608,-0.001841,-0.002289,-0.003130,-0.004658", \ "-0.002453,-0.002560,-0.002691,-0.002935,-0.003370,-0.004166,-0.005637", \ "0.635947,0.557835,0.479153,0.332968,0.108933,-0.005848,-0.007262", \ "1.651984,1.571091,1.477994,1.305191,0.993594,0.560994,0.118202", \ "2.958398,2.894579,2.798020,2.621552,2.288414,1.698110,0.963781"); } rise_power(Power_7_7) { index_1 ("0.00117378,0.00472397,0.0171859,0.0409838,0.0780596,0.130081,0.198535"); index_2 ("0.365616,1.578330,3.156660,6.313320,12.626600,25.253300,50.506600"); values ("7.722681,7.747172,7.799404,7.794008,7.783742,7.844600,7.818181", \ "7.546855,7.622734,7.671877,7.679324,7.688438,7.772913,7.723404", \ "7.632548,7.651670,7.646903,7.638108,7.717649,7.627273,7.647443", \ "8.189798,8.164145,8.127663,8.081606,8.009166,7.930619,7.750263", \ "8.849143,8.964366,9.045240,8.993941,8.803389,8.483096,8.276985", \ "10.123050,10.147580,10.163340,10.221360,10.191240,9.756677,9.162168", \ "12.139770,12.120900,12.059480,11.993070,11.831940,11.464830,10.576070"); } } } } } /* * End of file */